TW202119600A - 半導體裝置、三維記憶體裝置和用於形成半導體結構的方法 - Google Patents

半導體裝置、三維記憶體裝置和用於形成半導體結構的方法 Download PDF

Info

Publication number
TW202119600A
TW202119600A TW109102214A TW109102214A TW202119600A TW 202119600 A TW202119600 A TW 202119600A TW 109102214 A TW109102214 A TW 109102214A TW 109102214 A TW109102214 A TW 109102214A TW 202119600 A TW202119600 A TW 202119600A
Authority
TW
Taiwan
Prior art keywords
bonding
layer
semiconductor
semiconductor structure
contact
Prior art date
Application number
TW109102214A
Other languages
English (en)
Other versions
TWI759678B (zh
Inventor
峻 劉
Original Assignee
大陸商長江存儲科技有限責任公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 大陸商長江存儲科技有限責任公司 filed Critical 大陸商長江存儲科技有限責任公司
Publication of TW202119600A publication Critical patent/TW202119600A/zh
Application granted granted Critical
Publication of TWI759678B publication Critical patent/TWI759678B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L24/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/82Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by forming build-up interconnects at chip-level, e.g. for high density interconnects [HDI]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/18Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different subgroups of the same main group of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/40Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the peripheral circuit region
    • H10B41/41Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the peripheral circuit region of a memory region comprising a cell select transistor, e.g. NAND
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/40EEPROM devices comprising charge-trapping gate insulators characterised by the peripheral circuit region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0555Shape
    • H01L2224/05556Shape in side view
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/05567Disposition the external layer being at least partially embedded in the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/0557Disposition the external layer being disposed on a via connection of the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05617Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C
    • H01L2224/05624Aluminium [Al] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05647Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05657Cobalt [Co] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05663Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05684Tungsten [W] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/0805Shape
    • H01L2224/08057Shape in side view
    • H01L2224/08058Shape in side view being non uniform along the bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08121Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the connected bonding areas being not aligned with respect to each other
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08135Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/08145Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08135Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/08145Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • H01L2224/08146Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked the bonding area connecting to a via connection in the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/09Structure, shape, material or disposition of the bonding areas after the connecting process of a plurality of bonding areas
    • H01L2224/091Disposition
    • H01L2224/0918Disposition being disposed on at least two different sides of the body, e.g. dual array
    • H01L2224/09181On opposite sides of the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/8034Bonding interfaces of the bonding area
    • H01L2224/80357Bonding interfaces of the bonding area being flush with the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/8036Bonding interfaces of the semiconductor or solid state body
    • H01L2224/80379Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/80895Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically conductive surfaces, e.g. copper-copper direct bonding, surface activated bonding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/80896Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically insulating surfaces, e.g. oxide or nitride layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/80905Combinations of bonding methods provided for in at least two different groups from H01L2224/808 - H01L2224/80904
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/82Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by forming build-up interconnects at chip-level, e.g. for high density interconnects [HDI]
    • H01L2224/82001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by forming build-up interconnects at chip-level, e.g. for high density interconnects [HDI] involving a temporary auxiliary member not forming part of the bonding apparatus
    • H01L2224/82005Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by forming build-up interconnects at chip-level, e.g. for high density interconnects [HDI] involving a temporary auxiliary member not forming part of the bonding apparatus being a temporary or sacrificial substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06541Conductive via connections through the device, e.g. vertical interconnects, through silicon via [TSV]
    • H01L2225/06544Design considerations for via connections, e.g. geometry or layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L24/09Structure, shape, material or disposition of the bonding areas after the connecting process of a plurality of bonding areas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L24/80 - H01L24/90
    • H01L24/92Specific sequence of method steps

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Semiconductor Memories (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

公開了半導體裝置及其製造方法的實施方式。在一示例中,半導體裝置包括第一半導體結構和第二半導體結構。第一半導體結構包括具有複數個第一鍵合接觸件的第一鍵合層和垂直地延伸穿過第一鍵合層並進入第一半導體結構內的第一通孔結構。第二半導體結構包括具有複數個第二鍵合接觸件的第二鍵合層和垂直地延伸穿過第二鍵合層並進入第二半導體結構內的第二通孔結構。第一鍵合接觸件在鍵合介面處與第二鍵合接觸件接觸,第一通孔結構與第二通孔結構接觸,以及第一通孔結構和第二通孔結構的側壁具有在鍵合介面處的交錯剖面。

Description

半導體裝置、三維記憶體裝置和用於形成半導體結構的方法
本公開內容的實施方式涉及半導體裝置及其製造方法。
透過改進製程技術、電路設計、程式設計演算法和製作過程來將平面記憶體單元按比例縮放到較小的尺寸。然而,當記憶體單元的特徵尺寸接近下限時,平面製程和製造技術變得有挑戰性並且造價昂貴。因此,平面記憶體單元的記憶體密度接近上限。
3D記憶體架構可以處理在平面記憶體單元中的密度限制。3D記憶體架構包括記憶體陣列和用於控制輸入和輸出記憶體陣列的信號的週邊裝置。
在本文公開了半導體裝置及其製造方法的實施方式。
在一個示例中,半導體裝置包括第一半導體結構和第二半導體結構。第一半導體結構包括具有複數個第一鍵合接觸件的第一鍵合層和垂直地延伸穿過第一鍵合層並進入第一半導體結構內的第一通孔結構。第二半導體結構包括包含複數個第二鍵合接觸件的第二鍵合層和垂直地延伸穿過第二鍵合層並進入第二半導體結構內的第二通孔結構。半導體裝置還包括在第一鍵合層和第二鍵合層之間的鍵合介面。第一鍵合接觸件在鍵合介面處與第二鍵合接觸件接觸,第一通孔結構與第二通孔結構接觸,以及第一通孔結構和第二通孔結構的側壁具有在鍵合介面處的交錯剖面。
在另一示例中,3D記憶體裝置包括第一半導體結構和第二半導體結構。第一半導體包括第一記憶體疊層、延伸穿過第一記憶體疊層的複數個第一NAND記憶體串、具有複數個第一鍵合接觸件的第一鍵合層、以及垂直地延伸穿過第一鍵合層並進入第一半導體結構內的第一通孔結構。第二半導體結構包括第二記憶體疊層、延伸穿過第一記憶體疊層的複數個第二NAND記憶體串、具有複數個第二鍵合接觸件的第二鍵合層、以及垂直地延伸穿過第二鍵合層並進入第二半導體結構內的第二通孔結構。3D記憶體裝置還包括在第一鍵合層和第二鍵合層之間的鍵合介面。第一鍵合接觸件在鍵合介面處與第二鍵合接觸件接觸,且第一通孔結構與第二通孔結構接觸,以及第一通孔結構和第二通孔結構的側壁具有在鍵合介面處的交錯剖面。
在又一示例中,用於形成半導體結構的方法包括以下步驟。首先,形成第一半導體結構和第二半導體結構。第一半導體結構包括第一通孔結構和具有複數個第一鍵合接觸件的第一鍵合層,以及第二半導體結構包括第二通孔結構的一部分和具有複數個第二鍵合接觸件的第二鍵合層。第一和第二鍵合接觸件被對準以鍵合第一和第二鍵合接觸件以及鍵合第一通孔結構和第二通孔結構的該部分。使第二半導體結構減薄以暴露第二通孔結構的該部分並形成半導體層。第三半導體結構被鍵合到半導體層。此外,在第三半導體結構上形成焊墊引出互連層。
雖然論述了特定的配置和佈置,但應理解的是,這可以僅為了例證性目的而完成。相關領域中的技術人員將認識到的是,其它配置和佈置可以被使用而不偏離本公開內容的精神和範圍。對相關領域中的技術人員將明顯的是,本公開內容也可以在各種其它應用中被採用。
要注意的是,在本說明書中對「一個實施方式」、「實施方式」、「示例實施方式」、「一些實施方式」等的提及指示所描述的實施方式可以包括特定特徵、結構或特性,但每個實施方式可能不一定包括特定特徵、結構或特性。而且,這樣的語詞並不一定指同一實施方式。此外,當結合實施方式描述特定特徵、結構或特性時,它將在相關領域中的技術人員的知識範圍內以結合其它實施方式(不管是否被明確描述)來實現這樣的特徵、結構或特性。
通常,可以至少部分地從上下文中的用法來理解術語。例如,至少部分地根據上下文,如在本文使用的術語「一個或複數個」可以用於在單數意義上描述任何特徵、結構或特性或可以用於在複數意義上描述特徵、結構或特性的組合。類似地,至少部分地根據上下文,術語諸如「一(a)」、「一個(an)」和「所述(the)」再次可以被理解為傳達單數用法或傳達複數用法。此外,至少部分地根據上下文,術語「基於」可以被理解為不一定旨在傳達排他的一組因素,且可以替代地再次允許不一定被明確描述的另外的因素的存在。
應容易理解的是,在本公開內容中的「在……上」、「在……上面」和「在……之上」的含義應以最廣泛的方式被解釋,使得「在……上」不僅意指「直接在某物上」,而且還包括「在某物上」而在其之間有中間特徵或層的含義,以及「在……上面」或「在……之上」不僅意指「在某物上面」或「在某物之上」的含義,而且還可以包括其「在某物上面」或「在某物之上」而在其之間沒有中間特徵或層(即,直接在某物上)的含義。
此外,空間相對術語諸如「在……下面」、「在……之下」、「下部」、「在……之上」、「上部」等可以在本文為了描述的容易用於描述一個元件或特徵與如在附圖中所示的另外的元件或特徵的關係。除了在附圖中描繪的定向以外,空間相對術語還包括在使用中的裝置的不同定向或操作。裝置可以以另外的方式被定向(旋轉90度或在其它定向處),且在本文使用的空間相對描述符號可以相應地同樣被解釋。
如在本文使用的,術語「基底」指隨後的材料層被添加到其上的材料。基底本身可以被圖案化。在基底的頂部上添加的材料可以被圖案化或可以保持未被圖案化。此外,基底可以包括半導體材料(諸如矽、鍺、砷化鎵、磷化銦等)的寬陣列。可選地,基底可以由非導電材料(諸如玻璃、塑膠或藍寶石晶片)製成。
如在本文使用的,術語「層」指包括具有一厚度的區域的材料部分。層可以在整個底層或上覆結構之上延伸,或可以具有比底層或上覆結構的範圍小的範圍。此外,層可以是具有比連續結構的厚度小的厚度的同質或不同質連續結構的區域。例如,層可以位於在連續結構的頂表面和底表面之間或在其處的任何水平面對之間。層可以水平地、垂直地及/或沿著錐形表面延伸。基底可以是層,可以包括在其中的一個或複數個層,及/或可以具有在其上、在其之上及/或在其之下的一個或複數個層。層可以包括複數個層。例如,互連層可以包括一個或複數個導電和接觸層(在其中形成互連線及/或通孔接觸件)和一個或複數個介電質層。
如在本文使用的,術語「名義上/名義上地」指在產品或製程的設計階段期間設置的元件或製程操作的特性或參數的期望或目標值,連同高於及/或低於期望值的值的範圍。值的範圍可能是由於在製作過程或容限中的輕微變化。如在本文使用的,術語「大約」指示可以基於與主題半導體裝置相關聯的特定技術節點而變化的給定量的值。基於特定技術節點,術語「大約」可以指示在例如值的10-30%(例如值的±10%、±20%或±30%)內變化的給定量的值。
如在本文使用的,術語「三維(3D)NAND記憶體串」指在橫向定向的基底上串聯地連接的記憶體單元電晶體的垂直定向的串,使得記憶體單元的電晶體的串在相對於基底的垂直方向上延伸。如在本文使用的,術語「垂直的/垂直地」意指名義上垂直於基底的橫向表面。
如在本文使用的,術語「晶圓」是一片半導體材料,其用於使半導體裝置構建在其中及/或在其上並可以在被分成裸片之前所經歷各種製作過程。
在半導體製造中,晶圓常常垂直地被鍵合/堆疊以達到較高的積集度。例如,在三維(3D)記憶體裝置的製造中,攜帶記憶體單元和週邊電路的晶圓被鍵合以垂直地按比例增加。在這些3D記憶體單元中,為了在垂直地鍵合的晶圓之間傳輸電訊號,通孔/互連(諸如矽通孔(TSV))通常被形成為延伸穿過鍵合的晶圓並與在每個鍵合的晶圓中的部分形成導電互連。
不同的製作過程已經被用於形成這些通孔/互連。在一示例中,透過在介電質之間形成的鍵合來堆疊晶圓,且透過例如多次腐蝕晶圓以形成預期的剖面(例如,形狀及/或深度)的開口來形成TSV。然後用預設的導電材料填充開口。這種方法是簡化在晶圓之間的接線、減小鍵合的晶圓的總厚度、減小寄生電容並減小製造成本的成熟技術。在另一示例中,透過在介電質之間和在導體之間的鍵合(例如,透過混合鍵合)來堆疊晶圓,透過鍵合的導體來形成導電性連接,以及為了焊墊引出目的而形成TSV以導電地連接鍵合的導體。使用第二種方法,晶圓中的部分在鍵合之前被單獨地形成,且可以得到較高的對準精度。同時,可以減小熱預算影響和限制,且可以減小不希望有的非均勻性。然而,形成這些通孔/互連的已知製造方法不具有這兩種方法的前面所提到的優點。
根據本公開內容的各種實施方式提供具有透過鍵合而形成的毗連通孔結構的半導體裝置(例如,3D記憶體裝置)。在包括複數個鍵合的半導體結構的半導體裝置中,透過垂直地鍵合在每個半導體結構中的相應通孔部分來形成具有與按照慣例形成的TSV相同/相似的功能的毗連通孔結構。特別地,每個毗連通孔結構可以具有複數個通孔部分,每個通孔部分在不同的半導體結構中形成。通孔部分可以在形成相應鍵合接觸件的相同製造步驟中形成,並可以在鍵合接觸件在其中被鍵合的相同鍵合製程中被鍵合。在一些實施方式中,透過使在兩個半導體結構中的鍵合接觸件對準並鍵合來鍵合兩個半導體結構的通孔部分。在一些實施方式中,鍵合接觸件的橫向尺寸小於通孔部分的橫向尺寸,且鍵合接觸件的對準可以為通孔部分的鍵合提供足夠的對準精度。
與形成TSV的習知方法比較,由本公開內容提供的方法和結構允許上面提到的兩種習知方法的優點都被實現而沒有另外的製造步驟。換句話說,所公開的方法可以簡化在半導體結構之間的接線,減小半導體結構的總厚度,減小寄生電容,並減小製造成本。所公開的方法也可以促進較高的對準精度,減小熱預算影響和限制,並減小不希望有的非均勻性。
圖1A和圖1B示出了根據一些實施方式的半導體裝置100和半導體裝置101的示意性橫截面視圖,每個半導體裝置具有透過鍵合而形成的毗連通孔結構1001。半導體裝置100包括(例如,沿著z軸)垂直地鍵合的第一半導體結構、第二半導體結構和第三半導體結構。半導體裝置101示出了一般示意性橫截面視圖,其中N個半導體結構垂直地鍵合,其中N等於大於2的正整數。
如圖1A所示,位於半導體裝置100的下部分處的第一半導體結構在第一鍵合介面1003處與第二半導體結構鍵合。第一半導體結構的基底1009可以做為半導體裝置100的基底。第二半導體結構在第二鍵合介面1005處與第三半導體結構鍵合。第三半導體裝置包括在半導體裝置100的上部分處的焊墊引出互連層1007。為了焊墊引出目的,焊墊引出互連層1007包括導電地連接到半導體裝置100中的部分的焊墊引出接觸件1011。在第一鍵合介面1003和第二鍵合介面1005中的每一者處,透過相應的鍵合接觸件1013來鍵合半導體結構。在一些實施方式中,在相應鍵合介面處在這兩個半導體結構之間的鍵合包括混合鍵合。
如圖1A所示,半導體裝置100包括一個或複數個毗連通孔結構1001,每個毗連通孔結構1001跨越至少一個鍵合介面延伸。在一些實施方式中,每個毗連通孔結構1001在所有(例如,三個)半導體結構中延伸以導電地連接在每個半導體結構中的部分。在一些實施方式中,每個毗連通孔結構1001導電地連接到焊墊引出互連層1007(例如,焊墊引出接觸件1011),使得電訊號可以在外部電路和半導體裝置100的期望部分之間傳輸。在一些實施方式中,透過對在鍵合的半導體結構中的相應通孔部分的鍵合來形成每個毗連通孔結構1001。例如,可以透過對分別在第一半導體結構、第二半導體結構和第三半導體結構中的三個通孔部分的鍵合來形成毗連通孔結構1001。在相應的鍵合介面處,在鍵合的半導體結構中的通孔部分與彼此接觸並導電地連接到彼此。在一些實施方式中,毗連通孔結構1001的側壁具有在至少一個鍵合介面處的交錯剖面。下文描述了交錯剖面的細節。
在各種實施方式中,根據半導體裝置100的設計和製造,每個半導體結構可以包括相同或不同的部分/裝置並具有相同或不同的功能。例如,第一半導體結構和第二半導體結構可以各自包括複數個記憶體單元,以及第三半導體結構可以包括記憶體單元的週邊電路。在另一示例中,第一半導體結構和第三半導體結構可以各自包括複數個記憶體單元,以及第二半導體結構可以包括記憶體單元的週邊電路。其它部分(諸如邏輯製程相容裝置(例如,處理器及/或隨機存取記憶體(RAM)陣列))也可以在一個或複數個半導體結構中形成。每個半導體結構的特定功能和結構不應為本公開內容的實施方式所限制。
圖1B示出了類似於半導體裝置100的半導體裝置101,除了N可以包括等於或大於2的任何適當的正整數以外。例如,N可以是3、4、5……。當N等於3時,半導體裝置101與半導體裝置100類似或相同。N的值可以基於半導體裝置101的設計和製造來確定,且不被本公開內容的實施方式限制。半導體裝置101可以包括一個或複數個毗連通孔結構1001,每個毗連通孔結構1001跨越至少一個鍵合介面延伸。在一些實施方式中,毗連通孔結構1001各自包括在N個鍵合的半導體結構中(例如,跨越(N-1)個鍵合介面(如第一鍵合介面1003到第(N-1)鍵合介面1015))延伸的N個通孔部分。在一些實施方式中,毗連通孔結構的側壁具有在一個或複數個鍵合介面處(例如,在所有鍵合介面處)的交錯剖面。
圖2A示出了根據一些實施方式的具有透過鍵合而形成的毗連通孔結構的半導體裝置200的詳細橫截面視圖。作為示例,半導體裝置200包括垂直地鍵合的三個半導體結構。如圖2A所示,半導體裝置200可以包括在鍵合介面204處以面對面方式與第二(第2)半導體結構鍵合的第一(第1)半導體結構。半導體裝置200還可以包括在鍵合介面206以面向下方式鍵合到第二半導體結構的第三(第3)半導體結構。在一些實施方式中,第二半導體結構在第一半導體結構之上,以及第三半導體結構在第二半導體結構之上。要注意的是,在本公開內容的附圖中包括x軸和y軸以示出在半導體結構的平面中的兩個正交方向。半導體結構(例如,第一/第二/第三半導體結構)的基底包括在x-y平面中橫向延伸的兩個橫向表面:在半導體結構/裝置的正面上的頂表面和在與正面相對的背面上的底表面。z軸垂直於x軸和y軸兩者。如在本文使用的,當基底在z方向上位於半導體裝置的最低平面中時,相對於在z方向(垂直於x-y平面的垂直方向)上的半導體裝置的基底來確定一個元件(例如,層或裝置)是否在半導體結構/裝置的另一元件(例如,層或裝置)「上」、「之上」、「之下」或「下面」。遍及本公開內容中應用用於描述空間關係的相同概念。
第一半導體結構可以包括基底202,其用作半導體裝置200的基底。基底202可以包括矽(例如,單晶矽、矽晶)、矽鍺(SiGe)、砷化鎵(GaAs)、鍺(Ge)、絕緣體上矽(SOI)或任何其它適當的材料。第一半導體結構可以包括在基底202之上並與基底202接觸的裝置/記憶體層208。裝置/記憶體層208可以包括任何適當的元件,這取決於半導體裝置200的設計。例如,裝置/記憶體層208可以包括記憶體單元的電路及/或陣列。
第一半導體結構還可以包括在裝置/記憶體層208之上並與裝置/記憶體層208接觸的互連層210。互連層210可以導電地連接到裝置/記憶體層208,以用於從裝置/記憶體層208傳輸電訊號/將電訊號傳輸到裝置/記憶體層208。互連層210可以包括複數個互連。如在本文使用的,術語「互連」可以廣泛地包括任何適當類型的互連,諸如中段制程(MEOL)互連和後段制程(BEOL)互連。在一些實施方式中,在互連層210中的互連還包括局部互連(諸如位元線接觸件和字元線接觸件)。互連層210還可以包括一個或複數個夾層介電質(ILD)層,互連和通孔接觸件可以在ILD層中形成。在互連層210中的互連和通孔接觸件可以包括導電材料(包括但不限於W、Co、Cu、Al、矽化物或其任何組合)。在互連層210中的ILD層可以包括介電質材料(包括但不限於氧化矽、氮化矽、氮氧化矽、低k介電質或其任何組合)。
第一半導體結構還可以包括在互連層210之上並與互連層210接觸的鍵合層212。鍵合層212可以包括分佈在一介電質層中的複數個鍵合接觸件230。鍵合接觸件230可以導電地連接到互連層210中的相應互連,如果是必要的。鍵合接觸件230可以包括導電材料(包括但不限於W、Co、Cu、Al、矽化物或其任何組合)。在鍵合層212中的介電質可以包括適當的介電質材料(包括但不限於氧化矽、氮化矽、氮氧化矽、低k介電質或其任何組合)。
第一半導體結構還可以包括從鍵合層212延伸到互連層210內的第一通孔結構246。第一通孔結構246可以垂直地(例如,沿著z方向)延伸並導電地連接到互連層210中的相應互連及/或與互連層210中的相應互連接觸,以傳輸電訊號。在一些實施方式中,第一通孔結構246是毗連通孔結構的第一通孔部分。第一通孔結構246可以包括導電材料(包括但不限於W、Co、Cu、Al、矽化物或其任何組合)。在一些實施方式中,第一通孔結構246和鍵合接觸件230包括相同的材料(諸如W)。
第一半導體結構可以在鍵合介面204處與第二半導體結構鍵合。在第一和第二半導體結構之間的鍵合包括也被稱為「金屬/介電質混合鍵合」的混合鍵合,其為直接鍵合技術(例如,形成在表面之間的鍵合而不使用中間層,諸如焊料或黏合劑)並可以同時獲得金屬-金屬鍵合和介電質-介電質鍵合。第二半導體結構可以包括鍵合層214,其包括被佈置在一介電質層中的複數個鍵合接觸件232。鍵合層214可以在鍵合層212之上(例如,鍵合層212和214在鍵合介面204的相對側上)。在鍵合介面204處,每個鍵合接觸件230與相應的鍵合接觸件232鍵合。第二半導體結構還可以包括在鍵合層214之上並與鍵合層214接觸的互連層216以及在互連層216之上並與互連層216接觸的裝置/記憶體層218。第二半導體結構還可以包括在裝置/記憶體層218之上並與裝置/記憶體層218接觸的半導體層240以及在半導體層240之上並與半導體層240接觸的鍵合層220。
鍵合接觸件232(或第二鍵合接觸件)可以導電地連接到互連層216中的相應互連(如果是必要的)。在一些實施方式中,互連層216中的互連可以導電地連接到裝置/記憶體層218及/或與裝置/記憶體層218接觸,以用於傳輸電訊號。半導體層240可以由減薄的基底形成,並可以包括與基底202相同的材料。在一些實施方式中,鍵合層214、鍵合接觸件232、互連層216及/或裝置/記憶體層218可以與鍵合層212、鍵合接觸件230、互連層210及/或裝置/記憶體層208類似或相同,以及不重複那些組件的詳細描述。
第二半導體結構的鍵合層220可以包括分佈在一介電質層中的複數個鍵合接觸件234。每個鍵合接觸件234可以在鍵合介面206處與第三半導體結構中的相應鍵合接觸件236鍵合。鍵合接觸件234的材料可以與鍵合接觸件232及/或鍵合接觸件230類似或相同,且鍵合層220的介電質的材料可以與鍵合層212及/或鍵合層214的介電質類似或相同。
第二半導體結構可以包括延伸穿過鍵合層214和鍵合層220並在第二半導體結構中的第二通孔結構248。特別地,第二通孔結構248可以在鍵合介面204和鍵合介面206之間(例如,穿過鍵合層214和鍵合層220、半導體層240、裝置/記憶體層218和互連層216)垂直地延伸以傳輸電訊號。在一些實施方式中,第二通孔結構248導電地連接到互連層216及/或與互連層216接觸。在一些實施方式中,第二通孔結構248可以是毗連通孔結構的第二通孔部分。在一些實施方式中,第二通孔結構248可以在鍵合介面204處與第一通孔結構246鍵合。在一些實施方式中,第二通孔結構248可以在鍵合介面206處與第三半導體結構的第三通孔結構250鍵合。也就是說,在鍵合介面204處,第二通孔結構248與第一通孔結構246接觸並導電地連接到第一通孔結構246;以及在鍵合介面206處,第二通孔結構248與第三通孔結構250接觸並導電地連接到第三通孔結構250。電訊號可以接著從第三半導體結構傳輸到第一半導體結構和第二半導體結構,反之亦然。第二通孔結構248可以包括與第一通孔結構246類似或相同的材料。在一些實施方式中,鍵合接觸件232和鍵合接觸件234以及第二通孔結構248包括相同的材料(諸如W)。
在鍵合介面206處與第二半導體結構鍵合的第三半導體結構可以包括具有複數個鍵合接觸件236的鍵合層222、在鍵合層222之上並與鍵合層222接觸的互連層224、在互連層224之上並與互連層224接觸的裝置/記憶體層226、和在裝置/記憶體層226之上並與裝置/記憶體層226接觸的半導體層242。第三半導體結構還可以包括第三通孔結構250。在鍵合介面206處,每個鍵合接觸件236與相應的鍵合接觸件234鍵合,以及每個第三通孔結構250與相應的第二通孔結構248鍵合。在一些實施方式中,鍵合層222、互連層224、裝置/記憶體層226和半導體層242可以分別與鍵合層220/214/212、互連層210/216、裝置/記憶體層208/218和半導體層240相同或類似。不重複這些組件的詳細描述。在一些實施方式中,在第三半導體結構和第二半導體結構之間的鍵合包括混合鍵合。
第三通孔結構250可以是毗連通孔結構的第三通孔部分。如圖2A所示,第三通孔結構250可以垂直地延伸穿過半導體層242和鍵合層222並在第三半導體結構中。特別地,第三通孔結構250可以從半導體層242的頂表面延伸到鍵合介面206,其中第三通孔結構250與第二通孔結構248鍵合。也就是說,第三通孔結構250可以在鍵合介面206處與第二通孔結構248接觸並導電地連接到第二通孔結構248。在一些實施方式中,第一、第二和第三通孔結構246、248和250形成在半導體裝置200的每個半導體結構中延伸的毗連通孔結構,從每個半導體結構傳輸電訊號/將電訊號傳輸到每個半導體結構。第三通孔結構250的材料可以與第一及/或第二通孔結構246及/或248的材料相同或類似。在一些實施方式中,第三通孔結構250和鍵合接觸件234包括相同的材料。在各種實施方式中,第一、第二和第三通孔結構246、248和250包括相同的材料。
可以基於半導體裝置200的設計及/或製造來確定每個通孔結構(例如,246、248和250)的形狀和尺寸。例如,在每個鍵合介面處,相應通孔結構的橫向尺寸(例如,沿著x軸及/或y軸)大於相應鍵合接觸件的橫向尺寸。在一些實施方式中,在相應鍵合層中延伸的相應通孔結構的一部分的橫向尺寸小於在相應通孔結構的其它部分中延伸的通孔結構的另一部分的橫向尺寸。例如,如圖2A所示,每個通孔結構(例如,246、248或250)在相應的鍵合介面處可以是橫向地較窄的,而當其延伸到相應半導體結構內時是較寬的。
為了焊墊引出目的,第三半導體結構還可以包括在半導體層242之上並與半導體層242接觸的焊墊引出互連層228。焊墊引出互連層228可以包括導電地連接到互連244的焊墊引出接觸件238,互連244可以導電地連接到第三通孔結構250(或毗連通孔結構)。因此,可以透過焊墊引出接觸件238、互連244和毗連通孔結構在外部電路和半導體裝置200的每個半導體結構之間傳輸電訊號。在焊墊引出互連層228中的焊墊引出接觸件238和互連244可以包括但不限於W、Co、Cu、Al、矽化物或其任何組合,以及在焊墊引出互連層228中的介電質結構(例如,ILD和介電質層)可以包括但不限於氧化矽、氮化矽、氮氧化矽、低k介電質或其任何組合。
圖2B是根據一些實施方式的如在圖2A中以虛線圓標記的在鍵合介面處的毗連通孔結構的可能剖面(I)和(II)的詳細圖示。如圖2B所示,元件203代表在鍵合介面之上的毗連通孔結構的一部分,以及元件205代表在鍵合介面之下的毗連通孔結構的一部分。例如,鍵合介面可以代表鍵合介面204,元件203可以代表在鍵合介面204處/在鍵合介面204之上的第二通孔結構248的一部分,以及元件205可以代表在鍵合介面204處/在鍵合介面204之下的第一通孔結構246的一部分。在另一示例中,鍵合介面可以代表鍵合介面206,元件203可以代表在鍵合介面206處/在鍵合介面206之上的第三通孔結構250的一部分,以及元件205可以代表在鍵合介面206處/在鍵合介面206之下的第二通孔結構248的一部分。
元件203和205可以有或可以沒有沿著x軸及/或y軸的相同橫向尺寸。在鍵合介面處的毗連通孔結構的側壁(或元件203和205的側壁)可以或可以不沿著z軸連續地延伸。當元件203和205具有相同的橫向尺寸時,因為元件203和205被鍵合,在一些實施方式中,例如由於對準偏差/誤差,元件203和205可以不沿著z軸完全對準。也就是說,毗連通孔結構的側壁(或元件203和205的側壁)在鍵合介面處可以具有交錯剖面或可以不沿著z軸連續地延伸。例如,元件203可以相對於元件205沿著x軸移動(例如,到左邊為剖面(I)或到右邊為剖面(II))。也就是說,在一些實施方式中,通孔結構的至少兩個鍵合的部分具有交錯剖面,例如,在相應的鍵合介面處不與彼此完全對準。
在一些實施方式中,當元件203和205具有相同的橫向尺寸且沿著z軸被完全對準時,毗連通孔結構的側壁(或元件203和205的側壁)可以在鍵合介面處沿著z軸連續地延伸。也就是說,在一些實施方式中,通孔結構的至少兩個鍵合的部分在相應鍵合介面處與彼此完全對準。
圖3A至圖3G示出了根據一些實施方式形成具有透過鍵合而形成的毗連通孔結構的半導體裝置的示例性方法300。圖5A和圖5B示出了根據一些實施方式的方法300的流程圖500。圖5B是圖5A的繼續。要理解的是,在方法300中所示的步驟不是窮盡的,並可以在任何所示步驟之前、之後或之間執行其它步驟。此外,一些步驟可以同時地或以與圖3和5中所示的順序不同的順序被執行。在一些實施方式中,方法300用於形成圖2A中的半導體裝置200。
參考圖5A,方法300在步驟502處開始,在步驟502中形成第一半導體結構和第二半導體結構。第一半導體結構具有一個或複數個第一通孔結構和帶有在第一半導體結構的頂表面上暴露的複數個第一鍵合接觸件的第一鍵合層。第二半導體結構具有一個或複數個第二通孔結構的第一部分和帶有在第二半導體結構的頂表面上暴露的複數個第二鍵合接觸件的第二鍵合層。圖3A示出了相應的結構。
如圖3A所示,可以形成第一和第二半導體結構。第一半導體結構可以包括基底302、在基底302之上的裝置/記憶體層306、在裝置/記憶體層306之上並與裝置/記憶體層306接觸的第一互連層308、和在第一互連層308之上並與第一互連層308接觸的第一鍵合層310。第一鍵合層310可以包括被佈置在第一半導體結構的頂表面上的複數個第一鍵合接觸件312。第一半導體結構還可以包括被佈置在第一半導體結構的頂表面上的、延伸穿過第一鍵合層310到第一互連層308內的第一通孔結構314。第一通孔結構314可以導電地連接到第一互連層308。
類似地,第二半導體結構可以包括基底304、在基底304之上的裝置/記憶體層320、在裝置/記憶體層320之上並與裝置/記憶體層320接觸的第二互連層318、和在第二互連層318之上並與第二互連層318接觸的第二鍵合層316。第二鍵合層316可以包括被佈置在第二半導體結構的頂表面上的複數個第二鍵合接觸件322。第二半導體結構可以包括被佈置在第二半導體結構的頂表面上的、延伸穿過第二鍵合層316到基底304內的第二通孔結構324的第一部分324-1。第二通孔結構324的第一部分324-1可以導電地連接到第二互連層318。在一些實施方式中,基於將被移除以形成半導體層(例如,半導體層240)的基底304的部分來確定第二通孔結構324的第一部分324-1的長度及/或在基底304內的第二通孔結構324的第一部分324-1的深度。可選地,可以執行平面化製程(例如,化學機械平面化(CMP)及/或凹槽蝕刻)以移除在第一鍵合層310和第二鍵合層316的頂表面上的任何過量的材料。
第一和第二半導體結構可以透過類似的方法/製程來形成,且可以分開地或單獨地形成。在一些實施方式中,在相應的裝置/記憶體層(例如,306及/或320)中在相應基底(例如,302及/或304)之上形成記憶體疊層(未示出)。可以形成垂直地延伸穿過記憶體疊層的3D NAND記憶體串的陣列以形成3D記憶體裝置(例如,類似於下文詳細描述的圖4中的3D記憶體裝置400)。為了形成記憶體疊層,在基底(例如,302及/或304)之上形成交插的犧牲層(未示出)和介電質層(未示出)。交插的犧牲層和介電質層可以形成介電質疊層(未示出)。在一些實施方式中,每個犧牲層包括一氮化矽層,以及每個介電質層包括一氧化矽層。可以透過一種或多種薄膜沉積製程(包括但不限於化學氣相沉積(CVD)、物理氣相沉積(PVD)、原子層沉積(ALD)或其任何組合)來形成交插的犧牲層和介電質層。在一些實施方式中,可以透過閘極更換製程(例如,使用對介電質層選擇性的犧牲層的濕/乾蝕刻利用複數個導體層來更換犧牲層,以及利用導體層來填充因而得到的凹槽)來形成記憶體疊層。作為結果,記憶體疊層可以包括交插的導體層(未示出)和介電質層。在一些實施方式中,每個導體層包括金屬層(諸如一鎢層)。要理解的是,在其它實施方式中,可以透過在沒有閘極更換製程的情況下交替地沉積導體層(例如,摻雜多晶矽層)和介電質層(例如,氧化矽層)來形成記憶體疊層。在一些實施方式中,在記憶體疊層和基底之間形成包括氧化矽的焊墊氧化物層。
可以在基底之上形成複數個3D NAND記憶體串,每個3D NAND記憶體串垂直地延伸穿過記憶體疊層的交插導體層和介電質層。在一些實施方式中,形成3D NAND記憶體串的製作過程包括使用乾蝕刻及/或濕蝕刻(諸如深反應離子蝕刻(DRIE))來形成穿過記憶體疊層並進入基底內的通道孔,隨後是使插塞在通道孔的下部分中從基底磊晶地生長。在一些實施方式中,形成3D NAND記憶體串的製作過程還包括隨後使用薄膜沉積製程(諸如ALD、CVD、PVD或其任何組合)利用複數個層(諸如記憶體膜(例如,穿隧層、儲存層和阻障層)和半導體層)填充每個通道孔。在一些實施方式中,形成3D NAND記憶體串的製作過程還包括透過蝕刻在3D NAND記憶體串的上端處的凹槽來在每個通道孔的上部分中形成另一插塞,隨後是使用薄膜沉積製程(諸如ALD、CVD、PVD或其任何組合)來利用半導體材料填充凹槽。
可以在裝置/記憶體層(例如,306或320)之上形成互連層(例如,308或318)。互連層可以包括在複數個ILD層中的MEOL及/或BEOL的互連,以建立與3D NAND記憶體串的陣列的電性連接。在一些實施方式中,互連層包括複數個ILD層和在複數個製程中在其中形成的互連。例如,在互連層中的互連可以包括透過一種或多種薄膜沉積製程(包括但不限於CVD、PVD、ALD、電鍍、無電鍍或其任何組合)沉積的導電材料。形成互連的製作過程還可以包括微影、CMP、濕/乾蝕刻或任何其它適當的製程。ILD層可以包括透過一種或多種薄膜沉積製程(包括但不限於CVD、PVD、ALD或其任何組合)沉積的介電質材料。
可以在互連層之上形成鍵合層(例如,310或316)。鍵合層可以包括由介電質圍繞的複數個鍵合接觸件(例如,312或322)。在一些實施方式中,透過一種或多種薄膜沉積製程(包括但不限於CVD、PVD、ALD或其任何組合)來將介電質層沉積在互連層(例如,308或318)的頂表面上。然後可以透過首先使用圖案化製程(例如,對在介電質層中的介電質材料的微影和乾/濕蝕刻)穿過介電質層圖案化接觸孔來形成穿過介電質層並與互連層中的互連接觸的鍵合接觸件。可以利用導體(例如,銅)來填充接觸孔。在一些實施方式中,填充接觸孔包括在沉積導體之前沉積黏合劑(膠)層、阻隔層及/或種子層。在一些實施方式中,可以由形成相應鍵合接觸件的相同圖案化和沉積製程來形成通孔結構(例如,314或324)。
返回參考圖5A,在形成第一和第二半導體結構之後,方法300繼續進行到步驟504,其中第一和第二鍵合接觸件被對準並被鍵合以用面對面方式鍵合第一和第二半導體結構,並形成在第一和第二鍵合層之間的第一鍵合介面。圖3B示出了相應的結構。
如圖3B所示,第一鍵合接觸件312和第二鍵合接觸件322彼此對準並鍵合。鍵合層的介電質可以相應地鍵合在一起。在一些實施方式中,在第一和第二半導體結構之間的鍵合包括混合鍵合。可以在第一鍵合層310和第二鍵合層316之間(例如,在第一和第二半導體結構之間)形成第一鍵合介面350。因此,可以在第一鍵合介面350處鍵合第一通孔結構314和第二通孔結構324的第一部分324-1。在一些實施方式中,因為通孔結構(例如,314和324)的橫向尺寸大於鍵合接觸件(例如,312和322)的橫向尺寸,鍵合接觸件的對準和鍵合可以充分允許通孔結構(例如,314和324)的大區域重疊並被鍵合在第一鍵合介面350處。
返回參考圖5A,在鍵合接觸件被對準和鍵合之後,方法300繼續進行到步驟506,其中第二半導體結構的基底減薄以暴露第二通孔結構的第一部分並形成第一半導體層。圖3C示出了相應的結構。
如圖3C所示,在鍵合的半導體結構的頂部處的基底304減薄,使得減薄的頂部基底304可以用作第一半導體層340(例如,單晶矽層或多晶矽層)。第一半導體層340的厚度可以在大約200奈米(nm)和大約100微米(µm)之間(諸如在200 nm和100 µm之間,或在大約150 nm和大約50 µm之間,諸如在150 nm和50 µm之間)。可以透過製程(包括但不限於晶圓研磨、乾蝕刻、濕蝕刻、CMP、任何其它適當的製程或其任何組合)來使基底304減薄。在使基底304減薄之後,可以或可以不暴露第二通孔結構324。在一些實施方式中,在第一半導體層340的頂表面上暴露第二通孔結構324的第一部分324-1。在一些實施方式中,第一半導體層340覆蓋第二通孔結構324的第一部分324-1,以及在第一半導體層340的頂表面和第二通孔結構324的第一部分324-1的頂表面之間的距離在大約1 µm 到大約20 µm的範圍內(諸如在1 µm和10 µm之間,例如,1 µm、5 µm、10 µm等)。
返回參考圖5A,在形成第一半導體層之後,方法300繼續進行到步驟508,其中形成第三半導體結構。第三半導體結構包括一個或複數個第三通孔結構和具有在第三半導體結構的頂表面上暴露的複數個第三鍵合接觸件的第三鍵合層。圖3C示出了相應的結構。
如圖3C所示,形成第三半導體結構。第三半導體結構可以包括基底360、在基底360之上並與基底360接觸的裝置/記憶體層326、在裝置/記憶體層326之上並與裝置/記憶體層326接觸的第三互連層328、和在第三互連層328之上並與第三互連層328接觸的第三鍵合層330。第三鍵合層330可以包括被佈置在第三半導體結構的頂表面上的複數個第三鍵合接觸件342。第三半導體結構還可以包括從第三半導體結構的頂表面延伸到基底360內的第三通孔結構334。在一些實施方式中,基於將被移除以形成半導體層(例如,半導體層242)的基底360的部分來確定第三通孔結構334的長度及/或在基底360內的第三通孔結構334的深度。可選地,可以執行平面化製程(例如,CMP及/或凹槽蝕刻)以移除在第三鍵合層330的頂表面上的任何過量的材料。第三半導體結構的第三互連層328和第三鍵合層330的製作過程可以與第二半導體結構的第二互連層318和第二鍵合層316的製作過程類似或相同,且不在本文重複詳細描述。
在一些實施方式中,裝置/記憶體層326包括第一和第二半導體結構中的至少一者的記憶體單元的週邊電路(和任何其它邏輯製程相容裝置)。為了形成第三半導體結構,在基底360上形成具有週邊電路的裝置/記憶體層326,在裝置/記憶體層326之上形成第三互連層328並導電地連接到裝置/記憶體層326,以及在第三互連層328之上形成第三鍵合層330並與第三互連層328接觸。在一些實施方式中,為了形成週邊電路(和任何其它邏輯製程相容裝置),在基底360上形成複數個電晶體。
在一些實施方式中,在基底360上形成複數個電晶體。可以透過複數個製程(包括但不限於微影、乾/濕蝕刻、薄膜沉積、熱生長、注入、CMP和任何其它適當的製程)來形成電晶體。在一些實施方式中,透過離子注入及/或熱擴散來在基底360中形成摻雜區,其例如做為電晶體的源極區及/或汲極區。在一些實施方式中,還透過濕/乾蝕刻和薄膜沉積來在基底360中形成隔離區(例如,STI)。電晶體可以在基底360上形成裝置/記憶體層326。在一些實施方式中,透過類似的製作過程來形成在裝置/記憶體層326中的其它邏輯製程相容裝置。
此外,可以在裝置/記憶體層326之上形成第三互連層328。第三互連層328可以包括在複數個中的MEOL及/或BEOL的互連。第三互連層328的形成可以與第一互連層308和第二互連層318的形成相同或類似,且在本文不重複詳細描述。ILD層和互連可以共同被稱為第三互連層328。可以在第三互連層328之上形成第三鍵合層330。第三鍵合層330可以包括由介電質圍繞的複數個第三鍵合接觸件342。第三鍵合層330的形成可以與第一鍵合層310和第二鍵合層316的形成相同或類似,且在本文不重複詳細描述。
要注意的是,可以在步驟502至506中的任何一者之前、之後或與步驟502至506中的任何一者並行地執行步驟508。也就是說,第三半導體結構可以在其與第二半導體結構鍵合之前在任何適當的時間形成。在一些實施方式中,在形成第一和第二半導體結構的單獨製程中形成第三半導體結構。形成第一、第二和第三半導體結構的特定順序不應為本公開內容的實施方式所限制。
返回參考圖5B,在形成第三半導體結構之後,方法300繼續進行到步驟510,其中在第一半導體層之上形成第四鍵合層,以及第二通孔結構的第二部分被形成為與第二通孔結構的第一部分接觸。第四鍵合層具有在第四鍵合層的頂表面上暴露的複數個第四鍵合接觸件。圖3D示出了相應的結構。
如圖3D所示,在第一半導體層340之上形成第四鍵合層354並與第一半導體層340接觸,以及每個第二通孔結構324的第二部分324-2被形成為在第二半導體結構中延伸以與第二通孔結構324的相應的第一部分324-1接觸,並導電地連接到第二通孔結構324的相應的第一部分324-1。第四鍵合層354可以包括被佈置在第四鍵合層354的頂表面上的複數個第四鍵合接觸件352。在一些實施方式中,可以由形成第一鍵合層310、第二鍵合層316和第三鍵合層330的相同或類似的製作過程來形成第四鍵合層354,且在本文不重複詳細描述。在一些實施方式中,由形成第四鍵合接觸件352的相同製程來形成第二通孔結構324的第二部分324-2。例如,在形成第四鍵合層354的介電質之後,可以例如使用乾蝕刻及/或濕蝕刻製程來使介電質圖案化,以形成複數個接觸孔和一個或複數個通孔開口,每個通孔開口暴露第二通孔結構324的相應的第一部分324-1。可以執行相同的沉積製程以沉積導電材料(諸如W、Al、Cu、Co、矽化物或其任何組合)以填充接觸孔和通孔開口。可以形成第四鍵合接觸件352和第二通孔結構324的第二部分324-2。第二通孔結構324的每個第一部分324-1和相應的第二部分324-2可以形成第二通孔結構324。可選地,可以執行平面化製程(例如,CMP及/或凹槽蝕刻)以移除在第四鍵合層354的頂表面上的任何過量的材料。
要注意的是,可以在步驟510之前、之後或與步驟510並行地執行步驟508。也就是說,第三和第四鍵合層可以在它們在第二鍵合介面356處被鍵合之前在任何適當的時間形成。執行步驟508和510的特定順序不應被限制於本公開內容的實施方式。
返回參考圖5B,在形成第四鍵合層之後,方法300繼續進行到步驟512,其中第三和第四鍵合接觸件被對準和被鍵合以鍵合第三和第二半導體結構並形成在第三和第四鍵合層之間的第二鍵合介面。圖3E示出了相應的結構。
如圖3E所示,第三半導體結構可以上下顛倒地翻轉,使得第三鍵合接觸件342可以被對準和被鍵合到相應的第四鍵合接觸件352。可以在第三鍵合層330和第四鍵合層354之間(例如,在第三和第二半導體結構之間)形成第二鍵合介面356。因此,第三通孔結構334與相應的第二通孔結構324鍵合。可以從第一通孔結構314、第二通孔結構324和第三通孔結構334的鍵合形成毗連通孔結構。在一些實施方式中,在第三和第二半導體結構之間的鍵合包括混合鍵合。鍵合製程可以類似於第一和第二半導體結構的鍵合過程,且在本文不重複詳細描述。
返回參考圖5B,在第三半導體結構被鍵合到第二半導體結構之後,方法300繼續進行到步驟514,其中第三半導體結構的基底減薄以暴露第三通孔結構並形成第二半導體層。圖3F示出了相應的結構。
如圖3F所示,第三半導體結構的基底380減薄到期望厚度並形成第二半導體層390。在一些實施方式中,在第二半導體層390的頂表面上暴露第三通孔結構334。在一些實施方式中,第二半導體層390覆蓋第三通孔結構334。
返回參考圖5B,在形成第二半導體層之後,方法300繼續進行到步驟516,其中在第二半導體層之上形成焊墊引出互連層。圖3G示出了相應的結構。
如圖3G所示,具有焊墊引出接觸件374和互連376的焊墊引出互連層372在第二半導體層390之上形成並與第二半導體層390接觸。互連376可以導電地連接到焊墊引出接觸件374和第三通孔結構334(或毗連通孔結構)。焊墊引出互連層372可以包括在一個或複數個ILD層中形成的互連(諸如焊墊引出接觸件374)。互連376可以與毗連通孔結構和焊墊引出接觸件374接觸。可以透過在第二半導體層390之上沉積導電材料(諸如W)來形成焊墊引出接觸件374,以導電地連接毗連通孔與外部電路。
圖4示出了根據一些實施方式的具有透過鍵合而形成的毗連通孔結構的另一半導體裝置的橫截面視圖。半導體裝置可以是包括至少一個記憶體陣列的3D記憶體裝置400。如圖4所示,類似於半導體裝置200,3D記憶體裝置400可以包括在鍵合介面(如第一鍵合介面)350處鍵合的第一和第二半導體結構以及在鍵合介面(如第二鍵合介面)356處鍵合的第三和第二半導體結構。在一些實施方式中,可以使用方法300來形成3D記憶體裝置400。
在3D記憶體裝置400中,第一和第二半導體結構中的至少一者包括記憶體陣列,以及第三半導體結構包括記憶體陣列的週邊電路。在一些實施方式中,第一和第二半導體結構中的每一者包括記憶體陣列,以及第三半導體結構包括兩個記憶體陣列的週邊電路。如圖4所示,第一半導體結構可以包括在基底302和互連層(如第一互連層)308之間並與基底302和互連層308接觸的記憶體層(例如,裝置/記憶體層306)。裝置/記憶體層306可以包括記憶體疊層404和在記憶體疊層404中延伸並與基底302接觸的複數個3D NAND記憶體串402。第二半導體結構可以包括在半導體層370和互連層318之間並與半導體層370和互連層318接觸的記憶體層(例如,裝置/記憶體層320)。裝置/記憶體層320可以包括記憶體疊層414和在記憶體疊層414中延伸並與半導體層370接觸的複數個3D NAND記憶體串412。第三半導體結構可以包括與半導體層(如第二半導體層)390和互連層(如第三互連層)328接觸並在半導體層390和互連層328之間的裝置/記憶體層326。裝置/記憶體層326可以包括由3D NAND記憶體串402和412形成的記憶體單元的週邊電路。在一些實施方式中,週邊電路導電地連接到毗連通孔結構並包括複數個電晶體408。
在一些實施方式中,根據一些實施方式,每個3D NAND記憶體串402/412垂直地延伸穿過相應的記憶體疊層(例如,404和414),記憶體疊層包括複數個對,每個對包括導體層和介電質層。根據一些實施方式,在記憶體疊層中的交插導體層和介電質層在垂直方向上交替。換句話說,除了在記憶體疊層的頂部或底部處的層以外,每個導體層還可以由在兩側上的兩個介電質層毗連,且每個介電質層可以由在兩側上的兩個導體層毗連。導體層可以各自具有相同的厚度或不同的厚度。類似地,介電質層可以各自具有相同的厚度或不同的厚度。導體層可以包括導體材料(包括但不限於W、Co、Cu、Al、摻雜矽、矽化物或其任何組合)。介電質層可以包括介電質材料(包括但不限於氧化矽、氮化矽、氮氧化矽或其任何組合)。
在一些實施方式中,每個3D NAND記憶體串(例如,402和412)是包括半導體通道和記憶體膜的NAND記憶體串的「電荷捕獲」類型。在一些實施方式中,半導體通道包括矽(諸如非晶形矽、多晶矽或單晶矽)。在一些實施方式中,記憶體膜是包括穿隧層、儲存層(也被稱為「電荷捕獲/儲存層」)和阻障層的複合介電質層。每個3D NAND記憶體串可以具有圓柱體形狀(例如,立柱形狀)。根據一些實施方式,記憶體膜的半導體通道、穿隧層、儲存層和阻障層以這個順序沿著從立柱的中心朝著外表面的方向佈置。穿隧層可以包括氧化矽、氮化矽或其任何組合。儲存層可以包括氮化矽、氮氧化矽、矽或其任何組合。阻障層可以包括氧化矽、氮化矽、高介電常數(高k)介電質或其任何組合。在一個示例中,阻障層可以包括氧化矽/氮氧化矽/氧化矽(ONO)的複合層。在另一示例中,阻障層可以包括高k介電質層(諸如氧化鋁(Al2 O3 )、氧化鉿(HfO2 )或氧化鉭(Ta2 O5 )層等)。
在一些實施方式中,3D NAND記憶體串(例如,402和412)還包括複數個控制閘極。在相應的記憶體疊層中的每個導體層可以充當相應的3D NAND記憶體串的每個記憶體單元的控制閘極。在一些實施方式中,每個3D NAND記憶體串包括在垂直方向中相應的端部處的兩個插塞。如在本文使用的,面向上的元件(例如,3D NAND記憶體串402)的「上端」是在z軸上更遠離基底302的端部,以及面向下的元件(例如,3D NAND記憶體串412)的「上端」是在z軸上遠離相應的半導體層(例如,半導體層370)的端部。如在本文使用的,面向上的元件(例如,3D NAND記憶體串402)的「下端」是在z軸上較接近基底302的端部,以及面向下的元件(例如,3D NAND記憶體串412)的「下端」是在z軸上較接近相應的半導體層(例如,半導體層370)的端部。在3D NAND記憶體串的下端處並與半導體通道接觸的插塞可以包括從基底302/半導體層390磊晶地生長的半導體材料(諸如單晶矽)。該插塞可以起到由3D NAND記憶體串的源極選擇閘極控制的通道的作用。在上端處並與半導體通道接觸的其它插塞可以包括半導體材料(例如,多晶矽)。透過在第一半導體結構的製造期間覆蓋3D NAND記憶體串的上端,在另一端處的插塞可以起到蝕刻停止層的作用,以防止對在3D NAND記憶體串中填充的介電質(諸如氧化矽和氮化矽)的蝕刻。在一些實施方式中,在上端處的插塞起3D NAND記憶體串的汲極的作用。
要理解的是,3D NAND記憶體串402和412不限於3D NAND記憶體串的「電荷捕獲」類型,且在其它實施方式中,可以是3D NAND記憶體串的「浮動閘極」類型。基底302和半導體層390可以包括作為3D NAND記憶體串的「浮動閘極」類型的源極板的多晶矽。在一些實施方式中,記憶體疊層404和414包括複數個2D NAND記憶體串。
第三半導體結構可以包括由3D NAND記憶體串402和412形成的記憶體單元的週邊電路(和任何其它適當的邏輯製程相容裝置)。裝置/記憶體層326可以包括在半導體層390「上」形成的複數個電晶體408,其中電晶體408的全部或部分在半導體層390之下(例如,在半導體層390的底表面之上)及/或直接在半導體層390之下形成。隔離區(例如,淺溝槽隔離(STI))和摻雜區(例如,電晶體408的源極區和汲極區)也可以在半導體層390中形成。隔離區可以包括任何適當的介電質材料(諸如氧化矽、氮化矽及/或氮氧化矽)。根據一些實施方式,電晶體408在高級邏輯製程(例如,90 nm、65 nm、45 nm、32 nm、28 nm、20 nm、16 nm、14 nm、10 nm、7 nm、5 nm、3 nm等的技術節點)的情況下是高速的。
電晶體408可以形成在裝置/記憶體層326中的各種裝置或是裝置/記憶體層326中的各種裝置的部分。在一些實施方式中,裝置/記憶體層326包括全部或部分地由電晶體408形成的週邊電路。週邊電路可以是週邊電路的部分或全部。在一些實施方式中,電晶體408形成週邊電路,即,用於促進NAND記憶體的操作的任何適當的數位、類比及/或混合信號控制和感測電路,包括但不限於頁緩衝器、解碼器(例如,行解碼器和列解碼器)、感測放大器、驅動器(例如,字元線驅動器)、電荷泵、電流或電壓參考或電路的任何主動或被動元件(例如,電晶體、二極體、電阻器或電容器)。
根據本公開內容的實施方式,半導體裝置包括第一半導體結構和第二半導體結構。第一半導體結構包括具有複數個第一鍵合接觸件的第一鍵合層和垂直地延伸穿過第一鍵合層並進入第一半導體結構內的第一通孔結構。第二半導體結構包括具有複數個第二鍵合接觸件的第二鍵合層和垂直地延伸穿過第二鍵合層並進入第二半導體結構內的第二通孔結構。半導體裝置還包括在第一鍵合層和第二鍵合層之間的鍵合介面。第一鍵合接觸件在鍵合介面處與第二鍵合接觸件接觸,第一通孔結構與第二通孔結構接觸,以及第一通孔結構和第二通孔結構的側壁具有在鍵合介面處的交錯剖面。
在一些實施方式中,第一和第二鍵合層在鍵合介面的相對側上。
在一些實施方式中,第一半導體結構包括基底、在基底之上的第一互連層和在鍵合介面處並在第一互連層之上的第一鍵合層。在一些實施方式中,第二半導體結構包括在鍵合介面處且在第二互連層之下的第二鍵合層、第二互連層和在第二互連層之上的第一半導體層。
在一些實施方式中,第一通孔結構導電地連接到第一互連層。在一些實施方式中,第二通孔結構導電地連接到第二互連層並延伸到第一半導體層的頂表面。
在一些實施方式中,在鍵合介面處鍵合第一和第二鍵合層的介電質。
在一些實施方式中,半導體裝置還包括在第二半導體結構之上的、並在第二鍵合介面處鍵合到第二半導體結構的第三半導體結構。第三半導體結構可以包括在第二鍵合介面之上的焊墊引出互連層。
在一些實施方式中,在第二鍵合介面處,第三半導體結構包括具有複數個第三鍵合接觸件的第三鍵合層,第二半導體結構包括具有複數個第四鍵合接觸件的第四鍵合層,複數個第四鍵合接觸件與複數個第三鍵合接觸件接觸。
在一些實施方式中,第二通孔結構延伸穿過第四鍵合層並到達第二鍵合介面。
在一些實施方式中,第三半導體結構還包括導電地連接到焊墊引出互連層、延伸穿過第二半導體層並在第二鍵合介面處與第二通孔結構接觸的第三通孔結構。
在一些實施方式中,第三通孔結構和第二通孔結構的側壁具有在第二鍵合介面處的交錯剖面。
在一些實施方式中,在第二鍵合介面處鍵合第三和第四鍵合層的介電質。
在一些實施方式中,第一和第二半導體結構中的至少一者包括記憶體疊層和垂直地延伸穿過記憶體疊層的複數個NAND記憶體串。
在一些實施方式中,第一和第二半導體結構中的至少一者包括記憶體疊層和垂直地延伸穿過記憶體疊層的複數個NAND記憶體串。在一些實施方式中,第三半導體結構包括記憶體疊層的週邊電路。
根據本公開內容的實施方式,3D記憶體裝置包括第一半導體結構和第二半導體結構。第一半導體包括第一記憶體疊層、延伸穿過第一記憶體疊層的複數個第一NAND記憶體串、具有複數個第一鍵合接觸件的第一鍵合層和垂直地延伸穿過第一鍵合層並進入第一半導體結構內的第一通孔結構。第二半導體結構包括第二記憶體疊層、延伸穿過第一記憶體疊層的複數個第二NAND記憶體串、具有複數個第二鍵合接觸件的第二鍵合層和垂直地延伸穿過第二鍵合層並進入第二半導體結構內的第二通孔結構。3D記憶體裝置還包括在第一鍵合層和第二鍵合層之間的鍵合介面。第一鍵合接觸件在鍵合介面處與第二鍵合接觸件接觸,以及第一通孔結構與第二通孔結構接觸,且第一通孔結構和第二通孔結構的側壁具有在鍵合介面處的交錯剖面。
在一些實施方式中,第一和第二記憶體疊層在鍵合介面的相對側上。
在一些實施方式中,第一半導體結構包括基底、在基底之上的第一記憶體疊層、在第一記憶體疊層之上的第一互連層和在鍵合介面處並在第一記憶體疊層之上的第一鍵合層。在一些實施方式中,第二半導體結構包括在鍵合介面處的第二鍵合層、在第二鍵合層之上的第二互連層、在第二互連層之上的第二記憶體疊層和在第二記憶體疊層之上的第一半導體層。
在一些實施方式中,第一通孔結構導電地連接到第一互連層,以及第二通孔結構導電地連接到第二互連層並延伸到第一互連層的頂表面。
在一些實施方式中,在鍵合介面處鍵合第一和第二鍵合層的介電質。
在一些實施方式中,3D記憶體裝置還包括在第二半導體結構之上的、並在第二鍵合介面處鍵合到第二半導體結構的第三半導體結構。第三半導體結構可以包括第一和第二記憶體疊層中的至少一者的週邊電路和在週邊電路之上的焊墊引出互連層。
在一些實施方式中,在第二鍵合介面處,第三半導體結構包括具有複數個第三鍵合接觸件的第三鍵合層,且第二半導體結構包括具有複數個第四鍵合接觸件的第四鍵合層,複數個第四鍵合接觸件與複數個第三鍵合接觸件接觸。
在一些實施方式中,第二通孔結構延伸穿過第四鍵合層並到達第二鍵合介面。
在一些實施方式中,第三半導體結構還包括:導電地連接到焊墊引出互連層、延伸穿過第二半導體層並在第二鍵合介面處與第二通孔結構接觸的第三通孔結構。
在一些實施方式中,第三通孔結構和第二通孔結構的側壁具有在第二鍵合介面處的交錯剖面。
在一些實施方式中,在鍵合介面處鍵合第三和第四鍵合層的介電質。
根據本公開內容的實施方式,用於形成半導體結構的方法包括以下步驟。首先,形成第一半導體結構和第二半導體結構。第一半導體結構包括第一通孔結構和具有複數個第一鍵合接觸件的第一鍵合層,以及第二半導體結構包括第二通孔結構的一部分和具有複數個第二鍵合接觸件的第二鍵合層。第一和第二鍵合接觸件被對準以鍵合第一和第二鍵合接觸件以及鍵合第一通孔結構和第二通孔結構的該部分。使第二半導體結構減薄以暴露第二通孔結構的該部分並形成半導體層。第三半導體結構被鍵合到半導體層。此外,在第三半導體結構上形成焊墊引出互連層。
在一些實施方式中,鍵合第一和第二鍵合接觸件以及第一通孔結構和第二通孔結構的該部分包括以面對面方式鍵合第一和第二半導體結構。
在一些實施方式中,對第一和第二半導體結構以及第三和第二半導體結構的鍵合包括混合鍵合。
在一些實施方式中,形成第一半導體結構包括形成在第一基底之上的第一鍵合層以及垂直地延伸穿過第一鍵合層並在第一基底之上的第一通孔結構。在一些實施方式中,形成第二半導體結構包括形成在第二基底之上的第二鍵合層以及垂直地延伸穿過第二鍵合層進入第二基底內的第二通孔結構的該部分。在一些實施方式中,使第二半導體結構減薄包括使第二基底減薄。
在一些實施方式中,該方法還包括在將第三半導體結構鍵合到第二半導體結構之前形成第三半導體結構。形成第三半導體結構包括在第三基底之上形成第三鍵合層,第三鍵合層具有複數個第三鍵合接觸件。形成第三半導體結構還包括形成垂直地延伸穿過第三鍵合層並進入第三基底內的第三通孔結構。
在一些實施方式中,該方法還包括以下步驟。在半導體層之上形成第四鍵合層,第四鍵合層具有複數個第四鍵合接觸件。形成延伸穿過第四鍵合層並與第二通孔結構的該部分接觸的第二通孔結構的另一部分,第二通孔結構的該部分和另一部分形成第二通孔結構。第三和第四鍵合接觸件被對準以用面向下方式將第三半導體結構鍵合到第二半導體結構,使得第三鍵合接觸件與第四鍵合接觸件鍵合,以及第三通孔結構與第二通孔結構鍵合。第三基底減薄以形成第二半導體層並暴露第三通孔結構。焊墊引出互連層在第二半導體層之上形成並導電地連接到第三通孔結構。
在一些實施方式中,在相應的半導體結構中以相同的步驟形成複數個鍵合接觸件和通孔結構。
在一些實施方式中,該方法還包括:在第一和第二半導體結構中的至少一者中形成記憶體疊層,記憶體疊層位於相應基底和與相應鍵合層接觸的相應互連層之間。在一些實施方式中,該方法還包括:形成在第三基底之上的記憶體疊層的週邊電路和在第三鍵合層之下並與第三鍵合層接觸的互連層。
特定實施方式的前述描述將這樣揭露其它人可以透過將在本領域的技能範圍內的知識應用於各種應用(諸如特定的實施方式)來容易修改及/或改變的本公開內容的一般性質,而無需過度的實驗、不偏離本公開內容的一般概念。因此,基於本教導和在本文提出的指導,這樣的改變和修改旨在為在所公開的實施方式的同等要件的含義和範圍內。要理解的是,本文的語詞或術語是為了描述而不是限制的目的,使得本說明書的術語或語詞應由本領域技術人員按照本教導和指導來解釋。
上文借助於說明特定功能及其關係的實現方式的功能方塊描述了本公開內容的實施方式。在本文為了描述的方便,這些功能方塊的邊界被任意限定。可選的邊界可以被限定,只要特定功能及其關係被適當地執行。
概述和摘要章節可以闡述如由發明人所設想的本發明內容的一個或複數個但不是全部示例性實施方式,且因此並不旨在以任何方式限制本公開內容和所附請求項。
本公開內容的廣度和範圍不應由上述示例性實施方式中的任何實施方式限制,但應僅根據所附請求項及其同等要件來被限定。
100、101、200:半導體裝置 1001:毗連通孔結構 1015:第(N-1)鍵合介面 202、302、304、360、380、1009:基底 203、205:元件 204、206:鍵合介面 208、218、226、306、320、326:裝置/記憶體層 210、216、224、1007:互連層 212、214、220、222:鍵合層 228、372:焊墊引出互連層 230、232、234、236、1013:鍵合接觸件 238、374、1011:焊墊引出接觸件 240、242:半導體層 244、376:互連 246、314:第一通孔結構 248、324:第二通孔結構 250:第三通孔結構 300:方法 308:第一互連層 310:第一鍵合層 312:第一鍵合接觸件 316:第二鍵合層 318:第二互連層 322:第二鍵合接觸件 324-1:第一部分 324-2:第二部分 328:第三互連層 330:第三鍵合層 334:第三通孔結構 340:第一半導體層 342:第三鍵合接觸件 350、1003:第一鍵合介面 352:第四鍵合接觸件 354:第四鍵合層 356、1005:第二鍵合介面 370:半導體層 390:第二半導體層 400:3D記憶體裝置 402、412:3D NAND記憶體串 404、414:記憶體疊層 408:電晶體 500:流程圖 502~516:步驟 x:x軸 y:y軸 z:z軸
被合併在本文中並形成說明書一部分的附圖示出了本公開內容的實施方式,且連同本描述一起進一步用於解釋本公開內容的原理並使相關領域中的技術人員能夠製造並使用本公開內容。 圖1A示出了根據一些實施方式的具有透過鍵合而形成的毗連垂直互連連接(通孔)結構的示例性半導體裝置的橫截面的示意圖。 圖1B示出了根據一些實施方式的具有透過鍵合而形成的毗連通孔結構的另一示例性半導體裝置的橫截面的示意圖。 圖2A示出了根據一些實施方式的具有透過鍵合而形成的毗連通孔結構的示例性半導體裝置的詳細橫截面視圖。 圖2B示出了根據一些實施方式的在鍵合介面處的毗連通孔結構的一部分。 圖3A至圖3G示出了根據一些實施方式形成具有透過鍵合而形成的毗連通孔結構的半導體裝置的示例性製作過程。 圖4示出了根據一些實施方式的具有透過鍵合而形成的毗連通孔結構的示例性3D記憶體裝置。 圖5A和圖5B示出了根據一些實施方式形成具有透過鍵合而形成的毗連通孔結構的半導體裝置的示例性製作過程的流程圖。 將參考附圖描述本公開內容的實施方式。
200:半導體裝置
202:基底
204、206:鍵合介面
208、218、226:裝置/記憶體層
210、216、224:互連層
212、214、220、222:鍵合層
228:焊墊引出互連層
230、232、234、236:鍵合接觸件
238:焊墊引出接觸件
240、242:半導體層
244:互連
246:第一通孔結構
248:第二通孔結構
250:第三通孔結構
x:x軸
y:y軸
z:z軸

Claims (20)

  1. 一種半導體裝置,包括: 第一半導體結構,其包括: 第一鍵合層,其包括複數個第一鍵合接觸件;以及 第一通孔結構,其垂直地延伸穿過所述第一鍵合層並進入所述第一半導體結構內; 第二半導體結構,其包括: 第二鍵合層,其包括複數個第二鍵合接觸件;以及 第二通孔結構,其垂直地延伸穿過所述第二鍵合層並進入所述第二半導體結構內;以及 鍵合介面,其在所述第一鍵合層和所述第二鍵合層之間,其中: 所述第一鍵合接觸件在所述鍵合介面處與所述第二鍵合接觸件接觸; 所述第一通孔結構與所述第二通孔結構接觸;並且 所述第一通孔結構和所述第二通孔結構的側壁具有在所述鍵合介面處的交錯剖面。
  2. 根據請求項1所述的半導體裝置,其中,所述第一鍵合層和所述第二鍵合層在所述鍵合介面的相對側上。
  3. 根據請求項2所述的半導體裝置,其中: 所述第一半導體結構包括: 基底; 在所述基底之上的第一互連層;以及 在所述鍵合介面處並在所述第一互連層之上的所述第一鍵合層;並且 所述第二半導體結構包括: 在所述鍵合介面處並在第二互連層之下的所述第二鍵合層; 所述第二互連層;以及 在所述第二互連層之上的第一半導體層。
  4. 根據請求項3所述的半導體裝置,還包括:在所述第二半導體結構之上的、並在第二鍵合介面處鍵合到所述第二半導體結構的第三半導體結構,所述第三半導體結構包括在所述第二鍵合介面之上的焊墊引出互連層。
  5. 根據請求項4所述的半導體裝置,其中,在所述第二鍵合介面處: 所述第三半導體結構包括:包含複數個第三鍵合接觸件的第三鍵合層;並且 所述第二半導體結構包括:包含複數個第四鍵合接觸件的第四鍵合層,所述複數個第四鍵合接觸件與所述複數個第三鍵合接觸件接觸。
  6. 根據請求項5所述的半導體裝置,其中, 所述第二通孔結構延伸穿過所述第四鍵合層並到達所述第二鍵合介面; 所述第三半導體結構還包括第三通孔結構,其導電地連接到所述焊墊引出互連層、延伸穿過所述第二半導體層並在所述第二鍵合介面處與所述第二通孔結構接觸。
  7. 根據請求項6所述的半導體裝置,其中,所述第三通孔結構和所述第二通孔結構的側壁具有在所述第二鍵合介面處的交錯剖面。
  8. 根據請求項1所述的半導體裝置,其中: 所述第一半導體結構和所述第二半導體結構中的至少一者包括記憶體疊層和垂直地延伸穿過所述記憶體疊層的複數個NAND記憶體串;並且 所述第三半導體結構包括所述記憶體疊層的週邊電路。
  9. 一種三維(3D)記憶體裝置,包括: 第一半導體結構,其包括: 第一記憶體疊層; 複數個第一NAND記憶體串,其延伸穿過所述第一記憶體疊層; 第一鍵合層,其包括複數個第一鍵合接觸件;以及 第一通孔結構,其垂直地延伸穿過所述第一鍵合層並進入所述第一半導體結構內;以及 第二半導體結構,其包括: 第二記憶體疊層; 複數個第二NAND記憶體串,其延伸穿過所述第二記憶體疊層; 第二鍵合層,其包括複數個第二鍵合接觸件;以及 第二通孔結構,其垂直地延伸穿過所述第二鍵合層並進入所述第二半導體結構內;以及 鍵合介面,其在所述第一鍵合層和所述第二鍵合層之間,其中: 所述第一鍵合接觸件在所述鍵合介面處與所述第二鍵合接觸件接觸,並且 所述第一通孔結構與所述第二通孔結構接觸,並且所述第一通孔結構和所述第二通孔結構的側壁具有在所述鍵合介面處的交錯剖面。
  10. 根據請求項9所述的3D記憶體裝置,其中,所述第一記憶體疊層和所述第二記憶體疊層在所述鍵合介面的相對側上。
  11. 根據請求項10所述的3D記憶體裝置,其中: 所述第一半導體結構包括: 基底; 在所述基底之上的所述第一記憶體疊層; 在所述第一記憶體疊層之上的第一互連層;以及 在所述鍵合介面處並在所述第一記憶體疊層之上的所述第一鍵合層;並且 所述第二半導體結構包括: 在所述鍵合介面處的所述第二鍵合層; 在所述第二鍵合層之上的第二互連層; 在所述第二互連層之上的所述第二記憶體疊層;以及 在所述第二記憶體疊層之上的第一半導體層。
  12. 根據請求項9所述的3D記憶體裝置,還包括:在所述第二半導體結構之上的、並在第二鍵合介面處鍵合到所述第二半導體結構的第三半導體結構,所述第三半導體結構包括: 所述第一記憶體疊層和所述第二記憶體疊層中的至少一者的週邊電路;以及 在所述週邊電路之上的焊墊引出互連層,其中,在所述第二鍵合介面處: 所述第三半導體結構包括:包含複數個第三鍵合接觸件的第三鍵合層;並且 所述第二半導體結構包括:包含複數個第四鍵合接觸件的第四鍵合層,所述複數個第四鍵合接觸件與所述複數個第三鍵合接觸件接觸。
  13. 一種用於形成半導體結構的方法,包括: 形成第一半導體結構和第二半導體結構,所述第一半導體結構包括第一通孔結構和具有複數個第一鍵合接觸件的第一鍵合層,所述第二半導體結構包括第二通孔結構的一部分和具有複數個第二鍵合接觸件的第二鍵合層; 將所述第一鍵合接觸件和所述第二鍵合接觸件對準以鍵合所述第一鍵合接觸件和所述第二鍵合接觸件以及鍵合所述第一通孔結構和所述第二通孔結構的所述部分; 使所述第二半導體結構減薄,以暴露所述第二通孔結構的所述部分並形成半導體層; 將第三半導體結構鍵合到所述半導體層;以及 在所述第三半導體結構上形成焊墊引出互連層。
  14. 根據請求項13所述的方法,其中,鍵合所述第一鍵合接觸件和所述第二鍵合接觸件以及鍵合所述第一通孔結構和所述第二通孔結構的所述部分包括:以面對面方式鍵合所述第一半導體結構和所述第二半導體結構。
  15. 根據請求項14所述的方法,其中,對所述第一半導體結構和所述第二半導體結構以及所述第三半導體結構和所述第二半導體結構的鍵合包括混合鍵合。
  16. 根據請求項14所述的方法,其中: 形成所述第一半導體結構包括:形成在第一基底之上的所述第一鍵合層、以及垂直地延伸穿過所述第一鍵合層並在所述第一基底之上的所述第一通孔結構; 形成所述第二半導體結構包括:形成在第二基底之上的所述第二鍵合層、以及垂直地延伸穿過所述第二鍵合層並進入所述第二基底內的所述第二通孔結構的所述部分;並且 使所述第二半導體結構減薄包括:使所述第二基底減薄。
  17. 根據請求項16所述的方法,還包括:在將所述第三半導體結構鍵合到所述第二半導體結構之前形成所述第三半導體結構,其中,形成所述第三半導體結構包括: 在第三基底之上形成第三鍵合層,所述第三鍵合層包括複數個第三鍵合接觸件;以及 形成垂直地延伸穿過所述第三鍵合層並進入所述第三基底內的第三通孔結構。
  18. 根據請求項17所述的方法,還包括: 在所述半導體層之上形成第四鍵合層,所述第四鍵合層包括複數個第四鍵合接觸件; 形成延伸穿過所述第四鍵合層並與所述第二通孔結構的所述部分接觸的所述第二通孔結構的另一部分,所述第二通孔結構的所述部分和所述另一部分形成所述第二通孔結構; 使所述第三鍵合接觸件和所述第四鍵合接觸件對準以用面向下方式將所述第三半導體結構鍵合到所述第二半導體結構,使得所述第三鍵合接觸件與所述第四鍵合接觸件鍵合,並且所述第三通孔結構與所述第二通孔結構鍵合; 使所述第三基底減薄,以形成第二半導體層並暴露所述第三通孔結構;以及 形成在所述第二半導體層之上的、並導電地連接到所述第三通孔結構的所述焊墊引出互連層。
  19. 根據請求項13所述的方法,其中,在相應的半導體結構中以相同的步驟形成所述複數個鍵合接觸件和所述通孔結構。
  20. 根據請求項17所述的方法,還包括: 在所述第一半導體結構和所述第二半導體結構中的至少一者中形成記憶體疊層,所述記憶體疊層在相應基底和與相應鍵合層接觸的相應互連層之間;以及 形成在所述第三基底之上的所述記憶體疊層的週邊電路和在所述第三鍵合層之下並與所述第三鍵合層接觸的互連層。
TW109102214A 2019-11-05 2020-01-21 半導體裝置、三維記憶體裝置和用於形成半導體結構的方法 TWI759678B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
PCT/CN2019/115562 WO2021087720A1 (en) 2019-11-05 2019-11-05 Semiconductor devices having adjoined via structures formed by bonding and methods for forming the same
WOPCT/CN2019/115562 2019-11-05

Publications (2)

Publication Number Publication Date
TW202119600A true TW202119600A (zh) 2021-05-16
TWI759678B TWI759678B (zh) 2022-04-01

Family

ID=69913808

Family Applications (2)

Application Number Title Priority Date Filing Date
TW111118176A TWI804314B (zh) 2019-11-05 2020-01-21 半導體裝置與三維記憶體裝置
TW109102214A TWI759678B (zh) 2019-11-05 2020-01-21 半導體裝置、三維記憶體裝置和用於形成半導體結構的方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW111118176A TWI804314B (zh) 2019-11-05 2020-01-21 半導體裝置與三維記憶體裝置

Country Status (4)

Country Link
US (2) US11424208B2 (zh)
CN (1) CN110945650A (zh)
TW (2) TWI804314B (zh)
WO (1) WO2021087720A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI779925B (zh) * 2021-03-25 2022-10-01 南亞科技股份有限公司 具有半導體貫穿通孔的半導體元件及其製備方法

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113629048A (zh) * 2020-05-07 2021-11-09 爱普科技股份有限公司 半导体结构及制造多个半导体结构的方法
CN111883520B (zh) * 2020-09-03 2021-07-16 长江存储科技有限责任公司 半导体结构及其制造方法
KR20220040537A (ko) * 2020-09-23 2022-03-31 삼성전자주식회사 반도체 패키지
KR20220068056A (ko) * 2020-11-18 2022-05-25 에스케이하이닉스 주식회사 반도체 메모리 장치 및 그 제조방법
US11557572B2 (en) * 2021-05-13 2023-01-17 Nanya Technology Corporation Semiconductor device with stacked dies and method for fabricating the same
US11876063B2 (en) * 2021-08-31 2024-01-16 Nanya Technology Corporation Semiconductor package structure and method for preparing the same

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9831154B2 (en) * 2014-07-14 2017-11-28 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacuting method of the same
US9953941B2 (en) * 2015-08-25 2018-04-24 Invensas Bonding Technologies, Inc. Conductive barrier direct hybrid bonding
US10685911B2 (en) * 2016-06-30 2020-06-16 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package and manufacturing method of the same
WO2019037403A1 (en) * 2017-08-21 2019-02-28 Yangtze Memory Technologies Co., Ltd. THREE-DIMENSIONAL STABLE MEMORY DEVICES AND METHODS OF FORMING THE SAME
DE102018124337A1 (de) * 2017-11-21 2019-05-23 Taiwan Semiconductor Manufacturing Co. Ltd. Ankerstrukturen und verfahren zur gleichmässigen waferplanarisierung und -bondung
US11152417B2 (en) 2017-11-21 2021-10-19 Taiwan Semiconductor Manufacturing Co., Ltd. Anchor structures and methods for uniform wafer planarization and bonding
US10825772B2 (en) * 2018-04-30 2020-11-03 Xilinx, Inc. Redundancy scheme for multi-chip stacked devices
CN109219885A (zh) * 2018-07-20 2019-01-15 长江存储科技有限责任公司 三维存储器件
US10727205B2 (en) * 2018-08-15 2020-07-28 Taiwan Semiconductor Manufacturing Co., Ltd. Hybrid bonding technology for stacking integrated circuits
CN111415941B (zh) * 2018-09-20 2021-07-30 长江存储科技有限责任公司 多堆叠层三维存储器件
CN109643700B (zh) * 2018-11-21 2019-09-10 长江存储科技有限责任公司 用于接合界面处的接合对准标记的方法、器件和结构
JP7329601B2 (ja) 2019-01-30 2023-08-18 長江存儲科技有限責任公司 半導体デバイス、接合構造および半導体デバイスを形成するための方法
US10797035B1 (en) * 2019-04-02 2020-10-06 Sandisk Technologies Llc Bonded assembly containing side bonding structures and methods of manufacturing the same
KR102601225B1 (ko) * 2019-04-15 2023-11-10 양쯔 메모리 테크놀로지스 씨오., 엘티디. 복수의 기능 칩이 있는 3차원 nand 메모리 디바이스의 집적화
US11094613B2 (en) * 2019-08-22 2021-08-17 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and manufacturing method thereof

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI779925B (zh) * 2021-03-25 2022-10-01 南亞科技股份有限公司 具有半導體貫穿通孔的半導體元件及其製備方法
US11664364B2 (en) 2021-03-25 2023-05-30 Nanya Technology Corporation Semiconductor device with through semiconductor via and method for fabricating the same

Also Published As

Publication number Publication date
US20210134748A1 (en) 2021-05-06
CN110945650A (zh) 2020-03-31
US11424208B2 (en) 2022-08-23
US11887954B2 (en) 2024-01-30
WO2021087720A1 (en) 2021-05-14
TWI804314B (zh) 2023-06-01
TW202234676A (zh) 2022-09-01
TWI759678B (zh) 2022-04-01
US20220059482A1 (en) 2022-02-24

Similar Documents

Publication Publication Date Title
JP7335309B2 (ja) 3次元メモリデバイスのハイブリッドボンディングコンタクト構造
TWI691057B (zh) 多堆疊層三維記憶體件
TWI717645B (zh) 三維記憶體件的嵌入式焊盤結構及其製造方法
TWI653743B (zh) 三維記憶體裝置及其製作方法
US11233041B2 (en) Bonded three-dimensional memory devices and methods for forming the same
TWI759678B (zh) 半導體裝置、三維記憶體裝置和用於形成半導體結構的方法
TWI718828B (zh) 鍵合的三維記憶體裝置及其形成方法
TW202008568A (zh) 三維記憶體裝置
TW202008567A (zh) 用於形成三維記憶體裝置的方法
US11037945B2 (en) Bonded three-dimensional memory devices and methods for forming the same
KR102668694B1 (ko) 본딩된 3차원 메모리 디바이스 및 그 방법들