TW202113131A - 半導體裝置之製造方法、基板處理裝置及程式 - Google Patents

半導體裝置之製造方法、基板處理裝置及程式 Download PDF

Info

Publication number
TW202113131A
TW202113131A TW109127846A TW109127846A TW202113131A TW 202113131 A TW202113131 A TW 202113131A TW 109127846 A TW109127846 A TW 109127846A TW 109127846 A TW109127846 A TW 109127846A TW 202113131 A TW202113131 A TW 202113131A
Authority
TW
Taiwan
Prior art keywords
gas
substrate
layer
film
temperature
Prior art date
Application number
TW109127846A
Other languages
English (en)
Other versions
TWI742800B (zh
Inventor
越保信
奥田和幸
橋本良知
原田勝吉
Original Assignee
日商國際電氣股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商國際電氣股份有限公司 filed Critical 日商國際電氣股份有限公司
Publication of TW202113131A publication Critical patent/TW202113131A/zh
Application granted granted Critical
Publication of TWI742800B publication Critical patent/TWI742800B/zh

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45568Porous nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/301AIII BV compounds, where A is Al, Ga, In or Tl and B is N, P, As, Sb or Bi
    • C23C16/303Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/4554Plasma being used non-continuously in between ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45546Atomic layer deposition [ALD] characterized by the apparatus specially adapted for a substrate stack in the ALD reactor
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

本發明之課題在於提升基板上所形成之氮化膜的膜質,並減低使成膜處理後之基板降溫時之基板翹曲量。 本發明之解決手段在於具有藉由進行既定次數的包含下述步驟(a)~(c)之周期,而於基板上形成含有既定元素及氮之膜的成膜步驟;(a)對處理室內之加熱至第1溫度的上述基板,供給含有既定元素及鹵元素之原料氣體,形成第1層的步驟;(b)對處理室內之基板,供給含有氫且不含氮之經電漿激發的第1改質氣體,使第1層改質而形成第2層的步驟;與(c)對處理室內之上述基板,供給含有氮及氫之經電漿激發的第2改質氣體,使第2層改質而形成第3層的步驟;將(b)中上述第1改質氣體的供給時間TH 設為較(c)中之上述第2改質氣體的供給時間TN 長。

Description

半導體裝置之製造方法、基板處理裝置及程式
本發明係關於半導體裝置之製造方法、基板處理裝置及程式。
作為半導體裝置之製造步驟的一步驟,有如進行於經加熱之基板上形成含有既定元素及氮之膜(以下稱為氮化膜)之處理(例如參照專利文獻1)。 [先前技術文獻] [專利文獻]
[專利文獻1]國際專利公開第2006/088062號
(發明所欲解決之問題)
本發明之目的在於提供一種使形成於基板上之氮化膜之膜質提升,同時可減低成膜處理後之於該氮化膜所產生之應力(壓力)的技術。 (解決問題之技術手段)
根據本發明之一態樣,提供一種技術,係具有藉由進行既定次數的包含下述步驟(a)~(c)之周期,而於基板上形成含有既定元素及氮之膜的成膜步驟; (a)對處理室內之加熱至第1溫度的上述基板,供給含有上述既定元素及鹵元素之原料氣體,形成第1層的步驟; (b)對上述處理室內之上述基板,供給含有氫且不含氮之經電漿激發的第1改質氣體,使上述第1層改質而形成第2層的步驟;與 (c)對上述處理室內之上述基板,供給含有氮及氫之經電漿激發的第2改質氣體,使上述第2層改質而形成第3層的步驟; 將(b)中之上述第1改質氣體的供給時間TH 設為較(c)中之上述第2改質氣體的供給時間TN 長。 (對照先前技術之功效)
根據本發明,可使形成於基板上之氮化膜之膜質提升,同時可減低成膜處理後之於該氮化膜所產生之應力(壓力)。
<本發明之一態樣> 以下參照圖1~4說明本發明之一態樣。
(1) 基板處理裝置之構成 如圖1所示,處理爐202係具有作為加熱機構(溫度調整部)之加熱器207。加熱器207為圓筒形狀,由保持板所支撐而垂直豎立。加熱器207亦具有作為藉由熱使氣體活性化(激發)之活性化機構(激發部)的機能。
於加熱器207內側,與加熱器207呈同心圓狀地配設反應管203。反應管203由例如石英(SiO2 )或碳化矽(SiC)等耐熱性材料所構成,形成為上端閉塞、下端開口的圓筒形狀。於反應管203之下方,與反應管203呈同心圓狀地配設岐管209。岐管209由例如SUS(不鏽鋼)等金屬材料所構成,形成為上端及下端開口的圓筒形狀。於岐管209之上端部,卡合於反應管203之下端部,構成為支撐反應管203。於岐管209與反應管203之間,設有作為密封構件的O型環220a。反應管203係與加熱器207同樣地垂直豎立。主要由反應管203與岐管209構成處理容器(反應容器)。於處理容器之筒中空部形成處理室201。處理室201構成為可收容作為基板之晶圓200。
於處理室201內,噴嘴249a、249b係設置成貫通岐管209之側壁。於噴嘴249a、249b,分別連接氣體供給管232a、232b。
於氣體供給管232a、232b,係由氣流之上游側起依序分別設置屬於流量控制器(流量控制部)之質量流量控制器(MFC)241a、241b及屬於開關閥之閥243a、243b。在氣體供給管232a之較閥243a更下游側,連接氣體供給管232d。在氣體供給管232b之較閥243b更下游側,分別連接氣體供給管232c、232e。於氣體供給管232c~232e,由氣流之上游側起依序分別設置MFC241c~241e及閥243c~243e。
如圖2所示般,噴嘴249a、249b係在反應管203之內壁與晶圓200之間於俯視時呈圓環狀之空間中,分別設置成由反應管203之內壁下部起沿著上部、朝晶圓200之積載方向上方立起。亦即,噴嘴249a、249b係在晶圓200所配列之晶圓配列區域之側方中、水平包圍晶圓配列區域之區域,沿著晶圓配列區域而分別設置。於噴嘴249a、249b之側面,分別設置供給氣體之氣體供給孔250a、250b。氣體供給孔250a係朝反應管203之中心呈開口,可朝晶圓200供給氣體。氣體供給孔250b係朝後述緩衝室237之中心呈開口。氣體供給孔250a、250b係由反應管203之下部起涵括至上部而複數設置。
噴嘴249b係設於屬於氣體分散空間之緩衝室237內。緩衝室237係形成於反應管203之內壁與隔壁237a之間。緩衝室237(隔壁237a)係在反應管203之內壁與晶圓200之間於俯視時呈圓環狀之空間中、且由反應管203之內壁之下部起涵括至上部的部分,沿著晶圓200之積載方向設置。亦即,緩衝室237(隔壁237a) 係在晶圓配列區域之側方中、水平包圍晶圓配列區域之區域,沿著晶圓配列區域而設置。在隔壁237a之與晶圓200相對向(鄰接)之面的端部,設有供給氣體之氣體供給孔250c。氣體供給孔250c係朝反應管203之中心呈開口,可朝晶圓200供給氣體。氣體供給孔250c係由反應管203之下部起涵括至上部複數設置。
由氣體供給管232a,係例如使含有作為構成欲形成之膜之主元素(既定元素)之矽(Si)及鹵元素的鹵矽烷系氣體,經由MFC241a、閥243a、噴嘴249a供給至處理室201內作為原料氣體。所謂原料氣體,係指氣體狀態的原料,例如藉由將常溫常壓下呈液體狀態之原料氣化而得的氣體,或常溫常壓下呈氣體狀態之原料等。鹵元素包括氯(Cl)、氟(F)、溴(Br)、碘(I)等。氯矽烷系氣體係作用為Si源。作為鹵矽烷系氣體,可使用例如含有Cl之氯矽烷系氣體。作為氯矽烷系氣體,可使用例如二氯矽烷(SiH2 Cl2 ,簡稱:DCS)氣體。
由氣體供給管232b,將作為第1改質氣體之含有氫(H)且不含氮(N)之氣體,經由MFC241b、閥243b、噴嘴249b、緩衝室237供給至處理室201內。含有H且不含N之氣體,可使用例如氫(H2 )氣。
由氣體供給管232c,將作為第2改質氣體之含N及H之氣體,經由MFC241c、閥243c、氣體供給管232b、噴嘴249b、緩衝室237供給至處理室201內。作為含N及H之氣體可使用例如氮化氫系氣體。作為氮化氫系氣體,可使用例如氨(NH3 )氣體。
由氣體供給管232d、232e,將氮(N2 )氣分別經由MFC241c、241d、閥243d、243e、氣體供給管232a、232b、噴嘴249a、249b、緩衝室237供給至處理室201內。N2 氣體係作用為沖洗氣體或載體氣體。
主要由氣體供給管232a、MFC241a、閥243a構成原料氣體供給系統。主要由氣體供給管232b、MFC241b、閥243b構成氫氣供給系統。主要由氣體供給管232c、MFC241c、閥243c構成氮化氫系氣體供給系統。主要由氣體供給管232d、232e、MFC241c、241d、閥243d、243e、構成氮氣供給系統。
上述各種供給系統中,任一者或所有之供給系統亦可構成為使閥243a~243d或MFC241a~241d等積集而成的積集型供給系統248。積集型供給系統248係對氣體供給管232a~232d分別連接,對氣體供給管232a~232d內之各種氣體的供給動作、亦即閥243a~243d之開關動作或MFC241a~241d進行之流量調整動作等,係構成為由後述控制器121所控制。積集型供給系統248係構成為一體型、或分割型之積集單元,可對氣體供給管232a~232d等依積集單元單位進行裝卸,構成為可依積集單元單位進行積集型供給系統248之維修、交換、增設等。
於緩衝室237內,分別使由導電體所構成、具有細長構造之2根棒狀電極269、270,由反應管203之內壁之下部起沿著上部、朝晶圓200之積載方向立起而設置。棒狀電極269、270係分別設為與噴嘴249b平行。棒狀電極269、270係分別由上部至下部被電極保護管275被覆而保護。棒狀電極269、270之任一者係經由整合器272連接於高頻電源273,另一者則連接於屬基準電位的地線。藉由從高頻電源273對棒狀電極269、270之間施加高頻(RF)電力,而於棒狀電極269、270間的電漿生成區域224生成電漿。主要由棒狀電極269、270、電極保護管275構成使氣體激發(活性化)為電漿狀態的電漿激發部(活性化機構)。亦可將整合器272、高頻電源273視為含於電漿激發部。
於反應管203之側壁下方,連接對處理室201內之環境進行排氣的排氣管231。排氣管231係經由檢測處理室201內壓力之作為壓力檢測器(壓力檢測部)的壓力感測器245及作為壓力調整器(壓力調整部)之APC(Auto Pressure Controller,自動壓力控制器)閥244,連接作為真空排氣裝置的真空泵246。APC閥244係構成為藉由依使真空泵246作動之狀態開關閥,而可進行處理室201內之真空排氣或真空排氣停止,進而依使真空泵246作動之狀態,根據藉由壓力感測器245所檢測出之壓力資訊進行閥開度調節,而可調整處理室201內之壓力。主要由排氣管231、APC閥244、壓力感測器245構成排氣系統。真空泵246亦可認為涵括於排氣系統中。
於岐管209下方,設有可將岐管209下端開口氣密地閉塞之作為爐口蓋體的密封蓋219。於密封蓋219上面,設有與岐管209下端抵接之作為密封構件的O型環220b。於密封蓋219下方,設置使後述晶舟217旋轉的旋轉機構267。旋轉機構267之旋轉軸255係貫通密封蓋219而連接至晶舟217。旋轉機構267係構成為藉由使晶舟217旋轉而使晶圓200旋轉。密封蓋219係構成為藉由設置於反應管203外部之作為昇降機構的晶舟升降器115而於垂直方向昇降。晶舟升降器115係構成藉由使密封蓋219昇降,而將晶圓200於處理室201內外進行搬入及搬出(搬送)的搬送裝置(搬送機構)。
作為基板支撐具之晶舟217係構成為使複數片、例如25~200片晶圓200以水平姿勢、且以彼此的中心對齊之狀態,於垂直方向上整齊排列而多段地支撐,亦即,隔著間隔而配列。晶舟217係由例如石英或SiC等耐熱性材料所構成。於晶舟217之下部係由隔熱板218多段地支撐著。
於反應管203內,設置有作為溫度檢測器之溫度感測器263。根據藉由溫度感測器263檢測出之溫度資訊而調整對加熱器207之通電狀況,使處理室201內之溫度成為所需之溫度分布。溫度感測器263係沿著反應管203的內壁設置。
如圖3所示般,屬於控制部(控制手段)之控制器121係構成為具備CPU(Central Processing Unit)121a、RAM(Random Access Memory)121b、記憶裝置121c、I/O埠121d的電腦。RAM 121b、記憶裝置121c、I/O埠121d係構成為經由內部匯流排121e而可與CPU 121a進行資料交換。控制器121係連接有例如構成為觸控面板等之輸入輸出裝置122。
記憶裝置121c係由例如快閃記憶體、HDD(Hard Disk Drive)等所構成。於記憶裝置121c內可讀取地儲存有控制基板處理裝置之動作的控制程式,或記載有後述成膜處理之手續或條件等的成膜配方(recipe)等。製程配方係以將後述成膜處理中各手續藉控制器121執行,而可獲得既定之結果之方式組合者,作為程式而執行。以下,作為製程配方或控制程式等的總稱,亦僅稱為程式。本說明書中於使用程式一詞的情況,係指僅含配方單體的情況、僅含控制程式單體的情況、或含有此二者之情況。RAM 121b係構成為使藉由CPU 121a讀出之程式或數據等暫時地保存之記憶區域(工作區域)。
I/O埠121d係連接於上述MFC 241a~241d、閥243a~243d、壓力感測器245、APC閥244、真空泵246、溫度感測器263、加熱器207、旋轉機構267、晶舟升降器115、整合器272、高頻電源273等。
CPU 121a係構成為自記憶裝置121c讀取控制程式並執行,且配合自輸入輸出裝置122之操作指令之輸入等由記憶裝置121c讀取配方。CPU 121a係構成為依照讀取之配方的內容,控制利用MFC 241a~241d之各種氣體之流量調整動作、閥243a~243d的開關動作、APC閥244之開關動作及基於壓力感測器245而利用APC閥244進行之壓力調整動作、真空泵246的啟動及停止、基於溫度感測器263之加熱器207的溫度調整動作、由旋轉機構267進行之晶舟217旋轉及旋轉速度調節動作、利用晶舟昇降機115之晶舟217的昇降動作、利用整合器272之阻抗調整動作、對高頻電源273之電力供給等。
控制器121係可藉由將由外部記憶裝置(例如HDD等磁碟、CD等光碟、MO等磁光碟、USB記憶體等半導體記憶體)123所儲存之上述程式安裝到電腦中而構成。記憶裝置121c或外部記憶裝置123係由可被電腦讀取之記錄媒體所構成。以下,作為此等之總稱,簡稱為記錄媒體。本說明書中於使用記錄媒體一詞的情況,係指僅含記憶裝置121c單體的情況、僅含外部記憶裝置123單體的情況、或含有此二者之情況。尚且,對電腦之程式提供,亦可不使用外部記憶裝置123,而使用網路或專用線路等通訊手段進行。
(2)成膜處理 作為使用上述基板處理裝置、半導體裝置的製造步驟之一步驟,針對於作為基板之晶圓200上形成矽氮化膜(SiN膜)之時序例,使用圖4進行說明。晶圓200為Si晶圓。以下的說明中,構成基板處理裝置之各部的動作係藉由控制器121所控制。
圖4所示一連串之處理時序係具有藉由進行包含下述步驟之周期既定次數(n次),於晶圓200上形成SiN膜作為含Si及N之膜的成膜步驟: 對處理室201內之加熱至第1溫度的晶圓200供給作為原料氣體之DCS氣體而形成第1層的步驟A; 對處理室201內之晶圓200供給經電漿激發的作為第1改質氣體的H2 氣體,使第1層改質(收縮)而形成第2層的步驟B; 對處理室201內之晶圓200供給經電漿激發的作為第2改質氣體的NH3 氣體,使第2層改質(氮化)而形成第3層的步驟C; 步驟B中之H2 氣體的供給時間TH 設為較步驟C中之NH3 氣體的供給時間TN 長。
本說明書中,為了方便亦將圖4所示之氣體供給時序如以下。以下之變形例等說明中亦使用相同表記。
(DCS→H2 →NH3 )×n
Figure 02_image001
SiN
本說明書中於使用「晶圓」一詞的情況,係有意指晶圓本身的情況、或意指晶圓與其表面所形成之既定之層或膜等之積層體的情況。本說明書中於使用「晶圓表面」一詞的情況,係有意指晶圓本身之表面的情況、或指晶圓上所形成之既定之層等之表面的情況。本說明書中於記載了「於晶圓上形成既定之層」的情況,係代表於晶圓本身之表面上直接形成既定之層的情況、或代表對晶圓上所形成之層等之上形成既定之層的情況。本說明書中使用「基板」一詞的情況,亦與使用「晶圓」一詞的情況具有相同意義。
(晶圓充填及晶舟裝載) 將複數片之晶圓200裝填(晶圓充填)於晶舟217,如圖1所示般,支撐著複數片之晶圓200的晶舟217,係藉由晶舟昇降機115被上舉並搬入至處理室201內(晶舟裝載)。於此狀態下,密封蓋219係經由O型環220b使岐管209之下端成為密封之狀態。
(壓力調整及溫度調整) 以使處理室201內、亦即晶圓200存在之空間成為所需壓力(真空度)之方式,藉由真空泵246對處理室201內進行真空排氣(減壓排氣)。此時,處理室201內之壓力係藉由壓力感測器245所測定,根據所測定之壓力資訊回饋控制APC閥244(壓力調整)。又,以使處理室201內之晶圓200成為所需溫度之方式,藉由加熱器207加熱。此時,依處理室201內成為所需溫度分佈之方式,根據溫度感測器263所檢測出之溫度資訊,回饋控制對加熱器207的通電程度(溫度調整)。又,藉由旋轉機構267開始晶圓200之旋轉。真空泵246之作動、晶圓200之加熱及旋轉之任一者,係至少在對晶圓200之處理結束前之期間持續進行。
(成膜步驟) 其後,依序實施以下之3個步驟、亦即步驟A~C。
[步驟A] 於此步驟,係對處理室201內之晶圓200供給DCS氣體。
具體而言,打開閥243a,使DCS氣體流通至氣體供給管232a。DCS氣體係藉由MFC241a進行流量調整,經由噴嘴249a供給至處理室201內,並由排氣管231排氣。此時,對晶圓200供給DCS氣體。此時,亦可打開閥243d、243e,於氣體供給管232d、232e內流通N2 氣體。N2 氣體係藉由MFC241c、241d進行流量調整,經由噴嘴249a、249b、緩衝室237供給至處理室201內。
作為本步驟中之處理條件,可例示: DCS氣體供給流量:1~2000sccm、較佳10~1000sccm N2 氣體供給流量(各氣體供給管):0~20000sccm、較佳1000~10000sccm 各氣體供給時間:1~120秒、較佳1~60秒 處理溫度(第1溫度):450~700℃、較佳450~550℃ 處理壓力:1~2666Pa、較佳67~1333Pa。
藉由於上述條件下對晶圓200供給DCS氣體,於晶圓200之表面上,形成含有Cl之含Si層作為第1層。含有Cl之含Si層係藉由於晶圓200之表面使DCS氣體化學吸附或物理吸附、DCS氣體之部分經分解的物質(以下記為SiHx Cly )化學吸附、DCS因熱分解所得之Si之堆積等而形成。含有Cl之含Si層可為DCS或SiHx Cly 之吸附層(物理吸附層或化學吸附層),亦可為含有Cl之Si堆積層。又,本說明書中,亦將含有Cl之含Si層簡稱為含Si層。
於晶圓200上形成第1層後,關閉閥243a,停止DCS氣體對處理室201內的供給。然後,對處理室201內進行真空排氣,自處理室201內排除殘留於處理室201內之氣體等。此時,亦可將閥243d、243e開啟,將N2 氣體供給至處理室201內。N2 氣體係作用為沖洗氣體。
作為原料氣體,係除了DCS氣體之外,可使用單氯矽烷(SiH3 Cl,簡稱MCS)氣體、三氯矽烷(SiHCl3 ,簡稱:TCS)氣體、四氯矽烷(SiCl4 ,簡稱:STC)氣體、六氯二矽烷(Si2 Cl6 ,簡稱:HCDS)氣體、八氯三矽烷(Si3 Cl8 ,簡稱:OCTS)氣體等之氯矽烷系氣體。又,作為原料氣體,可使用四氟矽烷(SiF4 )氣體、四溴矽烷(SiBr4 )氣體、四碘矽烷(SiI4 )氣體等。亦即,作為原料氣體,可使用氯矽烷系氣體、氟矽烷系氣體、溴矽烷系氣體、碘矽烷系氣體等各種鹵矽烷系氣體。
作為沖洗氣體,除了N2 氣體之外,例如,可使用Ar氣體、He氣體、Ne氣體、Xe氣體等稀有氣體。此點於後述之步驟B、C中亦相同。
[步驟B] 步驟A結束後,對處理室201內之晶圓200、亦即晶圓200上所形成之第1層供給經電漿激發的H2 氣體。
具體而言,於棒狀電極269、270間施加高頻電力,並依與步驟A中之閥243a、243d、243e之開關控制相同的手續,進行閥243b、243d、243e之開關控制。H2 氣體係藉由MFC241b進行流量調整,經由噴嘴249b、緩衝室237供給至處理室201內,並自排氣管231排氣。此時,對晶圓200供給經電漿激發的H2 氣體。H2 氣體係在通過緩衝室237時被電漿所激發(活性化),此時,生成H2 等活性種,將此活性種供給至晶圓200。本說明書中,為了方便亦將經電漿激發的H2 氣體稱為H2 氣體。
作為本步驟中之處理條件,可例示: H2 氣體供給流量:100~10000sccm、較佳1000~10000sccm 高頻電力RH :50~1000W H2 氣體供給時間TH:60~240秒、較佳20~120秒 處理壓力:1~100Pa、較佳1~50Pa。其他處理條件係設為與步驟A之處理條件相同。又,H2 氣體供給時間TH 係設為較後述步驟C中之NH3 氣體的供給時間TN 長之時間。
藉由於上述條件下對晶圓200供給H2 氣體,可使步驟A中形成於晶圓200上之第1層之至少一部分改質。具體而言,第1層所含之Cl等之不純物自第1層脫離之同時,會使雜質脫離後之含Si層緻密化,可使第1層收縮(shrink)。藉由將第1層改質,可於晶圓200上,作為第2層,形成與第1層之雜質濃度相比,不純物濃度更低之緻密的含Si層。第2層係藉由第1層收縮所產生的拉張應力而成為內包。將第2層內包之內部應力(拉張應力)係較將第1層內包之內部應力更大。
於晶圓200上形成第2層後,關閉閥243b,並停止對棒狀電極269、270間之高頻電力施加,停止H2 氣體對處理室201內的供給。然後,依與步驟A相同的處理手續,自處理室201內排除殘留於處理室201內之氣體等。
作為第1改質氣體,除了H2 氣體之外,可使用氘(D2 )氣體等含H但不含N之氣體。
[步驟C] 步驟B結束後,對處理室201內之晶圓200、亦即晶圓200表面上所形成之第2層供給經電漿激發之NH3 氣體。
具體而言,於棒狀電極269、270間施加高頻電力,並依與步驟A中之閥243a、243d、243e之開關控制相同的手續,進行閥243c、243d、243e之開關控制。NH3 氣體係藉由MFC241c調整流量,經由噴嘴249b、緩衝室237供給至處理室201內,並由排氣管231進行排氣。此時,對晶圓200供給經電漿激發之NH3 氣體。NH3 氣體係通過緩衝室237時被電漿激發,此時生成NH3 等活性種,此活性種供給至晶圓200。本說明書中,為了方便亦將經電漿激發之NH3 氣體稱為NH3 氣體。
作為本步驟中之處理條件,可例示: NH3 氣體供給流量:100~10000sccm 高頻電力RN :50~1000W NH3 氣體供給時間TN :1~120秒、較佳1~60秒 處理壓力:1~200Pa、較佳1~100Pa。其他處理條件係設為與步驟A之處理條件相同。又,步驟C中之NH3 氣體供給時間TN 係設為較後述步驟B中之H2 氣體的供給時間TH 短之時間。
藉由於上述條件下對晶圓200供給NH3 氣體,可使於步驟B中晶圓200上所形成之第2層之至少一部分改質(氮化)。藉由使第2層氮化,於晶圓200上形成含有Si及N之矽氮化層(SiN層)作為第3層。又,形成第3層時,第2層中所殘留之Cl等微量雜質,係由第2層分離。本步驟中,係伴隨NH3 氣體所含之N原子結合至第2層,進行第2層朝SiN層的改質。因此,本步驟中,與藉由不含構成第2層之原子之H2 氣體依使Cl等雜質由第1層脫離之方法對第1層進行改質的步驟B不同,幾乎不發生因改質所致第2層之收縮。又,第2層所內包之內部應力、亦即因第1層發生收縮而內包於第2層中之拉張應力,將不被釋放而殘留於第3層中。第3層所具有之內部應力(拉張應力)係維持較第1層所具有之內部應力大。
於晶圓200上形成第3層後,關閉閥243c,並停止對棒狀電極269、270間之高頻電力施加,停止NH3 氣體對處理室201內的供給。然後,依與步驟A相同的處理手續,自處理室201內排除殘留於處理室201內之氣體等。
作為反應氣體,除了NH3 氣體之外,可使用二氮稀(N2 H2 )氣體、肼(N2 H4 氣體)、N3 H8 氣體等氮化氫系氣體。
[實施既定次數] 藉由將非同時、亦即未同期地進行上述步驟A~C的周期進行既定次數(n次,n為1以上之整數),可於晶圓200上形成既定膜厚、既定組成之SiN膜。上述周期較佳係重複複數次。亦即,較佳係使藉由進行1次上述周期所形成之SiN層之厚度小於所需膜厚,重複上述周期複數次直到藉由SiN層積層所形成之SiN膜之膜厚成為所需膜厚為止。
(後沖洗及大氣壓恢復) 成膜結束後,分別由氣體供給管232d、232e將作為沖洗氣體之N2 氣體供給至處理室201內,並由排氣管231排氣。藉此,沖洗處理室201內,將殘留於處理室201內之氣體或反應副產物由處理室201去除(後沖洗)。其後,將處理室201內之環境置換為惰性氣體(惰性氣體置換),處理室201內之壓力恢復為常壓(大氣壓恢復)。於進行此等步驟之過程中,晶圓200之溫度係降低至較上述第1溫度(成膜溫度)低的第2溫度。作為第2溫度,例如為常溫~200℃範圍內的既定溫度。本說明書中,使晶圓200之溫度降低至較第1溫度低之第2溫度的步驟亦稱為降溫步驟。
(晶舟卸載及晶圓卸除) 藉由晶舟昇降機115使密封蓋219下降,使岐管209之下端開口。然後,將處理完畢之晶圓200依被晶舟217支撐之狀態從岐管209之下端搬出至反應管203的外部(晶舟卸載)。處理完畢之晶圓200被搬出至反應管203之外部後,由晶舟217取出(晶圓卸除)。
(3)本態樣之效果 根據上述態樣,可獲得以下所示之一種或複數種效果。
(a)根據本態樣,藉由進行對晶圓200供給H2 氣體使第1層改質而形成第2層之步驟B、與對晶圓200供給NH3 氣體使第2層改質而形成第3層之步驟C,可將形成於晶圓200上之SiN膜作成為Cl等雜質之濃度低的膜。亦即,可提升形成於晶圓200上之SiN膜的膜質。
(b)根據本態樣,在使成膜處理後之晶圓200降溫時、亦即依序實施了成膜步驟、降溫步驟時,可減低形成於晶圓200上之SiN膜所產生之應力。以下參照圖5(a)~圖5(c)說明其理由。
圖5(a)為實施成膜步驟前之加熱至第1溫度之晶圓200的側面圖。加熱至第1溫度之晶圓200係沿著其沿面方向僅熱膨脹了既定量的狀態。如此圖所示,加熱至第1溫度之晶圓200係於實施成膜步驟前,呈幾乎或完全未翹曲之狀態。
圖5(b)為實施成膜步驟中之晶圓200的側面圖。如上述,藉由依序實施步驟A~C而形成於晶圓200上之SiN膜,係因發生收縮而沿著其沿面方向內包著拉張應力。亦即,由於欲收縮之SiN膜而對晶圓200施加應力,使晶圓200之成膜表面之中央部相對於晶圓200之成膜表面之外周部呈凹下、亦即形成了SiN膜之主面之中央部凹下而彎曲並翹曲為球面狀。另一方面,晶圓200係對使此翹曲發生之力進行反彈,而對SiN膜產生對應其之拉張應力。
圖5(c)係實施成膜步驟後,使晶圓200之溫度降低至低於第1溫度之第2溫度後的晶圓200之側面圖。若使晶圓200溫度降低至較第1溫度低之第2溫度,因加熱至第1溫度而熱膨脹之晶圓200及形成於其上面之SiN膜,係隨著溫度降低而緩慢收縮。
此時,本態樣中所形成之雜質濃度低之SiN膜的收縮量,係較晶圓200之收縮量小。因此,於此降溫步驟後之SiN膜,發生了由於晶圓200較SiN膜更大幅地收縮造成的壓縮應力。其結果,本態樣中,於成膜步驟中於SiN膜所產生之拉張應力係於降溫步驟中緩慢地被釋放(緩和)。又,拉張應力完全釋放後,於SiN膜產生之應力變化成壓縮應力緩慢增大。換言之,本態樣中,於降溫步驟中增大之壓縮應力被成膜步驟中所產生之壓縮應力所抵銷,故降溫步驟後之SiN膜所產生的壓縮應力被緩和(減低)了成膜步驟中所產生之拉張應力的量。尤其藉由使此拉張應力之量接近壓縮應力之量,可使降溫步驟後之SiN膜所產生的應力最小化。
於此,步驟B中之H2 氣體之供給時間TH 越大(亦即,步驟B中供給H2 氣體而對第1層賦予之能量越大),則成膜步驟中之SiN膜之收縮量越增大,因此,SiN膜所產生之拉張應力越增大。 又,溫度降低所伴隨之SiN膜之收縮量,一般係SiN膜所含之雜質濃度越低、越高品質之膜,則收縮量越減少。因此,將步驟C中之NH3 氣體之供給時間TN 越增加、使SiN膜所含雜質濃度越減低,則降溫步驟後之SiN膜之收縮量減少,有因晶圓200之收縮量之差而於SiN膜所產生之壓縮應力增大的傾向。
從而,使於降溫步驟後之SiN膜所產生之應力減低的上述效果,係藉由相對於步驟B中之H2 氣體之供給時間TH ,使步驟C中之NH3 氣體之供給時間TN 相對增長而變大。具體而言,上述效果可藉由將步驟B中之H2 氣體之供給時間TH 設為較步驟C中之NH3 氣體之供給時間TN 長而獲得。
又,例如,藉由將TH 相對於TN 之比率TH /TN ,調整為:使實施成膜步驟後再實施了降溫步驟後之狀態下於SiN膜所產生之壓縮應力S之量,較成膜步驟中、將不含步驟B而包含步驟A及步驟C之周期進行既定次數(n次)而於晶圓200上形成SiN膜X後再實施降溫步驟後之狀態下於SiN膜X所產生之壓縮應力Sx 之量小;則可獲得上述效果。
此情況係與將比率TH /TN 設為使藉由實施步驟B(降溫步驟之實施前)於SiN膜所產生之收縮量、大於藉由實施步驟C而於降溫步驟實施後於SiN膜所產生之收縮降低之量大的比率同義。亦即,與將比率TH /TN 設為使藉由實施步驟B(降溫步驟之實施前)於SiN膜所產生之拉張應力之量、大於藉由實施步驟C所產生之、於降溫步驟實施後於SiN膜所產生之壓縮應力增大量的比率同義。
又,例如,藉由持續步驟B中之H2 氣體之供給,使實施成膜步驟後再實施了降溫步驟後之狀態下於SiN膜所產生之壓縮應力S之量,較成膜步驟中、將不含步驟B而包含步驟A及步驟C之周期進行既定次數(n次)而於晶圓200上形成SiN膜X後再實施降溫步驟後之狀態下於SiN膜X所產生之壓縮應力Sx 之量小;則可獲得上述效果。
此情況係與持續步驟B中之H2 氣體之供給,直到使藉由實施步驟B(降溫步驟之實施前)於SiN膜所產生之收縮量、大於藉由實施步驟C而於降溫步驟實施後於SiN膜所產生之收縮降低之量大的情況同義。亦即,與持續步驟B中之H2 氣體之供給,直到使藉由實施步驟B(降溫步驟之實施前)於SiN膜所產生之拉張應力之量、大於藉由實施步驟C所產生之、於降溫步驟實施後於SiN膜所產生之壓縮應力增大量的情況同義。
比率TH /TN 之量可由隨著其值增大而上述壓縮應力S之量變小般之數值範圍內進行選擇。若比率TH /TN 之量未滿1,則難以獲得上述效果。又,比率TH /TN 為2.5以下時,有無法獲得上述效果的情形。藉由將比率TH /TN 之量設為超過2.5,可確實獲得上述效果。比率TH /TN 之量的上限並無特別限制,若實施步驟B直到比率TH /TN 之量成為超過10,則有上述效果達到飽和的傾向。為了避免氣體浪費或生產性降低,較佳係將比率TH /TN 之量設為10以下。
(c)根據本態樣,由於將第1溫度設為450℃以上之溫度,可將形成於晶圓200上之SiN膜作成為雜質濃度較於未滿450℃之溫度條件下所形成之SiN膜低、而膜質優越的膜。從而,根據本態樣,藉由將第1溫度設為450℃以上之溫度,可形成膜質較於未滿450℃之溫度條件下形成於晶圓200上之SiN膜更優越的膜,另一方面,於此種膜質優越之膜中可減低降溫步驟後所產生之壓縮應力。
(d)根據本態樣,由於將第1溫度設為未滿700℃之溫度,故可使對晶圓200之熱履歷減低。
(e)上述效果係於使用DCS氣體以外之含有Si及鹵元素之氣體作為原料氣體的情況、使用H2 氣體以外之含H且不含N之氣體作為第1改質氣體的情況、使用NH3 氣體以外之含N及H之氣體作為第2改質氣體的情況,亦可同樣獲得。
(4)變形例 本態樣可變更如以下變形例。又,此等變形例亦可任意組合。
(變形例1) 除了比率TH /TN 之調整之外、或取代比率TH /TN 之調整,而調整(增加)高頻電力RH 相對於高頻電力RN 之比率RH /RN ,藉此可使依序實施了成膜步驟、降溫步驟時於晶圓200上所形成之SiN膜所產生的應力降低。
亦即,藉由RH 相對於RN 之比率RH /RN ,調整(增加)為:使實施成膜步驟後再實施了降溫步驟後之狀態下於SiN膜所產生之壓縮應力S之量,較成膜步驟中、將不含步驟B而包含步驟A及步驟C之周期進行既定次數(n次)而於晶圓200上形成SiN膜X後再實施降溫步驟後之狀態下於SiN膜X所產生之壓縮應力Sx 之量小;則可獲得上述效果。尚且,若比率RH /RN 之量為0.5以下,則有無法獲得上述效果的情形。藉由將比率RH /RN 之量設為超過0.5,可獲得上述效果。可認為此係藉由如上述般調整步驟B中之RH 之量,則可使H2 對第1層所賦予的能量增加、可使H2 所造成的改質作用增加所致。
(變形例2) 除了比率TH /TN 之調整之外、或取代比率TH /TN 之調整,而調整(減少)成膜步驟之步驟B中之處理室201內的壓力,藉此亦可使依序實施了成膜步驟、降溫步驟時於晶圓200上所形成之SiN膜所產生的應力降低。
亦即,藉由將步驟B中之處理室201內的壓力調整(減少)為:使實施成膜步驟後再實施了降溫步驟後之狀態下於SiN膜所產生之壓縮應力S之量,較將不含步驟B而包含步驟A及步驟C之周期進行既定次數(n次)而於晶圓200上形成SiN膜X後再實施降溫步驟後之狀態下於SiN膜X所產生之壓縮應力Sx 之量小;則可獲得上述效果。可認為此係藉由如上述般調整步驟B中之處理室201內之壓力,則可使H2 之壽命增長、可使H2 對第1層所賦予之能量增加、可使H2 所造成的改質作用增加所致。
(變形例3) 如上述般,成膜步驟係依將複數片晶圓200於處理室201內依以水平姿勢多段配置的狀態所實施。於此,除了比率TH /TN 之調整之外、或取代比率TH /TN 之調整,藉由調整多段配置之晶圓200之間隔(間距),亦可使依序實施了成膜步驟、降溫步驟時於晶圓200上所形成之SiN膜所產生的應力降低。
例如,於實施成膜步驟時,藉由將多段配置之晶圓200之間隔設為超過7.5mm、較佳為10mm以上,可獲得上述效果。可認為此係藉由如上述般調整多段配置之晶圓200之間隔,則可使H2 之壽命增長、可使H2 所造成的改質作用增加所致。又,晶圓200之間隔的上限並無特別限制,但為了避免基板處理之生產性降低,較佳係將晶圓200之間隔設為30mm以下、更佳15mm以下。 [實施例]
作為實施例1~3,使用圖1~3所示之基板處理裝置,對晶圓上形成SiN膜。實施例1~3中之步驟B之H2 氣體之供給時間TH 、步驟C之NH3 氣體之供給時間TN 、比率TH /TN ,係分別如圖6所示。又,其他處理條件係包括周期之實施次數或處理壓力、高頻電力之量,分別設為與上述態樣之處理條件範圍內的共通條件。
實施例1~3中,經測定降溫步驟中於基板上所形成之SiN膜所產生的應力,結果如圖6所示。由此結果,於比率TH /TN 超過2.5之範圍時確認到使上述SiN膜之應力減低的效果,可知於3.5以上之範圍時其效果顯著。又,可知隨著比率TH /TN 增大則此效果變大。
接著實施例4、5,使用圖1~3所示基板處理裝置,對晶圓上形成SiN膜。實施例4、5中之步驟B之高頻電力RH 、步驟C之高頻電力RN 、比率RH /RN ,係分別如圖7所示。又,其他處理條件係包括周期之實施次數或處理壓力、氣體之供給時間,分別設為與上述態樣之處理條件範圍內的共通條件。
實施例4、5中,經測定降溫步驟中於基板上所形成之SiN膜所產生的應力,結果如圖7所示。由此結果,於比率RH /RN 超過0.5之範圍時確認到使上述SiN膜之應力減低的效果,可知於1以上之範圍時其效果顯著。又,可知隨著比率RH /RN 增大則此效果變大。
<本發明其他態樣> 以上具體說明了本發明態樣。然而,本發明並不限定於上述態樣,在不脫離其要旨之範圍內可進行各種變更。
例如,本發明可適合應用於形成含有鈦(Ti)、鋯(Zr)、鉿(Hf)、鉭(Ta)、鈮(Nb)、鉬(Mo)、鎢(W)、釔(Y)、鍶(Sr)、鑭(La)、釕(Ru)、鋁(Al)等金屬元素作為主元素的氮化膜(金屬氮化膜)的情況。
例如,於使用四氯化鈦(TiCl4 )氣體、四氯化鉿(HfCl4 )氣體、五氯化鉭(TaCl5 )氣體、三甲基鋁(Al(CH3 )3 ,簡稱:TMA)氣體等作為原料氣體,藉由以下所示成膜時序,於晶圓200上,形成鈦氮化膜(TiN膜)、鉿氮化膜(HfN膜)、鉭氮化膜(TaN膜)、鋁氮化膜(AlN膜)等之金屬氮化膜的情況中,亦可適合應用本發明。
(TiCl4 →H2 →NH3 ) ×n
Figure 02_image001
TiN (HfCl4 →H2 →NH3 ) ×n
Figure 02_image001
HfN (TaCl5 →H2 →NH3 ) ×n
Figure 02_image001
TaN (TMA→H2 →NH3 ) ×n
Figure 02_image001
AlN
此時之成膜處理的處理手續、處理條件可設為與上述態樣或變形例之處理手續、處理條件相同。於此等之情況亦可獲得與上述態樣或變形例相同的效果。亦即,本發明可適合應用於含有Si等半金屬元素作為主元素的半金屬氮化膜的情況、或含有上述各種金屬元素作為主元素的金屬氮化膜的情況。
基板處理所使用之配方,較佳係配合處理內容而個別準備,經由電信通路或外部記憶裝置123事先儲存於記憶裝置121c內。然後,較佳係於開始基板處理時,CPU121a由儲存於記憶裝置121c內之複數配方中,配合基板處理內容適當選擇適合的配方。藉此,可藉由1台基板處理裝置而再現性佳地形成各種膜種類、組成比、膜質、膜厚的膜。又,可減低操作員的負擔、避免操作錯誤,並可迅速地開始基板處理。
上述配方並不限定於新穎作成的情況,例如亦可藉由將已安裝於基板處理裝置之既存配方變更而準備。於變更配方的情況,可將變更後之配方經由電信通路或記錄有該配方之記錄媒體,安裝至基板處理裝置。又,亦可操作既存基板處理裝置所具備之輸出入裝置122,對基板處理裝置中已安裝之既存配方進行直接變更。
上述態樣中,係針對一次處理複數片基板之批次式基板處理裝置形成膜的例子進行了說明。本發明並不限定於上述態樣,例如亦可適合應用於使用一次處理1片或數片基板之單片式基板處理裝置而形成膜的情況。又,上述態樣中,針對使用具有熱壁型處理爐之基板處理裝置形成膜的例子進行了說明。本發明並不限定於上述態樣,亦適合應用於使用具有冷壁型處理爐之基板處理裝置形成膜的情況。
於使用此等基板處理裝置之情況,亦可依與上述態樣或變形例相同之處理手續、處理條件進行成膜處理,可獲得與此等相同之效果。
又,上述態樣或變形例可適當組合使用。此時之處理手續、處理條件可設為例如與上述態樣之處理手續、處理條件相同。
115:晶舟升降器 115s:擋門開關機構 121:控制器 121a:CPU 121b:RAM 121c:記憶裝置 121d:I/O埠 121e:內部匯流排 122:輸出入裝置 123:外部記憶裝置 200:晶圓(基板) 201:處理室 202:處理爐 203:反應管 207:加熱器 209:岐管 217:晶舟 218:隔熱板 219:密封蓋 219s:擋門 220a~220c:O型環 224:電漿生成區域 231:排氣管 232a:氣體供給管(第1配管) 232b:氣體供給管(第2配管) 232c~232e:氣體供給管 237:緩衝室 237a:隔壁 241a~241e:質量流量控制器(MFC) 243a~243e:閥 244:APC閥 245:壓力感測器 246:真空泵 248:積集型供給系統 249a,249b:噴嘴 250a~250c:氣體供給孔 255:旋轉軸 263:溫度感測器 267:旋轉機構 269,270:棒狀電極 272:整合器 273:高頻電源 275:電極保護管
圖1係本發明一態樣中適合使用之基板處理裝置之縱型處理爐的概略構成圖,以縱剖面圖顯示處理爐部分的圖。 圖2係本發明一態樣中適合使用之基板處理裝置之縱型處理爐的概略構成圖,以圖1之A-A線剖面圖顯示處理爐部分的圖。 圖3係本發明一態樣中適合使用之基板處理裝置之控制器的概略構成圖,以方塊圖顯示控制器之控制系統的圖。 圖4係表示本發明一態樣之成膜時序中之氣體供給時序的圖。 圖5圖5(a)為成膜處理之實施前之基板的側面圖;圖5(b)為成膜處理實施中之基板的側面圖;圖5(c)為實施成膜處理並使其降溫後之基板的側面圖。 圖6係表示本發明一態樣之實施例1~3之處理條件及所形成之膜之應力之測定結果的圖。 圖7係表示本發明一態樣之實施例4、5之處理條件及所形成之膜之應力之測定結果的圖。
115:晶舟升降器
115s:擋門開關機構
121:控制器
200:晶圓(基板)
201:處理室
202:處理爐
203:反應管
207:加熱器
209:岐管
217:晶舟
218:隔熱板
219:密封蓋
219s:擋門
220a~220c:O型環
231:排氣管
232a:氣體供給管(第1配管)
232b:氣體供給管(第2配管)
232c~232e:氣體供給管
237:緩衝室
237a:隔壁
241a~241e:質量流量控制器(MFC)
243a~243e:閥
244:APC閥
245:壓力感測器
246:真空泵
248:積集型供給系統
249a,249b:噴嘴
250a~250c:氣體供給孔
255:旋轉軸
263:溫度感測器
267:旋轉機構

Claims (18)

  1. 一種半導體裝置之製造方法,係具有藉由進行既定次數的包含下述步驟(a)~(c)之周期,而於基板上形成含有既定元素及氮之膜的成膜步驟: (a)對處理室內之加熱至第1溫度的上述基板,供給含有上述既定元素及鹵元素之原料氣體,形成第1層的步驟; (b)對上述處理室內之上述基板,供給含有氫且不含氮之經電漿激發的第1改質氣體,使上述第1層改質而形成第2層的步驟;與 (c)對上述處理室內之上述基板,供給含有氮及氫之經電漿激發的第2改質氣體,使上述第2層改質而形成第3層的步驟; 將(b)中之上述第1改質氣體的供給時間TH 設為較(c)中之上述第2改質氣體的供給時間TN 長。
  2. 如請求項1之半導體裝置之製造方法,其中,進一步具有將上述基板之溫度設為較上述第1溫度低之第2溫度的降溫步驟; 調整上述TH 相對於上述TN 之比率TH /TN ,使實施上述成膜步驟後再實施了上述降溫步驟後之狀態下於上述膜所產生之應力S之量,較將不含(b)而包含(a)及(c)之周期進行上述既定次數而藉此於上述基板上形成膜X後再實施上述降溫步驟後之狀態下於上述膜X所產生之應力Sx 之量更小。
  3. 如請求項2之半導體裝置之製造方法,其中,將上述比率TH /TN 之量設為使藉由實施(b)而於上述膜所產生之收縮量較藉由實施(c)而在上述降溫步驟實施後於上述膜所產生之收縮量的降低量更大。
  4. 如請求項2之半導體裝置之製造方法,其中,上述比率TH /TN 之量係自隨著其值增大而上述應力S之量變小之數值範圍內進行選擇。
  5. 如請求項2之半導體裝置之製造方法,其中,將上述比率TH /TN 之量設為超過2.5之量。
  6. 如請求項1之半導體裝置之製造方法,其中,進一步具有將上述基板之溫度設為較上述第1溫度低之第2溫度的降溫步驟; 持續(b)中之上述第1改質氣體之供給,使實施上述成膜步驟後再實施了上述降溫步驟後之狀態下於上述膜所產生之應力S之量,較將不含(b)而包含(a)及(c)之周期進行上述既定次數而藉此於上述基板上形成膜X後再實施上述降溫步驟後之狀態下於上述膜X所產生之應力Sx 之量更小。
  7. 如請求項6之半導體裝置之製造方法,其中,持續(b)中之上述第1改質氣體之供給,直到於上述成膜步驟實施中藉由實施(b)而於上述膜所產生之收縮量大於藉由實施(c)而在上述降溫步驟實施後於上述膜所產生之收縮量的降低量更大為止。
  8. 如請求項1之半導體裝置之製造方法,其中, 於(a)中,將上述第1層作成含有上述既定元素及上述鹵元素的層; 於(b)中,使上述鹵元素由上述第1層脫離而形成上述第2層; 於(c)中,使上述第2層氮化而形成上述第3層。
  9. 如請求項1之半導體裝置之製造方法,其中,進一步具有將上述基板之溫度設為較上述第1溫度低之第2溫度的降溫步驟; 於(b)中,藉由對上述第1改質氣體施加高頻電力RH 而使上述第1改質氣體電漿激發; 於(c)中,藉由對上述第2改質氣體施加高頻電力RN 而使上述第2改質氣體電漿激發; 調整上述高頻電力RH 相對於上述高頻電力RN 之比率RH /RN ,使實施上述成膜步驟後再實施了上述降溫步驟後之狀態下於上述膜所施加之應力S之量,較將不含(b)而包含(a)及(c)之周期進行上述既定次數而藉此於上述基板上形成膜X後再實施上述降溫步驟後之狀態下於上述膜X所產生之應力Sx 之量更小。
  10. 如請求項9之半導體裝置之製造方法,其中,將上述比率RH /RN 設為超過0.5之量。
  11. 如請求項1之半導體裝置之製造方法,其中,進一步具有將上述基板之溫度設為較上述第1溫度低之第2溫度的降溫步驟; 在(b)中,調整上述處理室內之壓力,使實施上述成膜步驟後再實施了上述降溫步驟後之狀態下於上述膜所產生之應力S之量,較將不含(b)而包含(a)及(c)之周期進行上述既定次數而藉此於上述基板上形成膜X後再實施上述降溫步驟後之狀態下於上述膜X所產生之應力Sx 之量更小。
  12. 一種半導體裝置之製造方法,係具有藉由進行既定次數的包含下述步驟(a)~(c)之周期,而於基板上形成含有既定元素及氮、且具有小於上述基板之熱膨脹率之熱膨脹率之膜的成膜步驟; (a)對處理室內之加熱至第1溫度的上述基板,供給含有上述既定元素及鹵元素之原料氣體,形成第1層的步驟; (b)對上述處理室內之上述基板,供給含有氫且不含氮之經電漿激發的第1改質氣體,使上述第1層改質而形成第2層的步驟;與 (c)對上述處理室內之上述基板,供給含有氮及氫之經電漿激發的第2改質氣體,使上述第2層改質而形成第3層的步驟; 於在加熱至上述第1溫度之上述膜產生拉張應力的處理條件下,進行上述成膜步驟。
  13. 如請求項12之半導體裝置之製造方法,其中,進一步具有將上述基板之溫度設為較上述第1溫度低之第2溫度的降溫步驟; 在藉由對因實施上述成膜步驟而呈熱膨脹之上述基板實施上述降溫步驟而使其熱收縮時,使上述成膜步驟中於上述膜所產生之拉張應力釋放。
  14. 如請求項12之半導體裝置之製造方法,其中,將(b)中之上述第1改質氣體的供給時間TH 設為較(c)中之上述第2改質氣體的供給時間TN 長。
  15. 如請求項12之半導體裝置之製造方法,其中,將(b)中對上述第1改質氣體施加之高頻電力RH ,設為超過(c)中對上述第2改質氣體供給之高頻電力RN 之0.5倍的量。
  16. 如請求項12之半導體裝置之製造方法,其中,將(b)中上述處理室內之壓力PH ,設為較(c)中上述處理室內之壓力PN 低。
  17. 一種基板處理裝置,係具有: 處理基板之處理室; 對上述處理室內之基板進行加熱之加熱器; 對上述處理室內之基板供給含有既定元素及鹵元素之原料氣體的原料氣體供給系統; 對上述處理室內之基板供給含有氫且不含氮之第1改質氣體的第1改質氣體供給系統; 對上述處理室內之基板供給含有氮及氫之第2改質氣體的第2改質氣體供給系統; 使上述第1改質氣體及上述第2改質氣體分別活性化為電漿狀態的電漿激發部;及 控制部,係構成為可控制上述加熱器、上述原料氣體供給系統、上述第1改質氣體供給系統、上述第2改質氣體供給系統及上述電漿激發部,使於上述處理室內進行處理A,並將(b)中之上述第1改質氣體的供給時間TH 設為較(c)中之上述第2改質氣體的供給時間TN 長;該處理A係進行既定次數的包含下述處理(a)~(c)之周期,而於上述基板上形成含有上述既定元素及氮之膜;(a)對加熱至第1溫度的上述基板,供給原料氣體而形成第1層的處理;(b)對上述基板供給經電漿激發的上述第1改質氣體,使上述第1層改質而形成第2層的處理;(c)對上述基板供給經電漿激發的上述第2改質氣體,使上述第2層改質而形成第3層的處理。
  18. 一種程式,係於基板處理裝置之處理室內,藉由電腦使上述基板處理裝置實行既定次數包含下述手續(a)~(c)之周期,而於基板上形成含有既定元素及氮之膜的手續: (a)對加熱至第1溫度的上述基板,供給含有上述既定元素及鹵元素之原料氣體,形成第1層的手續; (b)對上述基板,供給含有氫且不含氮之經電漿激發的第1改質氣體,使上述第1層改質而形成第2層的手續;與 (c)對上述基板,供給含有氮及氫之經電漿激發的第2改質氣體,使上述第2層改質而形成第3層的手續; 將(b)中之上述第1改質氣體的供給時間TH 設為較(c)中之上述第2改質氣體的供給時間TN 長。
TW109127846A 2019-09-20 2020-08-17 半導體裝置之製造方法、基板處理裝置及程式 TWI742800B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2019171529 2019-09-20
JP2019-171529 2019-09-20

Publications (2)

Publication Number Publication Date
TW202113131A true TW202113131A (zh) 2021-04-01
TWI742800B TWI742800B (zh) 2021-10-11

Family

ID=74883459

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109127846A TWI742800B (zh) 2019-09-20 2020-08-17 半導體裝置之製造方法、基板處理裝置及程式

Country Status (6)

Country Link
US (1) US20220208544A1 (zh)
JP (1) JP7240517B2 (zh)
KR (1) KR20220044357A (zh)
CN (1) CN114072540B (zh)
TW (1) TWI742800B (zh)
WO (1) WO2021053987A1 (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2023162072A1 (ja) * 2022-02-24 2023-08-31 株式会社Kokusai Electric 成膜方法、半導体装置の製造方法、成膜装置、およびプログラム

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101032006A (zh) 2005-02-17 2007-09-05 株式会社日立国际电气 半导体器件的制造方法以及衬底处理装置
JP4607637B2 (ja) * 2005-03-28 2011-01-05 東京エレクトロン株式会社 シリコン窒化膜の形成方法、シリコン窒化膜の形成装置及びプログラム
JP5297048B2 (ja) * 2008-01-28 2013-09-25 三菱重工業株式会社 プラズマ処理方法及びプラズマ処理装置
WO2011162136A1 (en) * 2010-06-23 2011-12-29 Tokyo Electron Limited Film formation method, semiconductor-device fabrication method, insulating film and semiconductor device
JP6088178B2 (ja) 2011-10-07 2017-03-01 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
JP5842750B2 (ja) * 2012-06-29 2016-01-13 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP6262115B2 (ja) * 2014-02-10 2018-01-17 東京エレクトロン株式会社 基板処理方法及び基板処理装置
JP6807278B2 (ja) * 2017-05-24 2021-01-06 東京エレクトロン株式会社 シリコン窒化膜の成膜方法および成膜装置

Also Published As

Publication number Publication date
US20220208544A1 (en) 2022-06-30
TWI742800B (zh) 2021-10-11
CN114072540B (zh) 2024-08-20
JP7240517B2 (ja) 2023-03-15
JPWO2021053987A1 (zh) 2021-03-25
WO2021053987A1 (ja) 2021-03-25
CN114072540A (zh) 2022-02-18
KR20220044357A (ko) 2022-04-07

Similar Documents

Publication Publication Date Title
US10490400B2 (en) Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
KR102297200B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
KR102276870B1 (ko) 반도체 장치의 제조 방법, 기판 처리 방법, 기판 처리 장치 및 프로그램
WO2018154823A1 (ja) 基板処理装置、半導体装置の製造方法およびプログラム
TW201945574A (zh) 半導體裝置之製造方法、基板處理裝置及記錄媒體
TW202215622A (zh) 半導體裝置之製造方法、基板處理方法、基板處理裝置及程式
JP2018206827A (ja) 半導体装置の製造方法、基板処理装置およびプログラム
JP7076490B2 (ja) 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム
TWI742800B (zh) 半導體裝置之製造方法、基板處理裝置及程式
JP7524406B2 (ja) 半導体装置の製造方法、プログラム、基板処理装置および基板処理方法
KR20240005996A (ko) 기판 처리 방법, 반도체 장치의 제조 방법, 기판 처리 장치, 및 프로그램
JPWO2019186637A1 (ja) 半導体装置の製造方法、基板処理装置およびプログラム
WO2018193538A1 (ja) 半導体装置の製造方法、基板処理装置および記録媒体
JP7194216B2 (ja) 半導体装置の製造方法、基板処理方法、プログラム及び基板処理装置
WO2022064600A1 (ja) 半導体装置の製造方法、基板処理装置、およびプログラム
TWI785510B (zh) 基板處理裝置、半導體裝置之製造方法及記錄媒體
JP7349033B2 (ja) 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム
WO2023176020A1 (ja) 基板処理方法、半導体装置の製造方法、プログラム、および基板処理装置
WO2022054855A1 (ja) 基板処理装置、半導体装置の製造方法及びプログラム
KR20220130001A (ko) 반도체 장치의 제조 방법, 기판 처리 방법, 기록 매체 및 기판 처리 장치
JP2020077890A (ja) 半導体装置の製造方法、基板処理装置、およびプログラム
TW202338985A (zh) 基板處理方法,半導體裝置的製造方法,基板處理裝置及程式
TW202137331A (zh) 半導體裝置之製造方法、基板處理裝置及程式
CN118435331A (zh) 成膜方法、半导体装置的制造方法、成膜装置及程序