TW202103306A - 三維記憶體元件及其製作方法 - Google Patents

三維記憶體元件及其製作方法 Download PDF

Info

Publication number
TW202103306A
TW202103306A TW108130269A TW108130269A TW202103306A TW 202103306 A TW202103306 A TW 202103306A TW 108130269 A TW108130269 A TW 108130269A TW 108130269 A TW108130269 A TW 108130269A TW 202103306 A TW202103306 A TW 202103306A
Authority
TW
Taiwan
Prior art keywords
substrate
layer
deep
peripheral
interconnection layer
Prior art date
Application number
TW108130269A
Other languages
English (en)
Other versions
TWI729470B (zh
Inventor
陳亮
劉威
甘程
Original Assignee
大陸商長江存儲科技有限責任公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 大陸商長江存儲科技有限責任公司 filed Critical 大陸商長江存儲科技有限責任公司
Publication of TW202103306A publication Critical patent/TW202103306A/zh
Application granted granted Critical
Publication of TWI729470B publication Critical patent/TWI729470B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/30EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region
    • H10B43/35EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region with cell select transistors, e.g. NAND
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8221Three dimensional integrated circuits stacked in different levels
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L24/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L24/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L24/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L24/80 - H01L24/90
    • H01L24/92Specific sequence of method steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/93Batch processes
    • H01L24/94Batch processes at wafer-level, i.e. with connecting carried out on a wafer comprising a plurality of undiced individual devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/10EEPROM devices comprising charge-trapping gate insulators characterised by the top-view layout
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/40EEPROM devices comprising charge-trapping gate insulators characterised by the peripheral circuit region
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/50EEPROM devices comprising charge-trapping gate insulators characterised by the boundary region between the core and peripheral circuit regions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05117Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C
    • H01L2224/05124Aluminium [Al] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05147Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05155Nickel [Ni] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05157Cobalt [Co] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05163Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05166Titanium [Ti] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05163Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05181Tantalum [Ta] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05163Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05184Tungsten [W] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/05186Material with a principal constituent of the material being a non metallic, non metalloid inorganic material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05601Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of less than 400°C
    • H01L2224/05611Tin [Sn] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05617Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C
    • H01L2224/05624Aluminium [Al] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05639Silver [Ag] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05644Gold [Au] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05647Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05655Nickel [Ni] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05663Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05666Titanium [Ti] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/05686Material with a principal constituent of the material being a non metallic, non metalloid inorganic material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08135Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/08145Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L2224/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • H01L2224/29001Core members of the layer connector
    • H01L2224/29099Material
    • H01L2224/29186Material with a principal constituent of the material being a non metallic, non metalloid inorganic material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L2224/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • H01L2224/29001Core members of the layer connector
    • H01L2224/29099Material
    • H01L2224/2919Material with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32135Disposition the layer connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/32145Disposition the layer connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/80895Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically conductive surfaces, e.g. copper-copper direct bonding, surface activated bonding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/838Bonding techniques
    • H01L2224/83894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/83896Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically insulating surfaces, e.g. oxide or nitride layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L2224/80 - H01L2224/90
    • H01L2224/92Specific sequence of method steps
    • H01L2224/921Connecting a surface with connectors of different types
    • H01L2224/9211Parallel connecting processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06541Conductive via connections through the device, e.g. vertical interconnects, through silicon via [TSV]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L24/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L24/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/18Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different subgroups of the same main group of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Semiconductor Memories (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Non-Volatile Memory (AREA)

Abstract

三維記憶體元件的製作方法包括:在第一基底的第一側上形成外圍電路,外圍電路包括第一外圍元件和第二外圍元件、第一互連層和在第一外圍元件和第二外圍元件之間的淺溝絕緣結構;以及在第二基底上形成記憶體陣列以及一第二互連層。方法還包括:將第一互連層和第二互連層接合以及形成穿過第一基底並且暴露部分淺溝絕緣結構的深溝槽,其中是自第一基底與第一側相對的第二側來形成深溝槽。方法還包括:形成絕緣材料,以在深溝槽中形成深絕緣結構,以及進行平坦化製程以移除絕緣材料設置在第一基底的第二側上的部分。

Description

具有深絕緣結構的三維記憶體元件
本發明係關於半導體元件及其製作方法,特別是關於可減小製作三維(3D)記憶體元件的半導體晶圓的應力的結構及其製作方法。
隨著製程技術、電路設計、程式設計演算法和製造製程的進步,半導體元件例如記憶體元件的尺寸已逐漸微縮至更小的尺寸,以獲得更高的集密度。然而,隨著半導體元件的特徵尺寸越接近製程下限,習知平面半導體製程和製造技術變得具有挑戰性且成本昂貴,而且已接近平面式記憶體元件的儲存密度上限。
本發明目的在於提供一種具有深絕緣結構的三維記憶體元件及其製作方法。
根據本發明一實施例的三維記憶體元件的製作方法包括:在一第一基底的一第一側上形成一外圍電路,該外圍電路包括一第一外圍元件、一第二外圍元件、一第一互連層,以及位在該第一外圍元件和該第二外圍元件之間的一淺溝絕緣結構;在一第二基底上形成一記憶體陣列,該記憶體陣列包括多個記憶單元和一第二互連層;將該第一互連層和該第二互連層接合;形成穿過該第一基底並且暴露出部分該淺溝絕緣結構的一深溝槽,其中該深溝槽是自該第一基底之與該第一側相對的一第二側形成;形成一絕緣材料以在所述深溝槽中形成一深絕緣結構,以及進行一平坦化製程,以移除該絕緣材料覆蓋在該第一基底的該第二側上的部分。
在一些實施例中,將該第一互連層和該第二互連層接合之後,另包括自該第一基底的該第二側薄化該第一基底。
在一些實施例中,薄化該第一基底包括使位於該第一基底中的一深井區自該第二側暴露出來。
在一些實施例中,形成該絕緣材料之前,另包括在該深溝槽中形成一襯墊層。
在一些實施例中,另包括在該第一基底的該第二側上形成一介電層。
在一些實施例中,將該第一互連層和該第二互連層接合包括直接接合。
在一些實施例中,另包括形成另一淺溝絕緣結構,位於該第一基底中且相鄰於該第一外圍元件,以及形成穿過該第一基底並且暴露出該另一淺溝絕緣結構的另一深溝槽。
在一些實施例中,另包括將該絕緣材料設置在該另一深溝槽中。
在一些實施例中,其中形成該絕緣材料包括沉積氧化矽材料。
在一些實施例中,其中將該第一互連層和該第二互連層接合包括在接合處形成介電層-介電層接合和金屬-金屬接合。
根據本發明另一實施例的三維記憶體元件的製作方法包括:在一第一基底的一第一側上形成一外圍電路,該外圍電路包括多個外圍元件和一第一互連層;在該第一基底中形成多個淺溝絕緣結構,其中各該淺溝絕緣結構形成在相鄰之該些外圍元件之間;在一第二基底上形成一記憶體陣列,該記憶體陣列包括多個記憶單元和一第二互連層;將該第一互連層和該第二互連層接合,使得至少一該外圍元件電耦合至一該記憶單元;自該第一基底的一第二側薄化該第一基底,其中該第二側相對於該第一側;形成穿過該第一基底並且暴露出部分該些淺溝絕緣結構的多個深溝槽,其中該些深溝槽是自該第一基底的該第二側形成;形成一絕緣材料填入該些深溝槽中,以及進行一平坦化製程,以移除該絕緣材料覆蓋在該第一基底的該第二側上的部分。
在一些實施例中,將該第一互連層和該第二互連層接合包括直接接合。
在一些實施例中,另包括於該第一基底的該第二側上形成一介電層,其中該些深溝槽延伸穿過該介電層。
在一些實施例中,其中形成該絕緣材料之前,另包括在該深溝槽中形成一襯墊層。
在一些實施例中,其中形成該絕緣材料包括沉積氧化矽材料。
根據本發明又另一實施例的三維記憶體元件,包括一外圍電路晶圓以及一記憶體陣列晶圓。該外圍電路晶圓包括一第一基底、位在該第一基底的一第一側的多個外圍元件以及一第一互連層、位在該第一基底中的多個淺溝絕緣結構,其中至少一該淺溝絕緣結構形成在相鄰該外圍元件之間,以及位在該第一基底之與該第一側相對的一第二側上的多個深絕緣結構,其中至少一該深絕緣結構與一該淺溝絕緣結構物理接觸。該記憶體陣列晶圓包括多個記憶單元,其中至少一該外圍元件電耦合至一該記憶單元,以及與該第一互連層物理接觸的一第二互連層。
在一些實施例中,其中各該深絕緣結構包括一襯墊層和一絕緣材料,其中該襯墊層位於該絕緣材料和該第一基底之間。
在一些實施例中,其中該物理接觸包括在該第一互連層和該第二互連層之間形成的化學接合。
在一些實施例中,其中各該深絕緣結構包括氧化矽。
在一些實施例中,其中該些深絕緣結構的寬度是大約0.2µm。
接下來文中實施例的具體配置和佈置僅是為了便於說明本發明的目的,並非用來限制本發明。相關領域的技術人員應可理解,在不脫離本發明的精神和範圍的情況下,可以使用其他配置和佈置。對於相關領域的技術人員顯而易見的是,本發明還可以應用在其他應用中。
應注意到,在說明書中對「一個實施例」、「實施例」、「示例性實施例」、「一些實施例」等的引用表示所描述的實施例可以包括特定的特徵、結構或特性,但是未必每個實施例都包括該特定的特徵、結構或特性。另外,這種短語也未必是指向相同的一實施例。此外,當結合實施例描述特定特徵、結構或特性時,無論是否明確描述,結合其他實施例來實現這樣的特徵、結構或特性都在相關領域的技術人員的知識範圍內。
通常,可以至少部分地藉由上下文中的用法來理解文中使用的術語。例如,至少部分取決於上下文,本文所使用的術語「一個或多個」可以用於以單數意義描述任何特徵、結構或特性,或者也可以用於以複數意義描述特徵、結構或特性的組合。類似地,至少部分取決於上下文,例如「一種」、「一個」、「該」或「所述」等術語同樣可以被理解為表達單數用法或表達複數用法。另外,術語「基於」並不限於被理解為表達一組排他性的因素,而是可以允許未明確描述的其他因素存在,其同樣至少部分地取決於上下文。
應當容易理解的是,本發明中的「在……上」、「在……之上」和「在……上方」的含義應以最寬廣的方式來解釋,使得「在……上」並不限於指向「直接在某物上」,其也可包括其間具有中間特徵或層的「在某物上」的含義。並同理,「在……之上」或「在……上方」並不限於 「在某物之上」或「在某物上方」的含義,其也可包括其間沒有中間特徵或層的「直接位在某物之上」或「直接位在某物上方」的含義。
此外,為了便於描述,可以在本文使用例如「在……之下」、「在……下方」、「下」、「在……之上」、「上」等空間相對術語來描述如圖所示的一個元件或特徵與另一個(或多個)元件或特徵的關係。除了附圖中所示的取向之外,空間相對術語旨在涵蓋元件在使用或操作中的不同取向。該元件可以以其他方式定向(旋轉90度或在其他取向)並且同樣可以對應地解釋本文使用的空間相關描述詞。
如本文所使用的,術語「基底」是指在其上製作元件及/或設置後續材料層的材料。基底包括「頂」表面和「底」表面。一般而言是在基底的頂表面上製作半島體元件,因此半導體元件是設置在基底的頂表面上,除非另特別說明了半導體元件設置的位置。基底的底表面與頂表面相對設置,也就是說基底的底側與基底的頂側相對設置。基底本身可以被圖案化。設置在基底頂部的材料可以被圖案化或可以保持未圖案化。此外,基底可以包括各種半導體材料,例如矽,鍺、砷化鎵、磷化銦等。可替換地,基底可以由非導電材料形成,例如玻璃、塑膠或藍寶石晶圓。
如本文所使用的,術語「層」是指包括具有厚度的區域的材料部分。層可以在整個下方或上方結構上延伸,或者可以具有小於下方或上方結構範圍的範圍。此外,「層」可以是厚度小於連續結構的厚度的均質或非均質之連續結構的區域。例如,層可以位於連續結構的頂表面和底表面之間的區域或在連續結構的頂表面和底表面處的任何一對水平平面之間的區域。層可以水平、垂直及/或沿著錐形表面延伸。基底可以是層,基底中可包括一層或多層,及/或可以在其上、上方及/或其下具有一層或多層。文中術語 「一層」可以包括一個或多個層。例如,互連層可以包括一個或多個導體和接觸層(其中形成有互連線及/或通孔接觸)以及一個或多個介電層。
為了便於描述,本文使用的術語「排」(tier)是指在垂直方向上實質上位於相同高度處的元件。例如,字元線和底層的閘極介電層可以被稱為「排」,字元線和底層的絕緣層可以一起被稱為「排」,實際上位於相同高度處的字元線可以被稱為「一排字元線」或可表示相同涵義之類似的術語。
如文中所使用的,術語「標稱/標稱上」、「名義/名義上」是指在產品或製程的設計時間期間設定的部件或製程步驟的特性或參數的期望值或目標值,以及高於及/或低於期望值的值的範圍。值的範圍可以是由於製造製程或公差的輕微變化而引起。如本文所使用的,術語「大約」或「約」或「大致上」表示可基於與主題半導體元件相關的特定技術節點而變化的給定量的值。基於特定的技術節點,術語「約」或「約」或「大致上」可以表示給定量的值,該給定量例如在該值的10-30%內變化(例如,值的±10%、±20%或±30%)。
為了便於描述,本文使用的「水平」、「水平地」、「橫向」、「橫向地」等術語是指名義上平行於基底的橫向表面,本文使用的「垂直」、「垂直地」等術語是指名義上垂直於基底的橫向表面。
如本文所使用的,術語「3D記憶體元件」是指在水平取向的基底上具有垂直取向的記憶單元電晶體串(在本文中稱為「記憶體串」,例如NAND記憶體串)的半導體元件,使得記憶體串相對於基底在垂直方向上延伸。如本文所使用的,術語「垂直/垂直地」表示標稱地垂直於基底的水平表面。
3D記憶體中常整合有高壓元件,例如n型高壓元件或p型高壓元件,以用於操作3D記憶單元。當3D記憶體(例如3D NAND快閃記憶體)的發展朝著高密度和高容量記憶單元進展時,元件的數量和金屬接線的數量是持續增加的。當元件之間的距離繼續縮小時,高品質的絕緣結構對防止相鄰元件之間的串擾(crosstalk)變得更為重要。
有鑑於上述需要,本發明目的在於提供一種包括深絕緣結構的三維記憶體元件和其製造方法,通過所述絕緣結構可改善3D記憶體元件的結構之間的隔離。三維記憶體元件通常包含互補金屬氧化物半導體(CMOS)元件的外圍元件晶圓可以接合到包含3D記憶體陣列的陣列晶圓。絕緣結構可以形成在接合的外圍/記憶體陣列晶圓中,可防止相鄰結構之間(例如在不同摻雜類型的井區之間)的串擾。本發明通過使外圍晶圓的介電層薄化並且形成貫穿矽隔離(TSI)結構來形成深絕緣結構,以有效地隔離開不同的功能區。相較於在元件之間設計較大的分離區域或增加該些功能區的摻雜濃度,本發明利用深絕緣結構來達成有效地隔離開不同的功能區的目的,可提升3D NAND快閃記憶體的單元密度並且降低製造成本。
第1A圖示出了根據本發明一些實施例的示例性三維(3D)記憶體元件100的示意性俯視圖。3D記憶體元件100可以是記憶體晶片(封裝)、記憶體晶粒或記憶體晶粒的任何部分,並且可以包括一個或多個儲存平面101,其中每個儲存平面101可以包括多個儲存塊103。相同的和並發的操作可以發生在每個儲存平面101處。在尺寸上可以是百萬位元(megabyte, MB)的儲存塊103可以是用於實現抹除操作的最小尺寸。在圖1A所示的示例性3D記憶體元件100包括四個儲存平面101,並且每個儲存平面101包括六個儲存塊103。每個儲存塊103可以包括多個記憶單元,其中每個記憶單元可以通過互連(例如位元線和字元線)被尋址。位元線和字元線可以是互相垂直設置(例如,分別在行和列中)的金屬線的陣列。位元線和字元線的方向在圖1A中被標記爲「BL」和「WL」。在本發明內容中,儲存塊103也被稱爲「記憶體陣列」或「陣列」。記憶體陣列是在記憶體元件中的核心區域,進行儲存功能。
3D記憶體元件100還包括外圍區105(圍繞儲存平面101的區域)。外圍區105可以包含許多數位、類比和/或混合訊號電路以支持記憶體陣列(例如,頁面緩衝器、行和列解碼器和感測放大器)的功能。外圍電路可包括主動和/或被動半導體元件,例如電晶體、二極體、電容器、電阻器等,本領域中具有通常知識者應可理解。
在圖1A中所示的3D記憶體元件100的儲存平面101和儲存塊103的設置僅為舉例,並不用於限制本發明的範圍。
參考第1B圖。第1B圖示出根據本發明一些實施例的3D記憶體元件的部分區域的示意性俯視圖,特別是第1A圖中標示的區域108的示意性俯視圖。3D記憶體元件100的區域108可以包括階梯區210和通道結構區211。通道結構區211可以包括儲存串212的陣列,各儲存串212包括多個堆疊式記憶單元。階梯區210可以包括階梯結構和在階梯結構上形成的接觸結構214的陣列。在一些實施例中,在WL方向上橫越通道結構區211和階梯區210延伸的多個狹縫結構216可以將儲存塊分成多個指儲存區(memory finger)218。至少一些狹縫結構216可以作為通道結構區211中的儲存串212的陣列的共用源極接觸點的作用。頂部選擇閘極切線220可以設置在每個指儲存區218的中間以將指儲存區218的頂部選擇閘極(TSG)分成兩個部分,並且從而可以將指儲存區分成兩個可編程(讀/寫)頁面。雖然3D NAND記憶體的抹除操作可以是以儲存塊級來進行,但讀和寫操作可以是以記憶體頁面級來進行。頁面在尺寸上可以是千位元(KB)。在一些實施例中,區域108還包括為了在製造期間較佳控制製程變化和/或用於提供額外的機械支撐的虛設(dummy)儲存串。
第2圖示出根據本發明一些實施例的示例性3D記憶體陣列結構200的部分透視圖。記憶體陣列結構200包括基底330、在基底330之上的絕緣層331、在絕緣層331之上的一排下選擇閘極(LSG)332、和也被稱爲「字元線(WL)」的多排控制閘極333堆疊在LSG 332的頂部上以形成交替的導電和介電層的堆疊層335。爲了清楚起見,在第2圖中並未繪示出控制閘極附近的介電層。
各排控制閘極是由狹縫結構216-1和216-2穿過堆疊層335來彼此分離。記憶體陣列結構200還包括在控制閘極333的堆疊之上的一排頂部選擇閘極(TSG)334。TSG 334、控制閘極333和LSG 332的堆疊也被稱爲「閘極電極」。3D記憶體陣列結構200還包括儲存串212和在基底330的部分中的、在相鄰LSG332之間的摻雜源極線區344。每個儲存串212包括延伸穿過絕緣層331的通道孔336和交替的導電和介電層的堆疊層335。儲存串212還可以包括在通道孔336的側壁上的儲存膜337、在儲存膜337之上的通道層338、和由通道層338圍繞的核心填充膜339。記憶單元340可以在控制閘極333和儲存串212的交叉部分處形成。3D記憶體陣列結構200還包括在TSG 334之上與儲存串212連接的多個位元線(BL)341。3D記憶體陣列結構200還包括穿過多個接觸結構214與閘極電極連接的多個金屬互連線343。堆疊層335的邊緣被配置成階梯的形狀以允許電連接至各排閘極電極。
在第2圖中,爲了便於說明,顯示了三排控制閘極333-1、333-2和333-3連同一排TSG 334和一排LSG 332。在這個實施例中,每個儲存串212可以包括分別對應於控制閘極333-1、333-2和333-3的三個記憶單元340-1、340-2和340-3。在一些實施例中,控制閘極的數量和記憶單元的數量可以多於三以增加儲存容量。記憶體陣列結構200還可以包括其它結構,例如TSG切線、共用源極接觸點和虛設通道結構。爲了簡單起見,這些結構並未繪示於在第2圖中。
爲了實現更高的儲存容量,3D記憶體的垂直WL堆疊的數量或各儲存串的記憶單元的數量已經大大增加,例如從24個堆疊的WL層(即24L)到128層或更多。爲了進一步減小3D記憶體的尺寸,記憶體陣列可以堆疊在外圍電路的頂部上,反之亦然。例如,外圍電路可以被製造在一第一基底上,記憶體陣列可以被製造在一第二基底上,然後通過將第一基底和第二基底接合在一起來使記憶體陣列和外圍電路通過各種互連來電耦合(例如電連接或物理接觸)。藉此,不僅可提高3D記憶體的單元密度,還可因爲互連長度可以通過基底(晶圓)接合而更短,使外圍電路和記憶體陣列之間的通信具有更高的帶寬和更低的功耗。
在增加3D記憶體元件的密度和性能的情況下,還需要在外圍電路中的改進以提供對記憶體陣列的功能支持,例如,讀、寫和抹除記憶單元的數據。可以在接合的外圍/記憶體陣列晶圓中形成絕緣結構以防止相鄰結構之間(例如在不同摻雜類型的井區之間)的串擾。本發明通過使外圍晶圓的介電層薄化並且形成貫穿矽隔離(TSI)結構來構成絕緣結構,可以有效地分離不同的功能區。
第3圖示出根據本發明一些實施例的用來形成具有深絕緣結構的3D記憶體陣列的方法300的步驟流程圖。本發明通過晶圓接合來將外圍電路晶圓與記憶體陣列晶圓連接而形成3D記憶體元件,並且在外圍電路晶圓中形成深絕緣結構以防止不同功能區之間的串擾。方法300的步驟可以用不同的順序進行,方法300可以包括本文中為了簡化說明而未描述出來的其他選擇性的步驟。第4-9圖是製造包括絕緣結構的示例性半導體結構300的剖面示意圖。下文將搭配第4-9圖來說明第3圖之方法300的步驟。本文中描述的在介電層中形成絕緣結構的製造方法僅作爲本發明一實施例,該方法也可被應用在各種其它層上,例如在層間電介質、絕緣層、導電層和任何其它適當的層上。這裡提供的製造方法是示例性的,且可包含未繪示於圖中的其他選擇性的製造步驟。
如第3圖所示,根據本發明一些實施例的3D記憶體陣列的方法300包括步驟302,形成3D記憶體元件的外圍電路晶圓。請參考第4圖,外圍電路400可以包括3D記憶體元件的各種組件,例如第一基底430、外圍元件450A和450B、淺溝絕緣(STI)結構452、井區454、閘極堆疊456、閘極側壁子(spacer)458和外圍互連層462。
第一基底430可以包括矽(例如單晶矽)、矽鍺(SiGe)、鍺(Ge)、絕緣上覆矽(SOI)、絕緣上覆鎵(GOI)、砷化鎵(GaAs)、氮化鎵、碳化矽、玻璃、III-V族化合物,或任何其它適當的材料或其任何組合。在一些實施例中,第一基底430可以在外圍元件製造之前被雙面拋光。在本實施例中,第一基底430包括在頂側和底側(也可分別稱爲第一側430-1和第二側430-2,或分別稱為前側和背側)上的表面,所述頂側和底側上的表面都被拋光處理成平滑的表面,以提高半導體元件的品質。第一側430-1和第二側430-2分別是第一基底430的相對側。
外圍電路400可以包括在第一基底430上的一個或多個外圍元件450A和450B。外圍元件450A和450B彼此相鄰並且可以形成在第一基底430上,其中外圍元件450A和450B的全部或部分形成在第一基底430中(例如在第一基底430的頂表面之下)和/或直接形成在第一基底430上。外圍元件450A和450B可以包括任何適當的半導體元件。例如,外圍元件450A可以是p型低壓元件,並且外圍元件450B可以是p型高壓元件。在其他實施例中,外圍元件450A和450B還可以是金屬氧化物半導體場效應電晶體(MOSFET)、雙極性電晶體(BJT)、二極體、電阻器、電容器、電感器等,但不限於此。在半導體元件當中,p型和/或n型MOSFET(即CMOS)廣泛地形成在邏輯電路設計中。在一些實施例中,外圍元件450A和450B也可以是p型和/或n型MOSFET,因此外圍電路400也可以被稱爲CMOS晶圓400。外圍元件450A和450B可以是p型通道MOSFET或n型通道MOSFET,並且可以包括但不限於:被淺溝絕緣(STI)結構452圍繞且具有n型或p型摻雜的主動區、形成在主動區中的井區454、包括閘極介電層的閘極堆疊456、閘極導體和/或閘極硬遮罩。外圍元件450A和450B還可以包括源極/汲極延伸部和/或擴散區(未在第4圖中示出)、閘極側壁子458和位於閘極堆疊的兩側的源極/汲極460。外圍元件450A和450B還可以包括形成在源極/汲極的頂部部分的矽化物接觸區域(未示出)。第一基底430上可形成有其他合適的元件。
可以通過使用微影暨蝕刻製程來圖案化基底,然後於基底上形成絕緣材料並且研磨移除絕緣材料多於的部分以在第一基底430上形成淺溝絕緣結構452。用於淺溝絕緣結構的絕緣材料可以包括氧化矽、氮氧化矽、TEOS、低溫氧化物(LTO)、高溫氧化物(HTO)、氮化矽等。可利用例如化學氣相沉積(CVD)、物理氣相沉積(PVD)、電漿輔助化學氣相沉積(PECVD)、低壓化學氣相沉積(LPCVD)、高密度電漿(HOP)化學氣相沉積、快速熱化學氣相沉積(PTCVD)、金屬有機化學氣相沉積(MOCVD)、原子層沉積(ALD)、濺射(spurttering)、熱氧化或氮化或其組合的技術來形成絕緣材料。淺溝絕緣結構 452的形成還可以包括高溫退火步驟,以提高絕緣材料的緻密度以提高電性隔離效果。
外圍元件450A和450B的井區454可以包括針對n型通道MOSFET的p型摻雜或針對p型通道MOSFET的n型摻雜,因此可分別被稱爲p型井區或n型井區。井區454的摻雜物分布和濃度會影響到外圍元件450A和450B的元件特性。對於具有低閥值電壓(Vt)的MOSFET元件,井區454可以具有較低的摻雜濃度,而形成低電壓p型井區或低電壓n型井區。對於具有高閥值電壓的MOSFET,井區454可以具有較高的摻雜濃度,而形成高電壓p型井區或高電壓n型井區。在一些實施例中,爲了提供與p型基底的電性隔離,可以在具有高閥值電壓的n型通道MOSFET所在的高電壓p型井區之下形成一深n型井區。在一些實施例中,外圍元件450A是低電壓元件,而外圍元件450B是元件高壓元件,並且井區454可以是嵌在基底430中的n型井區,基底430可以是p型基底。
可以通過將任何適當的n型摻雜物,例如磷、砷、銻等和/或其任何組合加入至基底430中來形成n型井區。可以通過將任何適當的p型摻雜物,例如硼加入至基底430中來形成p型井區。將摻雜物加入基底430中的方法可以例如是通過離子植入製程並於離子植入製程後進行退火製程,或者可通過在形成主動區的磊晶製程期間的原位地加入摻雜。
外圍元件450A和450B的閘極堆疊456可以由「先閘極(gate first)」製程形成,其中閘極堆疊456是在源極/汲極形成之前被設置在基底430上並被圖案化。在其他實施例中,外圍元件450A和450B的閘極堆疊456也可以由「置換」製程形成,其中可以先形成犧牲閘極堆疊然後在形成源極/汲極之後,由高介電常數(high-k)介電層和閘極導體置換掉犧牲閘極堆疊。
在一些實施例中,閘極介電層可以由氧化矽、氮化矽、氮氧化矽和/或高介電常數(high-k)介電材料(例如氧化鉿、氧化鋯、氧化鋁、氧化鉭、氧化鎂或氧化鑭)和/或其組合製成。可以通過任何適當的方法例如CVD、PVD、PECVD、LPCVD、RTCVD、濺射、MOCVD、ALD、熱氧化或氮化或其組合來形成閘極介電層。
在一些實施例中,閘極導體可以由金屬(例如鎢、鈷、鎳、銅或鋁)、金屬合金和/或其組合製成。在一些實現方式中,閘極導體還可以包括導電材料,例如氮化鈦(TiN)、氮化鉭(TaN)等。可以通過任何適當的沉積方法(例如,濺射、熱蒸發、電子束蒸發、ALD、PVD和/或其組合)來形成閘極導體。
在一些實施例中,閘極導體還可以包括多晶半導體,例如多晶矽、多晶鍺、多晶鍺矽和任何其它適當的材料和/或其組合。在一些實施例中,可於多晶材料中加入任何其它類型的摻雜物(例如硼、磷或砷等)。在一些實施例中,閘極導體還可以是具有前面提到的材料的非晶相半導體。
在一些實施例中,閘極導體可以由金屬矽化物(包括WSix 、CoSix 、NiSix 或AlSix 等)製成。金屬矽化物材料的形成可以包括使用上面所述的類似技術來形成金屬層和多晶半導體。金屬矽化物的形成還可以包括在所沉積的金屬層和多晶半導體層上應用熱退火製程,接著是對未反應金屬的移除。
可以通過先沉積一絕緣材料層然後進行一非等向性蝕刻來形成閘極側壁子458。用於製作閘極側壁子458的絕緣材料層可以包括任何絕緣材料,包括氧化矽、氮化矽、氮氧化矽、TEOS、LTO、HTO等。可以使用例如CVD、PVD、PECVD、LPCVD、RTCVD、MOCVD、ALD、濺射或其組合的技術來沉積製作閘極側壁子458的絕緣材料層。上述非等向性蝕刻包括乾蝕刻,例如反應性離子蝕刻(RIE)。
在源極/汲極460之間的閘極堆疊456的長度是MOSFET的重要特徵。閘極長度L確定MOSFET的驅動電流的大小,針對邏輯電路是按比例大幅度減小的。閘極長度可以小於大約100nm。在一些實施例中,閘極長度可以在介於大約5nm至大約30nm的範圍內。對於用來製作具有如此小尺寸的閘極堆疊的圖案化製程是非常有挑戰性的,可以使用包括光學鄰近校正、雙曝光和/或雙蝕刻、自對準雙圖案化等先進技術。
在一些實施例中,外圍元件450A和450B的源極/汲極460可包括高濃度的摻雜物。若外圍元件450A和/或450B是n型MOSFET,則源極/汲極460的摻雜物可以包括任何適當的n型摻雜物,例如磷、砷、銻等和/或其任何組合。若外圍元件450A和/或450B是p型MOSFET,則源極/汲極460的摻雜物可以包括任何適當的p型摻雜物,例如硼。可以通過離子植入製程然後進行退火製程來活化摻雜物來將摻雜物加入至源極/汲極460中。源極/汲極460可以是由與第一基底430相同的材料(例如矽)製成。在一些實施例中,外圍元件450A和450B的源極/汲極460可以是由與第一基底430不同的材料製成,以實現高性能。例如,在矽基底上,針對p型MOSFET,源極/汲極460可以包括SiGe;針對n型MOSFET,源極/汲極460可以包括碳。在一些實施例中,可進行下述製程來製作與第一基底430包括不同材料的源極/汲極460:蝕刻移除預定的源極/汲極區域中的部分基底材料形成凹槽,然後進行例如磊晶成長製程在凹槽中形成新的源極/汲極材料。也可以在磊晶成長期間通過原位摻雜來將摻雜物加入源極/汲極460中。
外圍元件450A和450B還可以具有沿著閘極堆疊456的每側的可選的源極/汲極延伸部和/或擴散區(未在第2圖中示出)。源極/汲極延伸部和/或擴散區位於閘極堆疊下方的主動區內部,主要用於針對通道長度小於大約0.5 µm的外圍元件450A和450B的短通道效應的控制和改善。源極/汲極延伸部和/或擴散區的形成可以類似於源極/汲極460的形成,但可以使用不同的植入條件,例如劑量、角度、能量、種類等,來得到優化的摻雜分布、深度或濃度。
外圍元件450A和450B可以形成在第一基底430的主動區的表面上(如第4圖所示),其中MOSFET的通道和電流的方向平行於第一基底430的表面。在一些實施例中,外圍元件450A和450B也可以形成在具有3D主動區的第一基底430上形成,例如是形成在「FIN(鰭片)」狀主動區(未示出)的「FINFET」,其中MOSFET的閘極堆疊包圍在FIN周圍, MOSFET的通道是位於FIN被閘極堆疊覆蓋的三側(在閘極之下的頂部和兩個側壁)。
在一些實施例中,外圍電路400可以包括在外圍元件450A和450B之上的外圍互連層462(或第一互連層),以提供不同外圍元件450A和450B和外部元件(例如電源、另一晶片、I/O元件等)之間的電連接。外圍互連層462可以包括一個或多個互連結構,例如一個或多個垂直的接觸結構464和一個或多個橫向的導電線466。接觸結構464和導電線466可以廣泛地包括任何適當類型的互連,例如是中段製程(MOL)互連和/或後段製程(BEOL)互連。在外圍電路400中的接觸結構464和導電線466可以包括任何適當的導電材料,例如包括但不限於鎢(W)、鈷(Co)、銅(Cu)、鈦(Ti)、鉭(Ta)、鋁(Al)、氮化鈦(TiN)、氮化鉭(TaN)、鎳、矽化物(例如WSix 、CoSix 、NiSix 、AlSix 等)、金屬合金,或其任何組合。可以通過一種或多種薄膜沉積製程來沉積導電材料,例如化學氣相沉積(CVD)、電漿輔助化學氣相沉積(PECVD)、物理氣相沉積(PVD)、原子層沉積(ALD)、電鍍、無電鍍、濺射、蒸發或其任何組合,但不限於此。
外圍互連層462還可以包括絕緣層468。在外圍互連層462中的絕緣層468可以包括絕緣材料,例如氧化矽、氮化矽、氮氧化矽、摻雜氧化矽(例如氟(F)摻雜氧化物、碳(C)摻雜氧化物、氮(N)摻雜氧化物或氫(H)摻雜氧化物)、正矽酸乙酯(TEOS)、聚醯亞胺、旋塗式玻璃(SOG)、低介電常數(low-k)介電材料例如多孔SiCOH、倍半矽氧烷(SSQ)或其任何組合。可以通過一種或多種薄膜沉積製程(例如CVD、PVD、PECVD、ALD、高密度電漿CVD(HDP-CVD)、濺射、旋塗或其任何組合)來沉積絕緣材料。
在第4圖中繪示的兩個導電層470-1和470-2(也被稱爲「金屬層」)的結構為示例性,其中每個金屬層可以包括接觸結構464和導電線466,其中屬於相同金屬層的導電線466位於離第一基底430的相同距離處。外圍電路400的金屬層470的數量並不限於第4圖所示,可以根據需求或為了提升對3D記憶體的效能來設置。
可以通過堆疊的金屬層470來形成外圍電路400的外圍互連層462。在第4圖所示外圍電路400的實施例中,可以先形成底部金屬層470-1然後再於底部金屬層470-1的頂部上形成上部金屬層470-2。每個金屬層470的製造製程可以包括但不限於:將部分的絕緣層468製作為金屬層所需的厚度,然後使用微影製程和乾/濕蝕刻製程來圖案化絕緣層468以形成用於製作接觸結構464和導電線466的接觸孔,然後形成導電材料填充接觸孔,再通過平坦化製程(例如化學機械研磨(CMP)或反應性離子蝕刻(RIE)製程)來移除接觸孔外多餘的導電材料,獲得接觸結構464和導電線466。
在一些實施例中,外圍電路400還包括一個或多個基底接觸472,用來提供與第一基底430的電連接。基底接觸472可以是由具有多排垂直接觸結構464和橫向導電線466的一個或多個導電層470構成。在第4圖的實施例中,基底接觸472示例為具有一排接觸結構和導電線,其中基底接觸472的垂直接觸結構延伸穿過絕緣層468並且電連接至第一基底430。
在一些實施例中,最頂部的導電線466(例如第4圖中的466-2)可以自外圍電路400的頂表面暴露出來,其中最頂部的導電線466-2可以與另一晶片或外部元件上的導電線直接連接。
在一些實施例中,最頂部的導電線466-2可以嵌在絕緣層468內部,如第4圖所示,藉此可利用覆蓋在導電線466頂部上的絕緣材料作為外圍電路400在運送或處理期間的保護層。後續再通過形成金屬插塞(via)或簡單地通過乾/濕蝕刻製程來回蝕刻絕緣層468以顯露出導電線466作為電連接使用。
外圍元件450A和450B並不限於MOSFET。可以通過不同的遮罩設計和布局,在MOSFET製造期間同時形成其它元件(例如二極管、電阻器、電容器、電感器、BJT等)的結構。爲了製作出該些MOSFET以外的元件,可以在MOSFET的製程中插入或修改製程步驟,例如插入或修改製程步驟以得到不同的摻雜物分布、膜厚度或材料堆疊。在一些實施例中,除了MOSFET以外的外圍元件450A和450B也可以用額外的設計和/或圖案化製程來製造,以製作出符合電路要求的結構特定的電路要求。
在一些實施例中,該些外圍元件450A和450B可以是用於構成外圍電路400的任何數位、模擬和/或混合訊號電路,使外圍電路400可以執行例如行/列解碼、定時和控制、讀、寫和抹除記憶體陣列的數據等功能。
在一些實施例中,深井區455可以與MOSFET區域的井區454同時形成在第一基底430中。深井區455可以包含p型摻雜物或n型摻雜物,其中n型摻雜物可以例如是磷、砷、銻等,p型摻雜物可以例如是硼,但不限於此。可以通過對第一基底430進行離子植入製程接著再活化退火來將摻雜物加入至第一基底430中形成深井區455和井區454。在一些實施例中,也可以通過磊晶成長和原位摻雜來在第一基底430上形成深井區455。在一些實施例中,可以在進行井區454的離子植入之前或之後進行深井區455的植入製程。可以利用相同的退火製程同時對井區454的摻雜物和深井區455的摻雜物進行活化。在一些實施例中,可以形成深井區接觸473以提供與深井區455的電連接。在一些實施例中,深井區接觸473形成與深井區455的歐姆接觸。深井區接觸473可以通過外圍互連層462中的接觸結構464和導電線466來與外圍電路400中相應的電路電連接。例如,深井區接觸473可以與接地端、第一基底430的基底接觸472、外圍元件450A和450B的源極或汲極460或閘極堆疊456等電連接。
請回到第3圖。根據本發明一些實施例的3D記憶體陣列的方法300還包括步驟304,形成3D記憶體陣列晶圓。如第5圖所示,3D記憶體陣列500可以是3D NAND記憶體陣列,並且可以包括第二基底530、記憶單元540和陣列互連層562(或第二互連層)。第二基底530可以類似於第一基底430。記憶單元540可以類似於前文第2圖所述的記憶單元340-1、340-2或340-3。陣列互連層562可以類似於外圍互連層462,並且可以使用類似的材料和類似的製程來形成。例如,第5圖的互連結構(例如接觸結構564和導電線566)和陣列互連層562的絕緣層568分別類似於第4圖的互連結構(例如接觸結構464、導電線466)和外圍互連層462的絕緣層468。
在一些實施例中,3D記憶體陣列500可以是用於3D NAND快閃記憶體的記憶體陣列,其中記憶單元540可以垂直地堆疊成爲儲存串212。儲存串212延伸穿過多個堆疊的導電層574和介電層576對。該些堆疊的導體/介電層對在本文也被稱爲「導體/介電層交替堆疊層」578。在導體/介電層交替堆疊層578中的導體層574和介電層576在垂直方向上交替。換句話說,除了在導體/介電層交替堆疊層578的頂部或底部處的層以外,每個導體層574是夾設(sandwiched)在兩個介電層576之間,並且每個介電層576是被夾設在兩個導體層574之間。導體層574可以均具有相同的厚度或具有不同的厚度。類似地,介電層576可以均具有相同的厚度或具有不同的厚度。在一些實施例中,導體/介電層交替堆疊層578可包括具有與導體/介電層對不同的材料和/或厚度的其他導體層或更多介電層。導體層574可以包括導體材料,例如鎢、鈷、銅、鋁、鈦、鉭、氮化鈦、氮化鉭、鎳、摻雜矽、矽化物(例如,NiSix 、WSix 、CoSix 、TiSix )或其任何組合。介電層576可以包括介電材料,例如氧化矽、氮化矽、氮氧化矽或其任何組合。
請參考第5圖,各儲存串212包括通道層338和儲存膜337。在一些實施例中,通道層338包括矽,例如非晶相矽、多晶矽或單晶矽。在一些實施例中,儲存膜337為複合層,包括有穿隧層、儲存層(也被稱爲電荷捕獲/儲存層)和隔離層。每個儲存串212可以具有柱體形狀(例如,圓柱體形狀)。根據一些實施例,通道層338、穿隧層、儲存層和隔離層可以在自儲存串212的中心指向外表面的徑向上按此順序設置。穿隧層可以包括氧化矽、氮化矽或其任何組合。隔離層可以包括氧化矽、氮化矽、高介電常數(high-k)介電材料或其任何組合。儲存層可以包括氮化矽、氮氧化矽、矽或其任何組合。在一些實施例中,儲存膜337為ONO複合層,例如包括包含氧化矽的穿隧層、包含氮化矽的儲存層和包含氧化矽的隔離層。
在一些實施例中,在導體/介電層交替堆疊層578中的每個導體層574可以最為控制儲存串212的各記憶單元的控制閘極(例如第2圖中的控制閘極333)。如第5圖所示,儲存串212可以包括在儲存串212的下端處的下選擇閘極332(例如是源極選擇閘極)。儲存串212還可以包括在儲存串212的上端處的頂部選擇閘極334(例如是汲極選擇閘極)。如在本文使用的,元件(例如儲存串212)的「上端」是在z方向上更遠離第二基底530的一端;元件(例如儲存串212)的「下端」是在z方向上更接近第二基底530的一端。如第5圖所示,各儲存串212的汲極選擇閘極334可以在源極選擇閘極332之上。在一些實施例中,汲極選擇閘極334和源極選擇閘極332可包括導體材料,例如鎢、鈷、銅、鋁、摻雜矽、矽化物或其任何組合。
在一些實施例中,3D記憶體陣列500包括位在儲存串212的通道層338的下端上的磊晶層580。磊晶層580可以包括半導體材料,例如矽。磊晶層580可以通過自在第二基底530上的半導體層582磊晶成長形成。半導體層582可以是非摻雜的、部分摻雜的(在厚度方向和/或寬度方向上)或者由p型或n型摻雜物完全摻雜的。每個儲存串212的磊晶層580在本文也被稱爲「磊晶插塞」。在每個儲存串212的下端處的磊晶插塞580可以接觸通道層338和半導體層582的摻雜區。磊晶插塞580可以作為儲存串212下端處的下選擇閘極332。
在一些實施例中,陣列元件還包括在階梯區210中的字元線的多個接觸結構214(也被稱爲字元線接觸結構)。每個字元線接觸結構214可以與導體/介電層交替堆疊層578中的相應導體層574電接觸以夠單獨地通過該導體層574控制相應的記憶單元340。字元線接觸結構214可以通過乾/濕蝕刻製程形成接觸孔後接著用導體(例如,鎢、鈦、氮化鈦、銅、氮化鉭、鋁、鈷、鎳或其任何組合)填充接觸孔來形成。
如第5圖所示,3D記憶體陣列500還包括形成在各儲存串212的頂部上的位元線接觸584,以分別控制各儲存串212的通道層338。與字元線接觸結構214和位元線接觸584連接的導電線分別是3D記憶體陣列500的字元線和位元線。一般,字元線和位元線彼此垂直設置(例如分別在列和行中),形成記憶體的「陣列」。
在一些實施例中,3D記憶體陣列500還包括第二基底530的基底接觸572。可以使用與第一基底430的基底接觸472類似的材料和製程來形成基底接觸572。基底接觸572可以提供與3D記憶體陣列500的第二基底530的電連接。
請回到第3圖。根據本發明一些實施例的3D記憶體陣列的方法300還包括步驟306,將外圍電路晶圓和3D記憶體陣列晶圓接合。如第6圖所示,將在第一基底430上製造的外圍電路400和在第二基底530上製造的3D記憶體陣列500進行晶圓接合,以形成3D記憶體元件600。根據本發明一實施例,如第6圖所示,是將外圍電路400上下翻轉,並且通過適當的晶圓接合製程(例如直接接合或混合接合)來與3D記憶體陣列500連結。在接合接口688處,通過電連接多個接觸插塞486/586來將外圍電路400和3D記憶體陣列500電連接。
在一些實施例中,3D記憶體元件600的接合接口688位於外圍互連層462的絕緣層468和陣列互連層562的絕緣層568之間。接觸插塞 486和586可以在接合接口688處連結,以將外圍互連層462的任何導電線466或接觸結構464與陣列互連層562的任何導電線566或接觸結構564電連接。因此,形成外圍電路400和3D記憶體陣列500之間的電連接。
在一些實施例中,3D記憶體元件600的接合接口688可位於接合層690內部。在這個例子中,接觸插塞 486和586延伸穿過接合層690,也在外圍互連層462的任何導電線466或接觸結構464與陣列互連層562的任何導電線566或接觸結構564之間形成電連接。因此,形成外圍電路400和3D記憶體陣列500之間的電連接。
在一些實施例中,接合層690可以是在接合過程之前形成在外圍電路400(如第4圖所示)和/或3D記憶體陣列500(如第5圖所示)的頂部上。接合層690可以包括介電材料,例如氧化矽、氮化矽、氮氧化矽或其任何組合。接合層690還可以包括黏附材料,例如,環氧樹脂、聚醯亞胺、乾膜、光敏聚合物等。可以通過一種或多種薄膜沉積製程(例如CVD、PVD、PECVD、ALD、高密度電漿輔助化學氣相沉積(HDP-CVD)、濺射、旋塗或其任何組合)來形成接合層690。
在一些實施例中,在形成接合層690之後,可以分別形成用於外圍電路400和3D記憶體陣列500的接觸插塞 486和586。接觸插塞 486/586可以包括金屬或金屬合金,例如銅(Cu)、錫(Sn)、鎳(Ni)、金(Au)、銀(Ag)、鈦(Ti)、鋁(Al)、氮化鈦(TiN)、氮化鉭(TaN)等或其任何組合。可以通過一種或多種薄膜沉積製程(例如化學氣相沉積(CVD)、電漿輔助化學氣相沉積(PECVD)、物理氣相沉積(PVD)、原子層沉積(ALD)、電鍍、無電鍍、濺射、蒸發或其任何組合)來形成接觸插塞486/586的金屬或金屬合金等。接觸插塞 486/586的製造過程還可以包括但不限於微影製程、濕/乾蝕刻製程、平坦化(例如,CMP或RIE回蝕)等,但不限於此。
在一些實施例中,外圍電路400和3D記憶體陣列500的接合可以是晶粒級(例如晶粒到晶粒,或晶片到晶片)接合或是晶圓級(例如晶圓到晶圓,或晶片到晶圓)接合,取決於産品設計和製造策略。以晶圓級接合可以提供高製程效率,其中在具有外圍電路400的第一基底430上的所有晶粒/晶片可以與具有3D記憶體陣列500的第二基底530同時連接,後續再將接合的晶圓切割成小塊(dice),獲得單獨的3D記憶體元件600。另一方面,以晶粒級接合可以在切成小塊和晶粒測試之後被進行,以確保外圍電路400和3D記憶體陣列500的功能晶粒功能正常,再將兩者接合以形成3D記憶體元件600,實現3D記憶體元件600的較高良率。
在一些實施例中,在接合過程期間,當外圍電路400的接觸插塞 486與3D記憶體陣列500的對應接觸插塞 586對準時,外圍互連層462可以與陣列互連層562對準。因此,對應的接觸插塞 486/586可以在接合接口688處被連接,使3D記憶體陣列500可以與外圍電路400電連接。
在一些實施例中,可以通過混合接合來連結外圍電路400和3D記憶體陣列500。混合接合(特別是金屬/電介質混合接合)可以是直接接合技術,例如是在不使用中間層(例如焊料或黏合劑)的情況下,形成在表面之間的接合,同時得到金屬-金屬接合和介電層-介電層接合。在接合過程期間,可以在金屬-金屬接合表面和介電層-介電層表面處形成化學接合。
在一些實施例中,可以通過使用接合層690來接合外圍電路400和3D記憶體陣列500。在接合接口688處除了金屬與金屬接合以外,還可包括氮化矽與氮化矽接合、氧化矽與氧化矽接合、或氮化矽與氧化矽接合。在一些實施例中,接合層還可以包括黏合材料,例如環氧樹脂、聚醯亞胺、乾膜等,以增強接合強度。
在一些實施例中,可以進行處理製程以增強接合接口688處的接合強度。處理製程可以例如是對陣列互連層562和外圍互連層462的表面進行處理,使得絕緣層562/462的表面形成化學接合。處理製程例如可以包括電漿處理(例如具有包含F、Cl或H離子的電漿處理)或化學處理(例如包含甲酸的化學處理)。在一些實施例中,處理製程可以包括在大約250°C至大約600°C的溫度下,並在真空或惰性環境(例如氮氣或氬氣環境)中進行的熱處理。熱處理可以引起在接觸插塞486和586之間的金屬互擴散。因此,在對應的接觸插塞486和586的金屬材料可以在接合過程之後彼此相互混合或形成合金。
在一些實施例中,將外圍和陣列互連層接合在一起之後,第一基底430上製造的外圍電路400者的至少一外圍元件450A或450B可以與在第二基底530上製造的3D記憶體陣列500的至少一個記憶單元電連接。雖然第6圖以外圍電路400接合在3D記憶體陣列500的頂部上為例,但在其他實施例中可以是將3D記憶體陣列500接合在外圍電路400的頂部上。
通過接合,3D記憶體元件600可以與3D記憶體類似地起作用,其中外圍電路和記憶體陣列被製造在相同基底上(如圖1A所示)。通過將3D記憶體陣列500和外圍電路400堆疊在彼此的頂部上,3D記憶體元件600的密度可以增加。同時,由於在外圍電路400和3D記憶體陣列500之間的互連距離可以通過使用堆疊式設計來減小,所以3D記憶體元件600的帶寬可以增加。在接合過程之後,外圍電路400的背側430-2被暴露出來,準備進行後續製程。
請回到第3圖。根據本發明一些實施例的3D記憶體陣列的方法300還包括步驟308,薄化外圍電路晶圓並且形成介電層。請參考第7圖,第7圖中的3D記憶體元件700對應於第6圖中的3D記憶體元件600,包括有外圍電路400和3D記憶體陣列500。外圍電路400在接合接口688處接合到3D記憶體陣列500。在通過接合來形成3D記憶體元件600之後,可以通過使外圍電路400的第一基底430薄化來形成3D記憶體元件700。
在一些實施例中,可以從外圍電路400的第一基底430的背側430-2來薄化第一基底430。在一些實施例中,基底薄化製程可以包括研磨、乾蝕刻、濕蝕刻和化學機械研磨(CMP)中的一項或多項。第一基底430在薄化之後的厚度T可以介於大約1µm至大約5µm的範圍內。例如,厚度T可以介於大約2µm和大約4µm之間。在一些實施例中,薄化製程可以繼續直到第一基底430的深井區455被暴露爲止。
在第一基底430薄化之後,可以在第一基底430的背側430-1(或第二側)上形成介電層792。介電層792可以是任何適當的半導體材料,例如氧化矽、氮化矽、氮氧化矽、摻雜氧化矽(例如氟(F)摻雜氧化物、碳(C)摻雜氧化物、氮(N)摻雜氧化物或氫(H)摻雜氧化物)、正矽酸乙酯(TEOS)、聚醯亞胺、旋塗式玻璃(SOG)、低介電常數(low-k)介電材料例如多孔SiCOH、倍半矽氧烷(SSQ)或其任何組合,但不限於此。可以通過一種或多種薄膜沉積製程(例如CVD、PVD、PECVD、ALD、高密度電漿化學氣相沉積(HDP-CVD)、濺射、旋塗或其任何組合)來沉積絕緣材料形成介電層792。介電層792覆蓋第一基底430的整個表面。在一些實施例中,介電層792的厚度t可以介於大約100 nm和大約1µm之間。在一些實施例中,厚度t可以介於大約300 nm和大約600 nm之間。例如,厚度t可以是大約500nm。
請回到第3圖。根據本發明一些實施例的3D記憶體陣列的方法300還包括步驟310,在外圍電路晶圓的相鄰元件之間形成深溝槽。參考第8圖,3D記憶體元件800包括在第一基底430的背側430-2上形成的多個深溝槽894。深溝槽穿透介電層792和部分第一基底430直到在深溝槽894的底部處暴露淺溝絕緣結構452爲止。在一些實施例中,深溝槽894還暴露出部分井區454和外圍元件450A和450B的其它部分的結構。
深溝槽的剖面形狀和數量可以根據需求來決定,例如根據期望達到的元件隔離效果和/或根據元件的類型來決定。例如,可以在淺溝絕緣結構452上形成單個深溝槽。在一些實施例中,可以在淺溝絕緣結構 452上形成兩個或更多個深溝槽。在一些實施例中,可以使用任何適當數量的深溝槽。深溝槽還可以具有任何適當的剖面形狀。例如,第8圖所示的深溝槽894的剖面形狀可以具有梯形形狀,其具有在深溝槽894的頂部處測量的頂部寬度W1和在深溝槽894的底部處測量的底部寬度W2。如第8圖所示,深溝槽894在該結構的頂部處可以具有比底部處更大的寬度,並且這樣的配置可以防止在連續設置的絕緣材料中的空隙。在一些實施例中,寬度W1可以介於大約0.1 µm至大約5 µm之間。在一些實施例中,寬度W2可以介於大約0.05 µm至大約0.25 µm之間。在一些實施例中,寬度W1和寬度W2可以實質上是相同的。例如,寬度W1和寬度W2可以是大約0.2µm。在一些實施例中,寬度W1與寬度W2的比例R1可以在大約1.5和大約2.5之間,例如R1可以是大約2。在一些實施例中,因爲深溝槽894可以穿透介電層792和部分第一基底430,所以深溝槽894的深度D可以介於大約1µm至大約6 µm之間。在一些實施例中,淺溝絕緣結構452的深度D可以介於大約300 nm至大約450 nm之間。在一些實施例中,深度D大約是薄化後的第一基底430和介電層792的總厚度(厚度T和t之總和)的大約60%到95%之間。在一些實施例中,深絕緣結構的高寬比可以介於大約10至大約120之間。在一些實施例中,高寬比可以大於大約20。在一些實施例中,深溝槽894的底表面和側壁表面之間的角度α可以介於大約90°至大約45°之間。在一些實施例中,深溝槽894可以具有實質上垂直於其底表面的側壁。
請回到第3圖。根據本發明一些實施例的3D記憶體陣列的方法300還包括步驟312,在深溝槽中形成絕緣材料以形成深絕緣結構並且進行平坦化製程。請參考第9圖,通過沉積絕緣材料以及進行平坦化製程,以在3D記憶體元件900的深溝槽894中形成深絕緣結構994。深絕緣結構994可以用於防止發生在第一基底430的相鄰元件(例如外圍元件450A和450B)之間的串擾。深絕緣結構994還可以用於防止外圍元件450A和450B影響任何其它周圍元件。深絕緣結構994可以與淺溝絕緣結構452物理接觸。深絕緣結構994的絕緣材料可以是可起到防止相鄰元件之間串擾的任何適當材料。例如,絕緣材料可以是低介電常數(low-k)介電材料(例如具有小於大約3.9的介電常數的介電材料)。在一些實施例中,絕緣材料可以是氧化矽、氮化矽、氮氧化矽、碳化矽、氟摻雜矽玻璃(FSG)、或是任何適當的介電材料和/或其組合。在一些實施例中,在沉積絕緣材料,可以在深溝槽894形成襯墊(liner)層(未在第9圖中示出),可以是用於促進絕緣材料與第一基底430黏附度的催化層,或是可以防止沉積絕緣材料時可能對第一基底造成污染的隔離層。襯墊層材料可以例如是氧化矽、氮化矽、氮氧化矽、碳化矽、氮碳化矽、氮化鈦、氮化鉭、或任何適當的材料和/或其組合。在一些實施例中,襯墊層位於絕緣材料和第一基底430之間。
在一些實施例中,絕緣材料可以是全面性的沉積在第一基底430的第二側430-2的介電層792上,直到深溝槽894完全被絕緣材料填滿爲止,接著進行平坦化製程以移除位於介電層792的頂表面上的任何過多的絕緣材料。平坦化製程可以例如是化學機械研磨(CMP)、反應性離子蝕刻(RIE)製程、濕蝕刻製程、或其他適當的製程和/或其組合。可以進行平坦化製程直到深絕緣結構994的頂表面和介電層792的頂表面是實質上共面(例如齊平)爲止。在一些實施例中,可以選擇性的在3D記憶體元件900需要元件隔離的任何適當位置上形成深絕緣結構994。
綜合以上,本發明提供的具有深絕緣結構的三維記憶體元件及其製作方法,可用於改善3D記憶體結構的元件之間的隔離。本發明提供的具有深絕緣結構的三維記憶體元件及其製作方法包括將包含有CMOS元件的外圍元件晶圓接合到包含有3D記憶體陣列的陣列晶圓,然後在接合的外圍/記憶體陣列晶圓中形成深絕緣結構,以防止在相鄰結構之間(例如在不同摻雜類型的井區之間)的串擾。可以在使外圍晶圓的介電層薄化後形成貫穿矽隔離(TSI)結構來形成絕緣結構,以有效地分離不同的功能區。
前文對於特定實施例的詳細描述可得知本發明的一般性質,並使得本發明具有通常知識者在不脫離本發明一般概念的情況下,能夠根據本領域技術的知識,容易地修改及/或調整這些特定實施例以用於各種應用,並不需要過度實驗。因此,基於本文呈現的教示和指導,這樣的調整和修改目的在於所公開的實施例的等同物的含義和範圍內。應該理解的是,本文中的措辭或術語是出於描述的目的,而非限制的目的。本說明書使用術語或措辭將由本領域技術人員根據所述教示和指導進行解釋。
前文已經借助於功能區塊描述了本發明的實施例,該功能區塊例示了特定功能及其關係的實施方式。爲了便於描述,前文實施例中任意限定了這些功能區塊的邊界,但只要適當執行特定功能及其關係,在其他實施例中也可以限定替代的邊界。 發明內容和摘要部分是用來描述由發明人提出的本發明的一個或多個但並非全部的示例性實施例,並非用於以任何方式限制本發明和所附權利要求的範圍。凡依本發明申請專利範圍所做之均等變化與修飾,皆應屬本發明之涵蓋範圍。
BL:位元線方向 500:3D記憶體陣列 WL:字元線方向 530:第二基底 100:3D記憶體元件 540:記憶單元 101:儲存平面 562:陣列互連層 103:儲存塊 564:接觸結構 105:外圍區 566:導電線 108:區域 568:絕緣層 200:3D記憶體陣列結構 572:基底接觸 210:階梯區 574:導體層 211:通道結構區 576:介電層 212:儲存串 578:導體/介電層交替堆疊層 214:接觸結構 580:磊晶層 216:狹縫結構 582:半導體層 218:指儲存區 584:位元線接觸 220:頂部選擇閘極切線 586:接觸插塞 300:方法 600:3D記憶體元件 302:步驟 688:接合接口 304:步驟 690:接合層 306:步驟 700:3D記憶體元件 308:步驟 792:介電層 310:步驟 800:3D記憶體元件 312:步驟 894:深溝槽 330:基底 900:3D記憶體元件 331:絕緣層 994:深絕緣結構 332:下選擇閘極(LSG) 216-1:狹縫結構 334:頂部選擇閘極(TSG) 216-2:狹縫結構 335:堆疊層 333-1:控制閘極 336:通道孔 333-2:控制閘極 337:儲存膜 333-3:控制閘極 338:通道層 340:記憶單元 339:核心填充膜 340-1:記憶單元 341:位元線 340-2:記憶單元 343:金屬互連線 340-3:記憶單元 344:摻雜源極線區 430-1:第一側 400:外圍電路 430-2:第二側 430:第一基底 450A:外圍元件 452:淺溝絕緣結構 450B:外圍元件 454:井區 466:導電線 455:深井區 466-2:導電線 456:閘極堆疊 470-1:導電層(金屬層) 458:閘極側壁子 470-2:導電層(金屬層) 460:源極/汲極 D:深度 462:外圍互連層 T:厚度 464:接觸結構 t:厚度 466:導電線 W1:寬度 468:絕緣層 W2:寬度 472:基底接觸 X:方向 473:深井區接觸 Y:方向 486:接觸插塞 Z:方向 α:角度
所附圖式提供對於此實施例更深入的了解,並納入此說明書成為其中一部分。這些圖式與描述,用來說明一些實施例的原理。圖式中: 第1A圖示出了根據本發明一些實施例的示例性三維(3D)記憶體元件的示意性俯視圖。 第1B圖示出根據本發明一些實施例的3D記憶體元件的部分區域的示意性俯視圖。 第2圖示出根據本發明一些實施例的示例性3D記憶體陣列結構的部分透視圖。 第3圖示出根據本發明一些實施例的用來形成具有深絕緣結構的3D記憶體陣列的方法的步驟流程圖。 第4圖示出根據本發明一些實施例的外圍電路的剖面示意圖。 第5圖示出根據本發明一些實施例的記憶體陣列的剖面示意圖。 第6圖示出根據本發明一些實施例中將外圍電路與記憶體陣列接合之後的3D記憶體元件的剖面示意圖。 第 7-9圖示出根據本發明一些實施例中各種製程階段的3D記憶體元件的剖面示意圖。 下文所述本發明實施例的詳細描述結合所附圖式,可清楚說明本發明的目的與限定特徵。圖式中相應或在功能上或結構上相似的元件可以用相同的符號標示。元件的標示符號的最左邊的位數表示該元件首次出現的圖號。 下文將參考附圖來說明本發明內容的實施例。
332:下選擇閘極(LSG)
334:頂部選擇閘極(TSG)
337:儲存膜
338:通道層
400:外圍電路
430:第一基底
452:淺溝絕緣結構
454:井區
455:深井區
456:閘極堆疊
458:閘極側壁子
460:源極/汲極
462:外圍互連層
464:接觸結構
466:導電線
468:絕緣層
472:基底接觸
473:深井區接觸
486:接觸插塞
500:3D記憶體陣列
530:第二基底
562:陣列互連層
572:基底接觸
574:導體層
576:介電層
578:導體/介電層交替堆疊層
580:磊晶層
582:半導體層
584:位元線接觸
586:接觸插塞
688:接合接口
690:接合層
792:介電層
900:3D記憶體元件
994:深絕緣結構
340:記憶單元
450A:外圍元件
450B:外圍元件
466:導電線
466-2:導電線
470-1:導電層(金屬層)
470-2:導電層(金屬層)
T:厚度
t:厚度
564:接觸結構
566:導電線
568:絕緣層
X:方向
Z:方向
α:角度

Claims (20)

  1. 一種三維記憶體元件的製作方法,包括: 在一第一基底的一第一側上形成一外圍電路,該外圍電路包括一第一外圍元件、一第二外圍元件、一第一互連層,以及位在該第一外圍元件和該第二外圍元件之間的一淺溝絕緣結構; 在一第二基底上形成一記憶體陣列,該記憶體陣列包括多個記憶單元和一第二互連層; 將該第一互連層和該第二互連層接合; 形成穿過該第一基底並且暴露出部分該淺溝絕緣結構的一深溝槽,其中該深溝槽是自該第一基底之與該第一側相對的一第二側形成; 形成一絕緣材料以在所述深溝槽中形成一深絕緣結構;以及 進行一平坦化製程,以移除該絕緣材料覆蓋在該第一基底的該第二側上的部分。
  2. 根據申請專利範圍第1項所述之方法,其中將該第一互連層和該第二互連層接合之後,另包括: 自該第一基底的該第二側薄化該第一基底。
  3. 根據申請專利範圍第2項所述之方法,其中薄化該第一基底包括: 使位於該第一基底中的一深井區自該第二側暴露出來。
  4. 根據申請專利範圍第1項所述之方法,其中形成該絕緣材料之前,另包括: 在該深溝槽中形成一襯墊層。
  5. 根據申請專利範圍第1項所述之方法,另包括: 在該第一基底的該第二側上形成一介電層。
  6. 根據申請專利範圍第1項所述之方法,其中將該第一互連層和該第二互連層接合包括直接接合。
  7. 根據申請專利範圍第1項所述之方法,另包括: 形成另一淺溝絕緣結構,位於該第一基底中且相鄰於該第一外圍元件;以及 形成穿過該第一基底並且暴露出該另一淺溝絕緣結構的另一深溝槽。
  8. 根據申請專利範圍第7項所述之方法,另包括: 將該絕緣材料設置在該另一深溝槽中。
  9. 根據申請專利範圍第1項所述之方法,其中形成該絕緣材料包括沉積氧化矽材料。
  10. 根據申請專利範圍第1項所述之方法,其中將該第一互連層和該第二互連層接合包括: 在接合處形成介電層-介電層接合和金屬-金屬接合。
  11. 一種三維記憶體元件的製作方法,包括: 在一第一基底的一第一側上形成一外圍電路,該外圍電路包括多個外圍元件和一第一互連層; 在該第一基底中形成多個淺溝絕緣結構,其中各該淺溝絕緣結構形成在相鄰之該些外圍元件之間; 在一第二基底上形成一記憶體陣列,該記憶體陣列包括多個記憶單元和一第二互連層; 將該第一互連層和該第二互連層接合,使得至少一該外圍元件電耦合至一該記憶單元; 自該第一基底的一第二側薄化該第一基底,其中該第二側相對於該第一側; 形成穿過該第一基底並且暴露出部分該些淺溝絕緣結構的多個深溝槽,其中該些深溝槽是自該第一基底的該第二側形成; 形成一絕緣材料填入該些深溝槽中;以及 進行一平坦化製程,以移除該絕緣材料覆蓋在該第一基底的該第二側上的部分。
  12. 根據申請專利範圍第11項所述之方法,其中將該第一互連層和該第二互連層接合包括直接接合。
  13. 根據申請專利範圍第11項所述之方法,另包括: 於該第一基底的該第二側上形成一介電層,其中該些深溝槽延伸穿過該介電層。
  14. 根據申請專利範圍第11項所述之方法,其中形成該絕緣材料之前,另包括在該深溝槽中形成一襯墊層。
  15. 根據申請專利範圍第11項所述之方法,其中形成該絕緣材料包括沉積氧化矽材料。
  16. 一種三維記憶體元件,包括: 一外圍電路晶圓,包括: 一第一基底; 位在該第一基底的一第一側的多個外圍元件以及一第一互連層; 位在該第一基底中的多個淺溝絕緣結構,其中至少一該淺溝絕緣結構形成在相鄰該外圍元件之間;以及 位在該第一基底之與該第一側相對的一第二側上的多個深絕緣結構,其中至少一該深絕緣結構與一該淺溝絕緣結構物理接觸;以及 一記憶體陣列晶圓,包括: 多個記憶單元,其中至少一該外圍元件電耦合至一該記憶單元;以及 與該第一互連層物理接觸的一第二互連層。
  17. 根據申請專利範圍第16項所述之三維記憶體元件,其中各該深絕緣結構包括一襯墊層和一絕緣材料,其中該襯墊層位於該絕緣材料和該第一基底之間。
  18. 根據申請專利範圍第16項所述之三維記憶體元件,其中該物理接觸包括在該第一互連層和該第二互連層之間形成的化學接合。
  19. 根據申請專利範圍第16項所述之三維記憶體元件,其中各該深絕緣結構包括氧化矽。
  20. 根據申請專利範圍第16項所述之三維記憶體元件,其中該些深絕緣結構的寬度是大約0.2µm。
TW108130269A 2019-07-08 2019-08-23 三維記憶體元件及其製作方法 TWI729470B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
WOPCT/CN2019/095085 2019-07-08
PCT/CN2019/095085 WO2021003638A1 (en) 2019-07-08 2019-07-08 Three-dimensional memory devices with deep isolation structures

Publications (2)

Publication Number Publication Date
TW202103306A true TW202103306A (zh) 2021-01-16
TWI729470B TWI729470B (zh) 2021-06-01

Family

ID=68593263

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108130269A TWI729470B (zh) 2019-07-08 2019-08-23 三維記憶體元件及其製作方法

Country Status (6)

Country Link
US (1) US11031282B2 (zh)
JP (1) JP2022534538A (zh)
KR (1) KR20220002397A (zh)
CN (1) CN110506334B (zh)
TW (1) TWI729470B (zh)
WO (1) WO2021003638A1 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI808511B (zh) * 2021-06-30 2023-07-11 大陸商長江存儲科技有限責任公司 具有三維電晶體的記憶體週邊電路及其形成方法
TWI808513B (zh) * 2021-06-30 2023-07-11 大陸商長江存儲科技有限責任公司 具有三維電晶體的儲存裝置

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11930648B1 (en) * 2016-10-10 2024-03-12 Monolithic 3D Inc. 3D memory devices and structures with metal layers
JP2021048353A (ja) * 2019-09-20 2021-03-25 キオクシア株式会社 半導体記憶装置
CN110648932B (zh) * 2019-11-28 2020-04-17 长江存储科技有限责任公司 一种半导体芯片及其制造方法
CN111052380B (zh) * 2019-11-28 2021-01-29 长江存储科技有限责任公司 局部字线驱动器件、存储器件及其制造方法
CN111681687B (zh) * 2020-06-11 2023-08-08 武汉新芯集成电路制造有限公司 一种半导体结构
KR20230011430A (ko) 2020-08-28 2023-01-20 양쯔 메모리 테크놀로지스 씨오., 엘티디. 3차원 nand 메모리 디바이스 및 이를 형성하는 방법
JP2022045192A (ja) * 2020-09-08 2022-03-18 キオクシア株式会社 半導体装置およびその製造方法
KR20220034273A (ko) * 2020-09-10 2022-03-18 삼성전자주식회사 3차원 반도체 메모리 장치 및 이를 포함하는 전자 시스템
KR20220052749A (ko) * 2020-10-21 2022-04-28 에스케이하이닉스 주식회사 수직형 구조를 갖는 메모리 장치
JP2022118607A (ja) * 2021-02-02 2022-08-15 キオクシア株式会社 メモリデバイス
CN112909013B (zh) * 2021-03-18 2022-02-18 长江存储科技有限责任公司 三维存储器及制备三维存储器的方法
CN112802849B (zh) * 2021-03-29 2023-04-21 长江存储科技有限责任公司 一种三维存储器及其制作方法
BR112023012725A2 (pt) * 2021-05-12 2023-12-05 Yangtze Memory Tech Co Ltd Dispositivo de memória tridimensional, sistema e método para formar um dispositivo de memória tridimensional
WO2023015464A1 (en) * 2021-08-11 2023-02-16 Yangtze Memory Technologies Co., Ltd. Semiconductor devices, systems, and methods for forming thereof
CN113690173B (zh) * 2021-09-07 2024-04-05 长江存储科技有限责任公司 三维存储器及其制备方法

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104241279B (zh) * 2013-06-18 2017-09-01 中芯国际集成电路制造(上海)有限公司 一种集成电路及其制造方法
EP2913847B1 (en) * 2014-02-28 2018-04-18 LFoundry S.r.l. Method of fabricating a semiconductor device and semiconductor product
US9935124B2 (en) 2015-11-25 2018-04-03 Sandisk Technologies Llc Split memory cells with unsplit select gates in a three-dimensional memory device
JP2018163970A (ja) * 2017-03-24 2018-10-18 東芝メモリ株式会社 半導体装置及びその製造方法
JP6832817B2 (ja) * 2017-09-08 2021-02-24 キオクシア株式会社 記憶装置
US10283452B2 (en) * 2017-09-15 2019-05-07 Yangtze Memory Technology Co., Ltd. Three-dimensional memory devices having a plurality of NAND strings
US10283493B1 (en) * 2018-01-17 2019-05-07 Sandisk Technologies Llc Three-dimensional memory device containing bonded memory die and peripheral logic die and method of making thereof
CN108470711B (zh) * 2018-02-12 2020-10-02 上海集成电路研发中心有限公司 图像传感器的深沟槽和硅通孔的制程方法
KR102309462B1 (ko) * 2018-06-28 2021-10-06 양쯔 메모리 테크놀로지스 씨오., 엘티디. 차폐층을 가진 3차원 메모리 장치 및 3차원 메모리 장치를 형성하기 위한 방법
WO2020014976A1 (en) * 2018-07-20 2020-01-23 Yangtze Memory Technologies Co., Ltd. Methods for forming three-dimensional memory devices
WO2020034063A1 (en) * 2018-08-13 2020-02-20 Yangtze Memory Technologies Co., Ltd. Bonding contacts having capping layer and method for forming the same
CN109037224A (zh) * 2018-09-19 2018-12-18 长江存储科技有限责任公司 存储器结构
CN111403413B (zh) * 2018-10-23 2022-06-14 长江存储科技有限责任公司 具有使用背面衬底减薄形成的半导体插塞的三维存储设备
CN109461737B (zh) * 2018-11-12 2020-09-29 长江存储科技有限责任公司 一种半导体器件及其制造方法
CN109712989B (zh) * 2018-12-29 2021-04-23 长江存储科技有限责任公司 一种三维存储器
US10985169B2 (en) * 2019-03-04 2021-04-20 Sandisk Technologies Llc Three-dimensional device with bonded structures including a support die and methods of making the same

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI808511B (zh) * 2021-06-30 2023-07-11 大陸商長江存儲科技有限責任公司 具有三維電晶體的記憶體週邊電路及其形成方法
TWI808513B (zh) * 2021-06-30 2023-07-11 大陸商長江存儲科技有限責任公司 具有三維電晶體的儲存裝置

Also Published As

Publication number Publication date
CN110506334B (zh) 2021-01-29
KR20220002397A (ko) 2022-01-06
TWI729470B (zh) 2021-06-01
US11031282B2 (en) 2021-06-08
CN110506334A (zh) 2019-11-26
JP2022534538A (ja) 2022-08-01
US20210013088A1 (en) 2021-01-14
WO2021003638A1 (en) 2021-01-14

Similar Documents

Publication Publication Date Title
TWI729470B (zh) 三維記憶體元件及其製作方法
JP7341253B2 (ja) 3次元nandのためのキャパシタを形成するための構造および方法
TWI788608B (zh) 用於形成三維記憶體裝置的方法
JP7439136B2 (ja) 3次元nandのためのビットラインドライバーのアイソレーションのための構造および方法
TWI744733B (zh) 用於半導體元件陣列的後側深隔離結構
WO2020210928A1 (en) Integration of three-dimensional nand memory devices with multiple functional chips