KR20220002397A - 깊은 격리 구조들을 갖는 3차원 메모리 디바이스들 - Google Patents

깊은 격리 구조들을 갖는 3차원 메모리 디바이스들 Download PDF

Info

Publication number
KR20220002397A
KR20220002397A KR1020217037800A KR20217037800A KR20220002397A KR 20220002397 A KR20220002397 A KR 20220002397A KR 1020217037800 A KR1020217037800 A KR 1020217037800A KR 20217037800 A KR20217037800 A KR 20217037800A KR 20220002397 A KR20220002397 A KR 20220002397A
Authority
KR
South Korea
Prior art keywords
substrate
isolation
layer
memory
peripheral
Prior art date
Application number
KR1020217037800A
Other languages
English (en)
Inventor
량 천
웨이 류
청 간
Original Assignee
양쯔 메모리 테크놀로지스 씨오., 엘티디.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 양쯔 메모리 테크놀로지스 씨오., 엘티디. filed Critical 양쯔 메모리 테크놀로지스 씨오., 엘티디.
Publication of KR20220002397A publication Critical patent/KR20220002397A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/30EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region
    • H10B43/35EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region with cell select transistors, e.g. NAND
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8221Three dimensional integrated circuits stacked in different levels
    • H01L27/1157
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L24/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L24/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L24/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L24/80 - H01L24/90
    • H01L24/92Specific sequence of method steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/93Batch processes
    • H01L24/94Batch processes at wafer-level, i.e. with connecting carried out on a wafer comprising a plurality of undiced individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/18Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different subgroups of the same main group of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • H01L27/11573
    • H01L27/11575
    • H01L27/11582
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/10EEPROM devices comprising charge-trapping gate insulators characterised by the top-view layout
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/40EEPROM devices comprising charge-trapping gate insulators characterised by the peripheral circuit region
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/50EEPROM devices comprising charge-trapping gate insulators characterised by the boundary region between the core and peripheral circuit regions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05117Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C
    • H01L2224/05124Aluminium [Al] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05147Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05155Nickel [Ni] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05157Cobalt [Co] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05163Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05166Titanium [Ti] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05163Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05181Tantalum [Ta] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05163Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05184Tungsten [W] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/05186Material with a principal constituent of the material being a non metallic, non metalloid inorganic material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05601Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of less than 400°C
    • H01L2224/05611Tin [Sn] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05617Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C
    • H01L2224/05624Aluminium [Al] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05639Silver [Ag] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05644Gold [Au] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05647Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05655Nickel [Ni] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05663Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05666Titanium [Ti] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/05686Material with a principal constituent of the material being a non metallic, non metalloid inorganic material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08135Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/08145Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L2224/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • H01L2224/29001Core members of the layer connector
    • H01L2224/29099Material
    • H01L2224/29186Material with a principal constituent of the material being a non metallic, non metalloid inorganic material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L2224/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • H01L2224/29001Core members of the layer connector
    • H01L2224/29099Material
    • H01L2224/2919Material with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32135Disposition the layer connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/32145Disposition the layer connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/80895Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically conductive surfaces, e.g. copper-copper direct bonding, surface activated bonding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/838Bonding techniques
    • H01L2224/83894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/83896Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically insulating surfaces, e.g. oxide or nitride layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L2224/80 - H01L2224/90
    • H01L2224/92Specific sequence of method steps
    • H01L2224/921Connecting a surface with connectors of different types
    • H01L2224/9211Parallel connecting processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06541Conductive via connections through the device, e.g. vertical interconnects, through silicon via [TSV]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L24/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L24/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Semiconductor Memories (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Non-Volatile Memory (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

3차원 메모리 디바이스를 형성하기 위한 방법은, 제1 기판의 제1 측면 상에, 제1 및 제2 주변 디바이스들, 제1 상호접속 층, 및 제1 및 제2 주변 디바이스들 사이의 얕은 트렌치 격리부(STI) 구조를 포함하는 주변 회로를 형성하는 단계, 및 제2 기판 상에, 복수의 메모리 셀들 및 제2 상호접속 층을 포함하는 메모리 어레이를 형성하는 단계를 포함한다. 방법은 제1 및 제2 상호접속 층들을 본딩하고, 제1 기판을 통해 격리 트렌치를 형성하고, STI 구조의 일부를 노출시키는 단계를 포함한다. 격리 트렌치는 제1 측면에 대향하는 제1 기판의 제2 측면을 통해 형성된다. 방법은 격리 트렌치 내에 격리 구조를 형성하기 위해 격리 재료를 배치하는 단계, 및 제1 기판의 제2 측면 상에 배치된 격리 재료의 부분들을 제거하기 위해 평탄화 공정을 수행하는 단계를 포함한다.

Description

깊은 격리 구조들을 갖는 3차원 메모리 디바이스들
본 개시내용 일반적으로 반도체 기술 분야에 관한 것으로서, 더 구체적으로는 3차원(3D) 메모리 디바이스를 형성하는 데 사용되는 반도체 웨이퍼들 내의 응력을 감소시키기 위한 방법에 관한 것이다.
평면 메모리 셀들은 공정 기술, 회로 설계들, 프로그래밍 알고리즘들 및 제조 공정들을 개선함으로써 더 작은 크기들로 스케일링된다. 그러나, 메모리 셀들의 피처 크기들이 하한에 접근함에 따라, 평면 공정들 및 제조 기법들은 어려워지고 비용이 많이 든다. 이 때문에, 평면 메모리 셀들에 대한 메모리 밀도는 상한에 접근하고 있다. 3차원(3D) 메모리 아키텍처는 평면 메모리 셀들에서의 밀도 제한을 해결할 수 있다.
메모리 디바이스를 위한 3차원(3D) 커패시터 구조 및 이를 형성하기 위한 방법들의 실시예들이 본 개시내용에서 설명된다.
일부 실시예들에서, 3차원 메모리 디바이스를 형성하는 방법은, 제1 기판의 제1 측면 상에, 제1 주변 디바이스 및 제2 주변 디바이스, 제1 상호접속 층, 및 제1 주변 디바이스와 제2 주변 디바이스 사이의 얕은 트렌치 격리부(STI) 구조를 포함하는 주변 회로부를 형성하는 단계를 포함한다. 방법은 또한 제2 기판 상에, 복수의 메모리 셀들 및 제2 상호접속 층을 포함하는 메모리 어레이를 형성하는 단계를 포함한다. 방법은 제1 및 제2 상호접속 층들을 본딩하는 단계, 및 제1 기판을 통해 격리 트렌치를 형성하고 STI 구조의 일부를 노출시키는 단계를 더 포함한다. 격리 트렌치는 제1 측면에 대향하는 제1 기판의 제2 측면을 통해 형성된다. 방법은 격리 트렌치 내에 격리 구조를 형성하기 위해 격리 재료를 배치하는 단계, 및 제1 기판의 제2 측면 상에 배치된 격리 재료의 부분들을 제거하기 위해 평탄화 공정을 수행하는 단계를 더 포함한다.
일부 실시예들에서, 제1 기판은 제1 및 제2 상호접속 층들을 본딩한 후에 제2 측면을 통해 박형화된다.
일부 실시예에서, 제1 기판을 박형화하는 단계는 제1 기판의 제2 측면 상의 딥 웰을 노출시키는 단계를 포함한다.
일부 실시예들에서, 라이너 층이 격리 재료를 배치하기 전에 격리 트렌치 내에 배치된다.
일부 실시예들에서, 유전체 층은 제1 기판의 제2 측면 상에 배치된다.
일부 실시예들에서, 본딩은 직접 본딩을 포함한다.
일부 실시예들에서, 다른 STI 구조가 제1 주변 디바이스에 인접하여 형성되고, 다른 깊은 격리 트렌치가 제1 기판을 통해 관통하여 형성되어 다른 STI 구조를 노출시킨다.
일부 실시예들에서, 격리 재료는 다른 깊은 격리 트렌치 내에 배치된다.
일부 실시예들에서, 격리 재료를 배치하는 단계는 실리콘 산화물 재료를 퇴적하는 단계를 포함한다.
일부 실시예들에서, 제1 및 제2 상호접속 층들을 본딩하는 단계는 본딩 계면에서의 유전체-유전체 본딩 및 금속-금속 본딩을 포함한다.
일부 실시예들에서, 3차원 메모리 디바이스를 형성하는 방법은, 제1 기판의 제1 측면 상에, 복수의 주변 디바이스들 및 제1 상호접속 층을 갖는 주변 회로부를 형성하는 단계를 포함한다. 방법은 또한 제1 기판에 복수의 얕은 트렌치 격리부(STI) 구조들을 형성하는 단계를 포함하고, 복수의 STI 구조의 각각의 STI 구조는 복수의 주변 디바이스의 인접한 주변 디바이스들 사이에 형성된다. 방법은 제2 기판 상에, 복수의 메모리 셀들 및 제2 상호접속 층을 포함하는 메모리 어레이를 형성하는 단계를 더 포함한다. 방법은 제1 및 제2 상호접속 층들을 본딩하여, 복수의 주변 디바이스 중 적어도 하나의 주변 디바이스가 복수의 메모리 셀 중 적어도 하나의 메모리 셀에 전기적으로 결합되도록 하는 단계를 더 포함한다. 방법은 제1 기판의 제2 측면을 통해 제1 기판을 박형화하는 단계를 더 포함하고, 여기서, 제2 측면은 제1 측면에 대향한다. 본 방법은 제1 기판을 통해 복수의 격리 트렌치들을 형성하고 복수의 STI 구조들 중의 STI 구조의 일부를 노출시키는 단계를 더 포함하고, 여기서, 복수의 격리 트렌치들은 제1 기판의 제2 측면을 통해 형성된다. 방법은 복수의 격리 트렌치들 내에 격리 재료를 배치하는 단계, 및 제1 기판의 제2 측면 상에 배치된 격리 재료의 부분들을 제거하기 위해 평탄화 공정을 수행하는 단계를 더 포함한다.
일부 실시예들에서, 본딩은 직접 본딩을 포함한다.
일부 실시예들에서, 유전체 층은 제1 기판의 제2 측면 상에 배치되고, 복수의 격리 트렌치들은 유전체 층을 통해 연장된다.
일부 실시예들에서, 라이너 층은 격리 재료를 배치하기 전에 격리 트렌치 내에 배치된다.
일부 실시예들에서, 격리 재료를 배치하는 단계는 실리콘 산화물 재료를 배치하는 단계를 포함한다.
일부 실시예들에서, 3차원 메모리 디바이스는 제1 기판과 복수의 주변 디바이스들 및 제1 기판의 제1 측면에 형성된 제1 상호접속 층을 포함하는 주변 회로 웨이퍼를 포함한다. 주변 회로 웨이퍼는 또한 제1 기판에 복수의 얕은 트렌치 격리부(STI) 구조를 포함하고, 적어도 하나의 STI 구조가 복수의 주변 디바이스 중 인접한 주변 디바이스들 사이에 형성된다. 주변 회로 웨이퍼는 또한 제1 측면에 대향하는 제1 기판의 제2 측면 상에 형성된 복수의 깊은 격리 구조들을 포함하고, 복수의 깊은 격리 구조들 중 적어도 하나의 깊은 격리 구조는 적어도 하나의 STI 구조와 물리적으로 접촉한다. 3차원 메모리 디바이스는 또한 복수의 메모리 셀들을 포함하는 메모리 어레이 웨이퍼를 포함하고, 복수의 주변 디바이스 중 적어도 하나의 주변 디바이스는 복수의 메모리 셀 중 적어도 하나의 메모리 셀에 전기적으로 결합된다. 메모리 어레이 웨이퍼는 또한 제1 상호접속 층과 물리적으로 접촉하는 제2 상호접속 층을 포함한다.
일부 실시예들에서, 적어도 하나의 깊은 격리 구조는 라이너 층 및 격리 재료를 포함하고, 여기서, 라이너 층은 격리 재료와 제1 기판 사이에 있다.
일부 실시예들에서, 물리적 접점은 제1 및 제2 상호접속 층 사이에 형성된 화학적 결합들을 포함한다.
일부 실시예들에서, 적어도 하나의 깊은 격리 구조는 실리콘 산화물을 포함한다.
일부 실시예들에서, 적어도 하나의 깊은 격리 구조의 폭은 약 0.2μm이다.
본 명세서에 포함되고 본 명세서의 일부를 형성하는 첨부 도면들은 본 개시내용의 실시예들을 예시하고, 추가로 설명과 함께, 본 개시내용의 원리들을 설명하고 관련 기술분야의 통상의 기술자가 본 개시내용을 제조하고 사용할 수 있게 하는 역할을 한다.
도 1a는 본 개시내용의 일부 실시예에 따른 예시적인 3차원(3D) 메모리 다이의 개략적인 평면도를 예시한다.
도 1b는 본 개시내용의 일부 실시예에 따른 3D 메모리 다이의 영역의 개략적 평면도를 예시한다.
도 2는 본 개시내용의 일부 실시예들에 따른 예시적인 3D 메모리 어레이 구조의 일부의 사시도를 예시한다.
도 3은 본 개시내용의 일부 실시예들에 따른, 깊은 격리 구조들을 갖는 3D 메모리 어레이를 형성하는 흐름도를 예시한다.
도 4는 본 개시내용의 일부 실시예에 따른 주변 회로의 단면도를 예시한다.
도 5는 본 개시내용의 일부 실시예들에 따른 메모리 어레이의 단면도를 예시한다.
도 6은 본 개시내용의 일부 실시예들에 따른, 주변 회로와 메모리 어레이를 본딩한 후의 3D 메모리 디바이스의 단면도를 예시한다.
도 7 내지 도 9는 본 개시내용의 일부 실시예들에 따른, 다양한 공정 단계들에서의 3D 메모리 디바이스의 단면도들을 예시한다.
본 발명의 특징들 및 장점들은 도면들과 관련하여 취해질 때 후술하는 상세한 설명으로부터 더 명백해질 것이며, 도면들 전반에서 유사한 참조 문자들은 대응하는 요소들을 식별한다. 도면들에서, 유사한 참조 번호들은 일반적으로 동일한, 기능적으로 유사한, 및/또는 구조적으로 유사한 요소들을 나타낸다. 요소가 처음 나타나는 도면은 대응하는 참조 번호에서 가장 왼쪽 숫자(들)로 표시된다.
본 개시내용의 실시예들은 첨부 도면들을 참조하여 설명될 것이다.
특정 구성들 및 배열들이 논의되지만, 이는 단지 예시의 목적으로 이루어진다는 것을 이해해야 한다. 관련 기술분야의 통상의 기술자는 본 개시내용의 사상 및 범위를 벗어나지 않고 다른 구성들 및 배열들이 사용될 수 있다는 것을 인식할 것이다. 관련 기술분야의 통상의 기술자는 본 개시내용이 또한 다양한 다른 응용들에서 채용될 수 있다는 것을 명백히 알 수 있을 것이다.
본 명세서에서 "하나의 실시예", "실시예", "예시적인 실시예", "일부 실시예들" 등에 대한 언급들은 설명되는 실시예가 특정 특징, 구조 또는 특성을 포함할 수 있지만, 모든 실시예가 반드시 특정 특징, 구조 또는 특성을 포함할 필요는 없다는 것을 나타낸다는 점에 유의한다. 또한, 이러한 문구들이 반드시 동일한 실시예를 지칭하는 것은 아니다. 또한, 특정 특징, 구조 또는 특성이 일 실시예와 관련하여 설명될 때, 명시적으로 설명되어 있든 그렇지 않든 간에 관련 기술분야의 통상의 기술자의 지식 범위 내에서 다른 실시예들과 관련하여 이러한 특징, 구조 또는 특성을 적용할 수 있을 것이다.
일반적으로, 용어는 적어도 부분적으로 맥락에 따른 용법으로부터 이해될 수 있다. 예를 들어, 본 명세서에서 사용되는 바와 같은 "하나 이상"이라는 용어는 적어도 부분적으로 상황에 따라 단수의 의미의 임의의 특징, 구조 또는 특성을 설명하는 데 사용될 수 있거나, 복수의 의미의 특징들, 구조들 또는 특성들의 조합들을 설명하는 데 사용될 수 있다. 유사하게, 관사("a", "an" 또는 "the") 같은 용어는, 역시, 적어도 부분적으로 맥락에 따라, 단수 용법을 전달하거나 복수 용법을 전달하는 것으로 이해될 수 있다. 또한, "기초하여"이라는 용어는 반드시 배타적인 인자들의 집합을 전달하는 것을 의도하지는 않는 것으로 이해될 수 있으며, 대신에 적어도 부분적으로는 맥락에 따라 역시 반드시 명확히 설명되지는 않는 추가적인 인자들의 존재를 허용할 수 있다.
본 개시내용에서 "상에(on)", "위에(above)", 및 "위쪽에(over)"의 의미는 "상에(on)"가 무언가의 "바로 위에(directly on)"를 의미할 뿐만 아니라, 그 사이에 중간 특징 또는 층을 갖고 무언가의 "상에(on)" 있는 것의 의미를 포함하도록 가장 넓은 방식으로 해석되어야 한다는 점을 당연히 이해하여야 한다. 또한, "위에(above)" 또는 "위쪽에(over)"는 "위에(above)" 또는 "위쪽에(over)" 있는 무언가를 의미할 뿐만 아니라, 사이에 중간 특징 또는 층이 없는(즉, 무언가의 바로 위의) 무언가의 "위에(above)" 또는 "위쪽에(over)"의 의미를 또한 포함할 수 있다.
또한, "아래에(beneath)", "아래쪽에(below)", "하부(lower)", "위에(above)", "상부(upper)" 등과 같은 공간적으로 상대적인 용어들이 도면들에 예시된 바와 같은 다른 요소(들) 또는 특징(들)에 대한 하나의 요소 또는 특징의 관계를 설명하기 위해 설명의 용이함을 위해 본 명세서에서 사용될 수 있다. 공간적으로 상대적인 용어들은 도면들에 도시된 배향에 더하여 사용 또는 공정 단계에서의 디바이스의 상이한 배향들을 포함하도록 의도된다. 장치는 (90도 회전되거나 다른 배향들로) 달리 배향될 수 있고, 본 명세서에서 사용되는 공간적으로 상대적인 기술어들은 마찬가지로 그에 따라 해석될 수 있다.
본 명세서에서 사용될 때, 용어 "기판"은 후속 재료 층들이 그 위에 추가되는 재료를 지칭한다. 기판은 "상단" 표면 및 "하단" 표면을 포함한다. 기판의 상단 표면은 통상적으로 반도체 디바이스가 형성되는 곳이고, 따라서, 반도체 디바이스는 달리 언급되지 않는 한 기판의 상단 측면에 형성된다. 하단 표면은 상단 표면에 대향하고, 따라서 기판의 하단 측면은 기판의 상단 측면에 대향한다. 기판 자체는 패터닝될 수 있다. 기판의 상단에 추가된 재료들은 패터닝될 수 있거나 패터닝되지 않은 채로 유지될 수 있다. 또한, 기판은 실리콘, 게르마늄, 갈륨 비소, 인듐 인화물 등과 같은 광범위한 반도체 재료를 포함할 수 있다. 대안적으로, 기판은 유리, 플라스틱, 또는 사파이어 웨이퍼와 같은 전기 비전도성 재료로 만들어질 수 있다.
본 명세서에서 사용되는 바와 같이, "층"이라는 용어는 두께를 갖는 영역을 포함하는 재료 부분을 지칭한다. 층은 상단 측면 및 하단 측면을 갖고, 층의 하단 측면은 기판에 상대적으로 가깝고 상단 측면은 기판으로부터 상대적으로 멀리 있다. 층은 하위 또는 상위 구조의 전체에 걸쳐 연장될 수 있거나, 하위 또는 상위 구조의 범위보다 작은 범위를 가질 수 있다. 또한, 층은 연속 구조의 두께보다 작은 두께를 갖는 균질 또는 비균질 연속 구조의 영역일 수 있다. 예를 들어, 층은, 연속 구조의 상단 표면과 하단 표면 사이의 또는 상단 표면과 하단 표면에 있는 임의의 세트의 수평 평면들 사이에 위치할 수 있다. 층은 수평으로, 수직으로, 및/또는 테이퍼링된 표면을 따라 연장될 수 있다. 기판은 층일 수 있고, 그 안에 하나 이상의 층을 포함할 수 있고 및/또는 그 상에, 그 위에 및/또는 그 아래에 하나 이상의 층을 가질 수 있다. 층은 다수의 층을 포함할 수 있다. 예를 들어, 상호접속 층은(접점들, 상호접속 라인들, 및/또는 VIA들(vertical interconnect accesses)이 형성되는) 하나 이상의 전도성 및 접점 층들 및 하나 이상의 유전체 층들을 포함할 수 있다.
본 개시내용에서, 설명의 용이함을 위해, "계층"은 수직 방향을 따라 실질적으로 동일한 높이의 요소들을 지칭하기 위해 사용된다. 예를 들어, 워드 라인 및 하위 게이트 유전체 층이 "계층"이라 지칭될 수 있거나, 워드 라인 및 하위 절연 층이 함께 "계층"이라 지칭될 수 있거나, "실질적으로 동일한 높이의 워드 라인들"이 "워드 라인들의 계층"으로 지칭될 수 있거나 또는 유사 방식으로 지칭되는 등등이다.
본 명세서에서 사용되는 바와 같이, "공칭/공칭적으로"라는 용어는, 원하는 값을 초과하는 값 및/또는 그 미만의 값의 범위와 함께, 제품 또는 공정의 설계 단계 동안 설정된, 컴포넌트 또는 공정 단계에 대한 특성 또는 파라미터의 원하는 값 또는 목표 값을 지칭한다. 이러한 값들의 범위는 제조 공정들 또는 허용오차들에서의 약간의 변동들로 인한 것일 수 있다. 본 명세서에서 사용될 때, 용어 "약"은 대상 반도체 디바이스와 연관된 특정 기술 노드에 기초하여 변할 수 있는 주어진 양의 값을 나타낸다. 특정 기술 노드에 기초하여, 용어 "약"은 예를 들어, 값의 10-30%(예를 들어, 값의 ±10%, ±20%, 또는 ±30%) 내에서 변화하는 주어진 양의 값을 표시할 수 있다.
본 개시내용에서, 용어 "수평/수평으로/측방향/측방향으로"는 기판의 측방향 표면에 공칭적으로 평행한 것을 의미하고, 용어 "수직" 또는 "수직으로"는 기판의 측방향 표면에 공칭적으로 수직인 것을 의미한다.
본 명세서에서 사용되는 바와 같이, "3D 메모리"라는 용어는 메모리 스트링들이 기판에 관해 수직 방향으로 연장되도록 측방향으로 배향된 기판 상에 수직으로 배향된 메모리 셀 트랜지스터들의 스트링들(본 명세서에서 "메모리 스트링들, 예컨대, NAND 스트링들"이라고 지칭됨)을 갖는 3차원(3D) 반도체 디바이스를 지칭한다.
고전압 n-형 또는 p-형 디바이스들과 같은 고전압 디바이스들은 셀 동작을 용이하게 하기 위해 3D 메모리 셀들에 구현된다. 3D 메모리(예를 들어, 3D NAND 플래시 메모리)의 개발이 고밀도 및 고용량 메모리 셀들을 향해 진행함에 따라, 디바이스들의 수 및 금속 배선들의 수는 계속 증가하고 있다. 디바이스들 사이의 분리가 계속 축소됨에 따라, 인접한 디바이스들 사이의 크로스토크를 방지하기 위해 고품질 격리 구조들이 더 중요해지고 있다.
본 개시내용에 따른 다양한 실시예들은 3D 메모리 구조들의 구조들 사이의 격리부를 개선하는 격리 구조들을 위한 구조들 및 제조 방법들을 제공한다. CMOS 디바이스들을 포함하는 주변 디바이스 웨이퍼는 3D 메모리 어레이들을 포함하는 어레이 웨이퍼에 본딩될 수 있다. 격리 구조들은 본딩된 주변/메모리 어레이 웨이퍼들에서 구현될 수 있고, 상이한 도핑 타입들의 웰들 사이와 같은, 인접한 구조들 사이의 크로스토크를 방지할 수 있다. 상이한 기능 영역들을 효과적으로 분리하기 위해 주변 웨이퍼의 유전체 층을 박형화하고 관통 실리콘 격리(TSI) 구조들을 형성함으로써 격리 구조들이 형성될 수 있다. 디바이스들 사이의 더 큰 분리에 의존하거나 그러한 기능 영역들의 도펀트 레벨들을 증가시키는 것보다는 격리 구조들을 사용함으로써, 3D NAND 플래시 메모리의 전체 메모리 밀도 및 제조 비용이 개선될 수 있다.
도 1a는 본 개시내용의 일부 실시예에 따른 예시적인 3차원(3D) 메모리 디바이스(100)의 평면도를 예시한다. 3D 메모리 디바이스(100)는 메모리 칩(패키지), 메모리 다이 또는 메모리 다이의 임의의 부분일 수 있고, 하나 이상의 메모리 평면들(101)을 포함할 수 있고, 이들 각각은 복수의 메모리 블록들(103)을 포함할 수 있다. 각각의 메모리 평면(101)에서 동일하고 동시적인 동작들이 발생할 수 있다. 크기가 메가바이트(MB)일 수 있는 메모리 블록(103)은 소거 동작들을 수행하기 위해 가장 작은 크기일 수 있다. 도 1에 도시된 바와 같이, 예시적인 3D 메모리 디바이스(100)는 4개의 메모리 평면들(101)을 포함하고, 각각의 메모리 평면(101)은 6개의 메모리 블록들(103)을 포함한다. 각각의 메모리 블록(103)은 복수의 메모리 셀들을 포함할 수 있고, 여기서, 각각의 메모리 셀은 비트 라인들 및 워드 라인들과 같은 상호접속부들을 통해 어드레싱될 수 있다. 비트 라인들 및 워드 라인들은 수직으로(예를 들어, 각각 행들 및 열들로) 레이아웃되어, 금속 라인들의 어레이를 형성할 수 있다. 비트 라인들 및 워드 라인들의 방향은 도 1에서 "BL" 및 "WL"로 표지된다. 본 개시내용에서, 메모리 블록(103)은 "메모리 어레이" 또는 "어레이"라고도 지칭된다. 메모리 어레이는 저장 기능들을 수행하는 메모리 디바이스 내의 코어 영역이다.
3D 메모리 디바이스(100)는 또한 주변 영역(105), 즉 메모리 평면들(101)을 둘러싸는 영역을 포함한다. 주변 영역(105)은 메모리 어레이의 기능들을 지원하기 위한 많은 디지털, 아날로그 및/또는 혼합 신호 회로들, 예를 들어 페이지 버퍼들, 행 및 열 디코더들 및 감지 증폭기들을 포함할 수 있다. 주변 회로들은 본 기술분야의 통상의 기술자에게 명백한 바와 같이, 트랜지스터들, 다이오드들, 커패시터들, 저항기들 등과 같은 능동 및/또는 수동 반도체 디바이스들을 사용한다.
3D 메모리 디바이스(100) 내의 메모리 평면들(101)의 배열 및 도 1에 예시된 각각의 메모리 평면(101) 내의 메모리 블록들(103)의 배열은 단지 예로서 제공되며, 이는 본 개시내용의 범위를 제한하지 않는다.
도 1b를 참조하면, 본 개시내용의 일부 실시예에 따른 도 1a의 영역(108)의 확대된 평면도가 예시되어 있다. 3D 메모리 디바이스(100)의 영역(108)은 계단 영역(210) 및 채널 구조 영역(211)을 포함할 수 있다. 채널 구조 영역(211)은 복수의 적층된 메모리 셀들을 각각 포함하는 메모리 스트링들(212)의 어레이를 포함할 수 있다. 계단 영역(210)은 계단 구조 및 계단 구조 상에 형성된 접점 구조들(214)의 어레이를 포함할 수 있다. 일부 실시예들에서, 채널 구조 영역(211) 및 계단 영역(210)에 걸쳐 WL 방향으로 연장되는 복수의 슬릿 구조(216)는 메모리 블록을 다수의 메모리 핑거(218)로 분할할 수 있다. 적어도 일부 슬릿 구조들(216)은 채널 구조 영역들(211) 내의 메모리 스트링들(212)의 어레이에 대한 공통 소스 접점으로서 기능할 수 있다. 상단 선택 게이트 컷(220)이 각각의 메모리 핑거(218)의 중간에 배치되어, 메모리 핑거(218)의 상단 선택 게이트(TSG)를 2개의 부분으로 분할할 수 있으며, 이에 의해 메모리 핑거를 2개의 프로그램 가능(판독/기입) 페이지로 분할할 수 있다. 3D NAND 메모리의 소거 동작은 메모리 블록 레벨에서 수행될 수 있지만, 판독 및 기입 동작들은 메모리 페이지 레벨에서 수행될 수 있다. 페이지는 크기가 킬로바이트(KB)일 수 있다. 일부 실시예들에서, 영역(108)은 또한 제조 동안 공정 변동 제어를 위한 그리고/또는 추가적인 기계적 지지를 위한 더미 메모리 스트링들을 포함한다.
도 2는 본 개시내용의 일부 실시예들에 따른, 예시적인 3차원(3D) 메모리 어레이 구조(200)의 일부의 사시도를 예시한다. 메모리 어레이 구조(200)는 기판(330), 기판(330) 위의 절연 필름(331), 절연 필름(331) 위의 하부 선택 게이트들(LSG들)(332)의 계층, 및 "워드 라인들(WL들)"로서 또한 지칭된 제어 게이트들(333)의 복수의 계층들을 포함하고, 교번하는 전도성 및 유전체 층들의 필름 스택(335)을 형성하기 위하여 LSG들(332)의 상단 상에 적층된다. 제어 게이트들의 계층들에 인접한 유전체 층들은 명료성을 위해 도 2에 도시되지 않는다.
각각의 계층의 제어 게이트들은 필름 스택(335)을 통해 슬릿 구조들(216-1 및 216-2)에 의해 분리된다. 메모리 어레이 구조(200)는 제어 게이트들(333)의 스택 위의 상단 선택 게이트(TSG)들(334)의 계층을 또한 포함한다. TSG(334), 제어 게이트들(333) 및 LSG(332)의 스택은 "게이트 전극들"이라고도 지칭된다. 메모리 어레이 구조(300)는 인접한 LSG들(332) 사이의 기판(330)의 부분들에 메모리 스트링들(212) 및 도핑된 소스 라인 영역들(344)을 더 포함한다. 각각의 메모리 스트링들(212)은 교번하는 전도성 및 유전체 층들의 절연 필름(331) 및 필름 스택(335)을 통해 연장되는 채널 홀(336)을 포함한다. 메모리 스트링들(212)은 채널 홀(336)의 측벽 상의 메모리 필름(337), 메모리 필름(337) 위의 채널 층(338), 및 채널 층(338)에 의해 둘러싸인 코어 충전 필름(339)을 또한 포함할 수 있다. 메모리 셀(340)은 제어 게이트(333)와 메모리 스트링(212)의 교차점에 형성될 수 있다. 메모리 어레이 구조(300)는 TSG들(334) 상에서 메모리 스트링들(212)과 접속된 복수의 비트 라인들(BL들)(341)을 더 포함한다. 메모리 어레이 구조(300)는 또한 복수의 접점 구조들(214)을 통해 게이트 전극들과 접속되는 복수의 금속 상호접속 라인들(343)을 포함한다. 필름 스택(335)의 에지는 게이트 전극들의 각각의 계층에 대한 전기적 연결을 허용하기 위해 계단 형상으로 구성된다.
도 2에서, 예시의 목적을 위해, 제어 게이트들(333-1, 333-2, 및 333-3)의 3개의 계층이 TSG(334)의 하나의 계층 및 LSG(332)의 하나의 계층과 함께 도시된다. 이 예에서, 각각의 메모리 스트링(212)은 제어 게이트들(333-1, 333-2 및 333-3)에 각각 대응하는 3개의 메모리 셀들(340-1, 340-2 및 340-3)을 포함할 수 있다. 일부 실시예들에서, 제어 게이트들의 수 및 메모리 셀들의 수는 저장 용량을 증가시키기 위해 3개를 초과할 수 있다. 메모리 어레이 구조(200)는 다른 구조들, 예를 들어, TSG 컷, 공통 소스 접점 및 더미 채널 구조를 또한 포함할 수 있다. 이러한 구조들은 단순성을 위해 도 2에 도시되지 않는다.
더 높은 저장 밀도를 달성하기 위해, 3D 메모리의 수직 WL 스택들의 수 또는 메모리 스트링 당 메모리 셀들의 수는, 예를 들어, 24개의 적층 WL 층들(즉, 24L)로부터 128개 이상의 층들로 크게 증가되었다. 3D 메모리의 크기를 더 감소시키기 위해, 메모리 어레이는 주변 회로의 상단에 적층될 수 있거나, 그 반대도 가능하다. 예를 들어, 주변 회로는 제1 기판 상에 제조될 수 있고, 메모리 어레이는 제2 기판 상에 제조될 수 있다. 이어서, 메모리 어레이 및 주변 회로는 제1 및 제2 기판들을 함께 본딩함으로써 다양한 상호접속부들을 통해 전기적으로 결합(예를 들어, 전기적으로 연결 또는 물리적으로 접촉)될 수 있다. 이와 같이, 3D 메모리 밀도가 증가될 수 있을 뿐만 아니라, 주변 회로와 메모리 어레이 사이의 통신이 더 높은 대역폭 및 더 낮은 전력 소비를 달성할 수 있는데, 왜냐하면 기판(웨이퍼) 본딩을 통해 상호접속 길이들이 더 짧을 수 있기 때문이다.
3D 메모리 디바이스의 밀도 및 성능의 증가에 따라, 메모리 어레이에 대한 기능 지원, 예를 들어, 메모리 셀들의 데이터의 판독, 기입 및 소거를 제공하기 위해 주변 회로의 개선이 또한 필요하다. 격리 구조들은 본딩된 주변/메모리 어레이 웨이퍼들에서 구현될 수 있고, 상이한 도핑 타입들의 웰들 사이와 같은, 인접한 구조들 사이의 크로스토크를 방지할 수 있다. 상이한 기능 영역들을 효과적으로 분리하기 위해 주변 웨이퍼의 유전체 층을 박형화하고 관통 실리콘 격리(TSI) 구조들을 형성함으로써 격리 구조들이 형성될 수 있다.
도 3은 본 개시내용의 일부 실시예들에 따른, 3D 메모리 디바이스들 내에 격리 구조들을 형성하기 위한 예시적인 방법(300)의 흐름도이다. 3D 메모리 디바이스는, 웨이퍼 본딩을 통해 주변 회로 웨이퍼를 메모리 어레이 웨이퍼와 접속하고 주변 회로 웨이퍼에 깊은 격리 구조를 형성하여 크로스토크를 방지함으로써 형성될 수 있다. 방법(300)의 동작들은 상이한 순서로 수행될 수 있고 및/또는 변할 수 있으며, 방법(300)은 단순화를 위해 설명되지 않은 더 많은 동작들을 포함할 수 있다. 도 3 내지 도 9는 격리 구조들을 포함하는 예시적인 반도체 구조(300)를 제조하는 단면도들이다. 도 3 내지 도 9는 방법(300)의 설명을 용이하게 하기 위한 예시적인 단면도들로서 제공된다. 유전체 층에 격리 구조들을 형성하는 제조 공정이 여기서 예로서 설명되지만, 제조 공정은 다양한 다른 층들, 예컨대 층간 유전체들, 절연 층들, 전도성 층들, 및 임의의 다른 적합한 층들 상에 적용될 수 있다. 여기에 제공되는 제조 공정들은 예시적이며, 이들 도면들에 도시되지 않은 본 개시내용에 따른 대안적인 공정들이 수행될 수 있다.
동작 302에서, 본 개시내용의 일부 실시예들에 따라, 3D 메모리 디바이스의 주변 회로 웨이퍼가 형성된다. 도 4를 참조하면, 주변 회로(400)는 제1 기판(430), 주변 디바이스들(450A 및 450B), 얕은 트렌치 격리부(STI)(452), 웰(454), 게이트 스택(456), 게이트 스페이서(458) 및 주변 상호접속 층(462)과 같은 3D 메모리 디바이스의 다양한 컴포넌트들을 포함할 수 있다.
제1 기판(430)은 실리콘(예를 들어, 단결정 실리콘), 실리콘 게르마늄(SiGe), 게르마늄(Ge), 실리콘 온 인슐레이터(SOI), 게르마늄 온 인슐레이터(GOI), 갈륨 비소(GaAs), 갈륨 질화물, 실리콘 탄화물, 유리, III-V 화합물, 임의의 다른 적절한 재료들 또는 이들의 임의의 조합들을 포함할 수 있다. 일부 실시예들에서, 제1 기판(430)은 주변 디바이스 제조 이전에 양면 연마될 수 있다. 이 예에서, 제1 기판(430)은 (각각 제1 측면(430-1) 및 제2 측면(430-2), 또는 전면 및 배면이라고도 지칭되는) 상단 및 하단 측면들 상의 표면들을 포함하며, 이들 양자 모두는 고품질 반도체 디바이스들을 위한 매끄러운 표면을 제공하도록 연마 및 처리된다. 제1 및 제2 측면들은 제1 기판(430)의 대향 측면들이다.
주변 회로(400)는 제1 기판(430) 상에 하나 이상의 주변 디바이스(450A 및 450B)를 포함할 수 있다. 주변 디바이스들(450A 및 450B)은 서로 인접하고 제1 기판(430) 상에 형성될 수 있고, 여기서 주변 디바이스들(450A 및 450B)의 전체 또는 일부는 제1 기판(430)에(예를 들어, 제1 기판(430)의 상단 표면 아래에) 및/또는 제1 기판(430) 상에 직접 형성된다. 주변 디바이스들(450A 및 450B)은 임의의 적절한 반도체 디바이스들을 포함할 수 있는데, 예를 들어, 주변 디바이스(450A)는 저전압 p-형 디바이스일 수 있고, 주변 디바이스(450B)는 고전압 p-형 디바이스일 수 있다. 주변 디바이스들(450A 및 450B)은 또한 금속 산화물 반도체 전계 효과 트랜지스터들(MOSFET들), 바이폴라 접합 트랜지스터들(BJT들), 다이오드들, 저항기들, 커패시터들, 인덕터들 등일 수 있다. 반도체 디바이스들 중에서, p-형 및/또는 n-형 MOSFET들(즉, CMOS)은 로직 회로 설계에서 널리 구현되며, 본 개시내용에서 주변 디바이스들(450A 및 450B)에 대한 예들로서 사용된다. 따라서, 주변 회로(400)는 CMOS 웨이퍼(400)라고도 지칭될 수 있다. 주변 디바이스들(450A 및 450B)은 p-채널 MOSFET 또는 n-채널 MOSFET 중 어느 하나일 수 있고, 얕은 트렌치 격리부(STI)(452)에 의해 둘러싸인 활성 디바이스 영역, n-형 또는 p-형 도핑으로 활성 디바이스 영역에 형성된 웰(454), 게이트 유전체를 포함하는 게이트 스택(456), 게이트 전도체 및/또는 게이트 하드 마스크를 포함할 수 있지만, 이에 제한되지 않는다. 주변 디바이스들(450A 및 450B)은 또한 게이트 스택의 각각의 측면 상에 위치된 소스/드레인 연장부 및/또는 헤일로 영역(도 4에 도시되지 않음), 게이트 스페이서(458) 및 소스/드레인(460)을 포함할 수 있다. 주변 디바이스들(450A 및 450B)은 소스/드레인의 상단 부분에 실리사이드 접점 영역들(도시되지 않음)을 더 포함할 수 있다. 다른 적절한 디바이스들이 또한 제1 기판(430) 상에 형성될 수 있다.
STI(452)는 리소그래피 및 에칭을 이용하여 기판을 패터닝하고, 절연 재료를 채우고, 절연 재료를 연마하여, 제1 기판(430) 상에 동일 평면 표면을 형성하는 것을 통해 형성될 수 있다. STI를 위한 절연 재료는 실리콘 산화물, 실리콘 산질화물, TEOS, 저온 산화물(LTO), 고온 산화물(HTO), 실리콘 질화물 등을 포함할 수 있다. STI(452)를 위한 절연 재료는 CVD(chemical vapor deposition), PVD(physical vapor deposition), PECVD(plasma-enhanced CVD), LPCVD(low pressure chemical vapor deposition), HDP(high density plasma) 화학 기상 증착, RTCVD(rapid thermal chemical vapor deposition), MOCVD(metal organic chemical vapor deposition), ALD(atomic layer deposition), 스퍼터링, 열 산화 또는 질화, 또는 이들의 조합들과 같은 기술들을 사용하여 배치될 수 있다. STI(452)의 형성은 또한, 개선된 전기적 격리부를 위해 배치된 절연 재료를 치밀화하기 위한 고온 어닐링 단계를 포함할 수 있다.
주변 디바이스들(450A 및 450B)의 웰(454)은 n-채널 MOSFET에 대한 p-형 도핑 또는 p-채널 MOSFET에 대한 n-형 도핑을 포함할 수 있고, 각각 p-웰 및 n-웰로 지칭된다. 웰(454)의 도펀트 프로파일 및 농도는 주변 디바이스들(450A 또는 450B)의 디바이스 특성들에 영향을 미친다. 낮은 임계 전압(Vt)을 갖는 MOSFET 디바이스들에 대해, 웰(454)은 더 낮은 농도로 도핑될 수 있고, 저전압 p-웰 또는 저전압 n-웰을 형성할 수 있다. 높은 Vt를 갖는 MOSFET의 경우, 웰(454)은 더 높은 농도로 도핑될 수 있고, 고전압 p-웰 또는 고전압 n-웰을 형성할 수 있다. 일부 실시예들에서, p-형 기판으로부터의 전기적 격리부를 제공하기 위해, 딥 n-웰은 높은 Vt를 가지는 n-채널 MOSFET에 대한 고전압 p-웰 아래에 형성될 수 있다. 일부 실시예들에서, 주변 디바이스(450A)는 저전압 디바이스인 반면 주변 디바이스(450B)는 고전압 디바이스이고, 그리고 웰(454)은 p-형 기판일 수 있는 기판(430) 내에 매립된 n-형 웰일 수 있다.
n-웰의 형성은 인, 비소, 안티몬 등과 같은 임의의 적절한 n-형 도펀트, 및/또는 이들의 임의의 조합을 포함할 수 있다. p-웰의 형성은 임의의 적절한 p-형 도펀트, 예를 들어 붕소를 포함할 수 있다. 도펀트 혼입은 활성 디바이스 영역에 대한 에피택시 동안 인-시튜 도핑을 통해, 또는 활성화 어닐링이 뒤따르는 이온 주입을 통해 달성될 수 있다.
주변 디바이스들(450A 및 450B)의 게이트 스택(456)은 "게이트 퍼스트" 방식에 의해 형성될 수 있고, 여기서 게이트 스택(456)은 소스/드레인 형성 이전에 배치되고 패터닝된다. 주변 디바이스들(450A 및 450B)의 게이트 스택(456)은 또한 "대체" 방식에 의해 형성될 수 있고, 여기서 희생 게이트 스택이 먼저 형성된 다음 소스/드레인 형성 후에 하이-k 유전체 층 및 게이트 전도체로 대체될 수 있다.
일부 실시예들에서, 게이트 유전체는 실리콘 산화물, 실리콘 질화물, 실리콘 산질화물, 및/또는 하프늄 산화물, 지르코늄 산화물, 알루미늄 산화물, 탄탈륨 산화물, 마그네슘 산화물, 또는 란타늄 산화물 필름들과 같은 하이-k 유전체 필름들, 및/또는 이들의 조합들로 이루어질 수 있다. 게이트 유전체는 CVD, PVD, PECVD, LPCVD, RTCVD, 스퍼터링, MOCVD, ALD, 열 산화 또는 질화, 또는 이들의 조합들과 같은 임의의 적절한 방법들에 의해 배치될 수 있다.
일부 실시예들에서, 게이트 전도체는 텅스텐, 코발트, 니켈, 구리, 또는 알루미늄, 및/또는 이들의 조합들과 같은 금속 또는 금속 합금으로 만들어질 수 있다. 일부 실시예들에서, 게이트 전도체는 또한 티타늄 질화물(TiN), 탄탈륨 질화물(TaN) 등과 같은 전도성 재료를 포함할 수 있다. 게이트 전도체는, 임의의 적절한 퇴적 방법, 예를 들어, 스퍼터링, 열 증발, e-빔 증발, ALD, PVD, 및/또는 이들의 조합에 의해 형성될 수 있다.
일부 실시예들에서, 게이트 전도체는 또한 다결정 실리콘, 다결정 게르마늄, 다결정 게르마늄 실리콘 및 임의의 다른 적합한 재료, 및/또는 이들의 조합들과 같은 다결정 반도체를 포함할 수 있다. 일부 실시예들에서, 다결정 재료가 붕소, 인, 또는 비소 등과 같은 임의의 적절한 타입들의 도펀트와 함께 포함될 수 있다. 일부 실시예들에서, 게이트 전도체는 또한 전술한 재료들을 갖는 비정질 반도체일 수 있다.
일부 실시예들에서, 게이트 전도체는 WSix, CoSix, NiSix, 또는 AlSix 등을 포함하는 금속 실리사이드로 만들어질 수 있다. 금속 실리사이드 재료의 형성은 전술된 유사한 기술들을 이용하여 금속 층 및 다결정 반도체를 형성하는 것을 포함할 수 있다. 금속 실리사이드의 형성은 퇴적된 금속 층 및 다결정 반도체 층 상에 열 어닐링 공정을 적용한 다음, 미반응 금속을 제거하는 단계를 더 포함할 수 있다.
게이트 스페이서(458)는 절연 재료를 배치한 다음 이방성 에칭을 수행하는 것을 통해 형성될 수 있다. 게이트 스페이서(458)를 위한 절연 재료는 실리콘 산화물, 실리콘 질화물, 실리콘 산질화물, TEOS, LTO, HTO 등을 포함하는 임의의 절연체일 수 있다. 게이트 스페이서(458)는, CVD, PVD, PECVD, LPCVD, RTCVD, MOCVD, ALD, 스퍼터링, 또는 이들의 조합 같은 기술을 이용하여 배치될 수 있다. 게이트 스페이서(458)의 이방성 에칭은 건식 에칭, 예를 들어 반응성 이온 에칭(RIE)을 포함한다.
소스/드레인(460) 사이의 게이트 스택(456)의 길이는 MOSFET의 중요한 특징이다. 게이트 길이 L은 MOSFET의 구동 전류의 크기를 결정하며, 따라서 로직 회로들에 대해 적극적으로 축소된다. 게이트 길이는 약 100nm 미만일 수 있다. 일부 실시예들에서, 게이트 길이는 약 5nm 내지 약 30nm의 범위에 있을 수 있다. 그러한 작은 치수를 갖는 게이트 스택의 패터닝은 매우 어려우며, 광학 근접 보정, 이중 노광 및/또는 이중 에칭, 자기 정렬 이중 패터닝 등을 포함하는 기술들을 이용할 수 있다.
일부 실시예들에서, 주변 디바이스들(450A 및 450B)의 소스/드레인(460)에는 고농도 도펀트들이 통합된다. n-형 MOSFET의 경우, 소스/드레인(460)용 도펀트는 인, 비소, 안티몬 등과 같은 임의의 적절한 n-형 도펀트 및/또는 이들의 임의의 조합을 포함할 수 있다. p-형 MOSFET들에 대해, 소스/드레인(460)에 대한 도펀트는 임의의 적절한 p-형 도펀트, 예를 들어 붕소를 포함할 수 있다. 도펀트 혼입은 이온 주입과 그 다음의 도펀트 활성화 어닐링을 통해 달성될 수 있다. 소스/드레인(460)은 제1 기판(430)과 동일한 재료, 예를 들어, 실리콘으로 만들어질 수 있다. 일부 실시예들에서, 주변 디바이스들(450A 및 450B)의 소스/드레인(460)은 고성능을 달성하기 위해 제1 기판(430)과 상이한 재료로 제조될 수 있다. 예를 들어, 실리콘 기판 상에서, p-형 MOSFET들을 위한 소스/드레인(460)은 SiGe를 포함할 수 있고, n-형 MOSFET들을 위한 소스/드레인(460)에는 탄소가 혼입될 수 있다. 상이한 재료로 소스/드레인(460)을 형성하는 것은 소스/드레인 영역에서 기판 재료를 에치백하는 것 및 에피택시와 같은 기술들을 사용하여 새로운 소스/드레인 재료를 배치하는 것을 포함할 수 있다. 소스/드레인(460)에 대한 도핑은 또한 에피택시 동안 인-시튜 도핑을 통해 달성될 수 있다.
주변 디바이스들(450A 및 450B)은 또한 게이트 스택(456)의 각각의 측면을 따라 선택적인 소스/드레인 연장부 및/또는 헤일로 영역(도 2에 도시되지 않음)을 가질 수 있다. 소스/드레인 연장부 및/또는 헤일로 영역은 게이트 스택 아래의 활성 디바이스 영역 내부에 위치하고, 주로 약 0.5μm 미만의 채널 길이를 갖는 주변 디바이스들(450A 및 450B)에 대한 개선된 단채널 제어를 위해 구현된다. 소스/드레인 연장부 및/또는 헤일로 영역의 형성은 소스/드레인(460)의 형성과 유사할 수 있지만, 최적화된 도핑 프로파일, 깊이 또는 농도를 얻기 위해 상이한 주입 조건(예를 들어, 도즈, 각도, 에너지, 종 등)을 사용할 수 있다.
주변 디바이스들(450A 및 450B)은 평면 활성 디바이스 영역(도 4에 도시된 바와 같음)으로 제1 기판(430) 상에 형성될 수 있고, 여기서 MOSFET의 채널 및 전류 흐름의 방향은 제1 기판(430)의 표면에 평행하다. 일부 실시예들에서, 주변 디바이스(450A 및 450B)는 또한 3D 활성 디바이스 영역, 예를 들어 "FIN"(도시되지 않음)과 유사한 형상의 소위 "FINFET"으로 제1 기판(430) 상에 형성될 수 있으며, 여기서 MOSFET의 게이트 스택은 FIN 주위에 랩핑되고, MOSFET의 채널은 FIN의 3개의 측면들(상단 및 게이트 아래의 2개의 측벽들)을 따라 놓인다.
일부 실시예들에서, 주변 회로(400)는 상이한 주변 디바이스들(450A 및 450B)과 외부 디바이스들(예를 들어, 전원, 다른 칩, I/O 디바이스 등) 사이에 전기적 연결들을 제공하기 위해, 주변 디바이스들(450A 및 450B) 위에, 주변 상호접속 층(462)(또는 제1 상호접속 층)을 포함할 수 있다. 주변 상호접속 층(462)은 하나 이상의 상호접속 구조, 예를 들어 하나 이상의 수직 접점 구조(464) 및 하나 이상의 측방향 전도성 라인(466)을 포함할 수 있다. 접점 구조(464) 및 전도성 라인(466)은, MOL(middle-of-line) 상호접속부들 및 BEOL(back-end-of-line) 상호접속부들과 같은, 임의의 적절한 타입들의 상호접속부들을 광범위하게 포함할 수 있다. 주변 회로(400) 내의 접점 구조(464) 및 전도성 라인(466)은 텅스텐(W), 코발트(Co), 구리(Cu), 티타늄(Ti), 탄탈륨(Ta), 알루미늄(Al), 티타늄 질화물(TiN), 탄탈륨 질화물(TaN), 니켈, 실리사이드(WSix, CoSix, NiSix, AlSix 등), 금속 합금들, 또는 이들의 임의의 조합과 같은 임의의 적절한 전도성 재료들을 포함할 수 있다. 전도성 재료들은 CVD(chemical vapor deposition), PECVD(plasma-enhanced CVD), PVD(physical vapor deposition), ALD(atomic layer deposition), 전기도금, 무전해 도금, 스퍼터링, 증발, 또는 이들의 임의의 조합과 같은 하나 이상의 박막 퇴적 공정에 의해 퇴적될 수 있다.
주변 상호접속 층(462)은 절연 층(468)을 더 포함할 수 있다. 주변 상호접속 층(462) 내의 절연 층(468)은, 절연 재료, 예를 들어, 실리콘 산화물, 실리콘 질화물, 실리콘 산질화물, 도핑된 실리콘 산화물(예컨대, F-, C-, N- 또는 H-도핑된 산화물), 테트라에톡시실란(TEOS), 폴리이미드, 스핀-온-글래스(SOG), 다공성 SiCOH, 실세스퀴옥산(SSQ) 같은 로우-k 유전체 재료, 또는 이들의 임의의 조합을 포함할 수 있다. 절연 재료들은 CVD, PVD, PECVD, ALD, 고밀도-플라즈마 CVD(HDP-CVD), 스퍼터링, 스핀-코팅, 또는 이들의 임의의 조합과 같은 하나 이상의 박막 퇴적 공정에 의해 퇴적될 수 있다.
도 4에서, 2개의 전도성 레벨들(470-1 및 470-2)("금속 레벨들"이라고도 지칭됨)이 예로서 예시되며, 여기서 각각의 금속 레벨은 접점 구조들(464) 및 전도성 라인들(466)을 포함할 수 있고, 여기서 동일한 금속 레벨의 전도성 라인들(466)은 제1 기판(430)으로부터 동일한 거리에 위치된다. 주변 회로(400)에 대한 금속 레벨들(470)의 수는 제한되지 않고 3D 메모리의 성능을 위해 최적화된 임의의 수일 수 있다.
주변 상호접속 층(462)은 주변 회로(400)의 하단으로부터 상단까지 금속 레벨들(470)을 적층함으로써 형성될 수 있다. 도 4의 주변 회로(400)의 예에서, 하단 금속 레벨(470-1)이 먼저 형성된 다음, 하단 금속 레벨(470-1)의 상단에 상부 금속 레벨(470-2)이 형성될 수 있다. 각각의 금속 레벨(470)의 제조 공정들은 금속 레벨에 대해 요구되는 두께로 절연 층(468)의 일부를 배치하는 것, 접점 구조들(464) 및 전도성 라인들(466)에 대한 접점 홀들을 형성하기 위해 포토 리소그래피 및 건식/습식 에칭을 사용하여 절연 층(468)의 일부를 패터닝하는 것, 접점 구조들(464) 및 전도성 라인들(466)에 대한 접점 홀들을 채우기 위해 전도성 재료들을 배치하는 것, 및 CMP(chemical mechanical polishing) 또는 RIE(reactive ion etching)와 같은 평탄화 공정을 사용하여 접점 홀들 외부의 과잉 전도성 재료들을 제거하는 것을 포함할 수 있지만 이에 제한되지 않는다.
일부 실시예에서, 주변 회로(400)는 또한 하나 이상의 기판 접점(472)을 포함하고, 여기서 기판 접점(472)은 제1 기판(430)으로의 전기적 연결을 제공한다. 기판 접점(472)은 수직 접점 구조(464) 및 측방향 전도성 라인(466)의 다수의 계층을 갖는 하나 이상의 전도성 레벨(470)을 포함할 수 있다. 도 4에서, 하나의 계층의 접점 구조 및 전도성 라인을 갖는 기판 접점(472)이 예로서 도시되며, 여기서 기판 접점(472)의 수직 접점 구조는 절연 층(468)을 통해 연장되고 제1 기판(430)과 전기적으로 접촉한다.
일부 실시예들에서, 최상단 전도성 라인들(466)(예를 들어, 도 4의 466-2)은 주변 회로(400)의 상단 표면으로서 노출될 수 있고, 여기서, 최상단 전도성 라인들(466-2)은 다른 칩 또는 외부 디바이스 상의 전도성 라인들과 직접 접속될 수 있다.
일부 실시예에서, 최상단 전도성 라인(466-2)은 (도 4에 도시된 바와 같이) 절연 층(468) 내부에 매립될 수 있고, 여기서, 전도성 라인(466) 상단의 절연 재료는 선적이나 취급 동안에 스크래치 보호를 제공한다. 최상단의 전도성 라인(466)으로의 전기적 연결은, 나중에, 금속 VIA를 형성하거나, 단순히 건식/습식 에칭을 이용하여 절연 층(468)을 에치백함으로써 확립될 수 있다.
그러나, 주변 디바이스들(450A 및 450B)은 MOSFET에 제한되지 않는다. 다른 디바이스들, 예를 들어, 다이오드들, 저항기들, 커패시터들, 인덕터들, BJT들 등의 구조들이 상이한 마스크 설계 및 레이아웃을 통해 MOSFET 제조 동안 동시에 형성될 수 있다. MOSFET들 이외의 디바이스들을 형성하기 위해, MOSFET의 공정 흐름, 예를 들어, 상이한 도펀트 프로파일들, 필름 두께들 또는 재료 스택들 등을 획득하기 위한 공정들에서 공정 단계들이 추가되거나 수정될 수 있다. 일부 실시예들에서, MOSFET 이외의 주변 디바이스들(450A 및 450B)은 또한 특정 회로 요건들을 달성하기 위해 추가적인 설계 및/또는 리소그래피 마스크 레벨들로 제조될 수 있다.
일부 실시예들에서, 복수의 주변 디바이스들(450A 및 450B)은 주변 회로(400)의 동작을 위한 임의의 디지털, 아날로그, 및/또는 혼합 신호 회로들을 형성하기 위해 사용될 수 있다. 주변 회로(400)는, 예를 들어, 메모리 어레이의 데이터의 행/열 디코딩, 타이밍 및 제어, 판독, 기입 및 소거 등을 수행할 수 있다.
일부 실시예에서, 딥 웰(455)은 MOSFET에 대한 웰(454)을 형성하면서 제1 기판(430)에 형성될 수 있다. 딥 웰(455)은 p-형 도핑되거나 n-형 도핑될 수 있다. n-형 도펀트는 인, 비소, 안티몬 등일 수 있다. p-형 도펀트는 예를 들어 붕소일 수 있다. 도펀트 혼입은 제1 기판(430)의 이온 주입, 이어서 활성화 어닐링을 통해 달성될 수 있다. 일부 실시예에서, 딥 웰(455)은 에피택시 및 인-시튜 도핑을 통해 제1 기판(430) 상에 형성될 수 있다. 딥 웰(455)에 대한 주입은 웰(454)의 주입 직전에 또는 직후에 수행될 수 있다. 딥 웰(455)에 대한 도펀트 활성화 어닐링은 웰(454)에 대한 것과 동시에 수행될 수 있다. 일부 실시예에서, 딥 웰 접점(473)은 딥 웰(455)에 전기적 연결을 제공하도록 형성될 수 있다. 일부 실시예에서, 딥 웰 접점(473)은 딥 웰(455)과 옴 접촉을 형성한다. 딥 웰 접점(473)은, 주변 상호접속 층(462) 내의 접점 구조(464) 및 전도성 라인(466)을 통해 주변 회로(400)의 대응하는 회로와 전기적 연결을 형성할 수 있다. 예를 들어, 딥 웰 접점(473)은 접지, 제1 기판(430)의 기판 접점(472), 주변 디바이스들(450A 및 450B)의 소스 또는 드레인(460) 또는 게이트 스택(456) 등과 접속될 수 있다.
동작 304에서, 본 개시내용의 일부 실시예들에 따라 3D 메모리 어레이가 형성된다. 도 5를 참조하면, 3D 메모리 어레이(500)는 3D NAND 메모리 어레이일 수 있고, 제2 기판(530), 메모리 셀들(540) 및 어레이 상호접속 층(562)(또는 제2 상호접속 층)을 포함할 수 있다. 제2 기판(530)은 제1 기판(430)과 유사할 수 있다. 메모리 셀들(540)은 도 2를 참조하여 전술한 메모리 셀들(340-1, 340-2, 또는 340-3)과 유사할 수 있다. 어레이 상호접속 층(562)은 주변 상호접속 층(462)과 유사할 수 있으며, 유사한 재료들 및 유사한 공정들을 이용하여 형성될 수 있다. 예를 들어, 어레이 상호접속 층(562)의 상호접속 구조들(예를 들어, 접점 구조들(564) 및 전도성 라인들(566)) 및 절연 층(568)은 주변 상호접속 층(462)의 상호접속 구조들(예를 들어, 접점 구조들(464), 전도성 라인들(466)) 및 절연 층(468)과 각각 유사하다.
일부 실시예들에서, 3D 메모리 어레이(500)는 메모리 셀들(540)이 메모리 스트링들(212)로서 수직으로 적층될 수 있는 3D NAND 플래시 메모리를 위한 메모리 어레이일 수 있다. 메모리 스트링(212)은 복수의 전도체 층(574) 및 유전체 층(576) 쌍들을 통해 연장된다. 복수의 전도체/유전체 층 쌍은 본 명세서에서 "교번하는 전도체/유전체 스택"(578)이라고도 지칭된다. 교번하는 전도체/유전체 스택(578)에서의 전도체 층들(574) 및 유전체 층들(576)은 수직 방향으로 교번한다. 즉, 교번하는 전도체/유전체 스택(578)의 상단 또는 하단에 있는 것들을 제외하고, 각각의 전도체 층(574)은 양 측면 상의 2개의 유전체 층(576)에 의해 샌드위치될 수 있고, 그리고 각각의 유전체 층(576)은 양 측면 상의 2개의 전도체 층(574)에 의해 샌드위치될 수 있다. 전도체 층들(574) 각각은 동일한 두께를 갖거나 상이한 두께들을 가질 수 있다. 유사하게, 유전체 층(576)들 각각은 동일한 두께를 갖거나 상이한 두께를 가질 수 있다. 일부 실시예들에서, 교번하는 전도체/유전체 스택(578)은 전도체/유전체 층 쌍보다 더 많은 전도체 층들 또는 상이한 재료들 및/또는 두께들을 갖는 더 많은 유전체 층들을 포함한다. 전도체 층들(574)은 텅스텐, 코발트, 구리, 알루미늄, 티타늄, 탄탈륨, 티타늄 질화물, 탄탈륨 질화물, 니켈, 도핑된 실리콘, 실리사이드들(예를 들어, NiSix, WSix, CoSix, TiSix) 또는 이들의 임의의 조합과 같은 전도체 재료들을 포함할 수 있다. 유전체 층들(576)은 실리콘 산화물, 실리콘 질화물, 실리콘 산질화물, 또는 이들의 임의의 조합과 같은 유전체 재료들을 포함할 수 있다.
도 5에 도시된 바와 같이, 각각의 메모리 스트링(212)은 채널 층(338) 및 메모리 필름(337)을 포함할 수 있다. 일부 실시예들에서, 채널 층(338)은 비정질 실리콘, 폴리실리콘, 또는 단결정 실리콘과 같은 실리콘을 포함한다. 일부 실시예들에서, 메모리 필름(337)은 터널링 층, 저장 층("전하 트랩/저장 층"이라고도 알려짐), 및 차단 층을 포함하는 복합 층이다. 각각의 메모리 스트링(212)은 원통 형상(예를 들어, 기둥 형상)을 가질 수 있다. 일부 실시예들에 따르면, 채널 층(338), 터널링 층, 저장 층, 및 차단 층은 필러의 중심으로부터 외부 표면을 향하는 방향을 따라 이러한 순서로 배열된다. 터널링 층은 실리콘 산화물, 실리콘 질화물, 또는 이들의 임의의 조합을 포함할 수 있다. 차단 층은 실리콘 산화물, 실리콘 질화물, 높은 유전 상수(하이-k) 유전체들, 또는 이들의 임의의 조합을 포함할 수 있다. 저장 층은 실리콘 질화물, 실리콘 산질화물, 실리콘, 또는 이들의 임의의 조합을 포함할 수 있다. 일부 실시예들에서, 메모리 필름(337)은 ONO 유전체들(예를 들어, 실리콘 산화물을 포함하는 터널링 층, 실리콘 질화물을 포함하는 저장 층, 및 실리콘 산화물을 포함하는 차단 층)을 포함한다.
일부 실시예들에서, 교번하는 전도체/유전체 스택(578)에서의 각각의 전도체 층(574)은 메모리 스트링(212)의 각각의 메모리 셀에 대한 제어 게이트(예를 들어, 도 3에서의 제어 게이트들(333))로서 작용할 수 있다. 도 5에 도시된 바와 같이, 메모리 스트링(212)은 메모리 스트링(212)의 하부 단부에 하부 선택 게이트(332)(예를 들어, 소스 선택 게이트)를 포함할 수 있다. 메모리 스트링(212)은 또한 메모리 스트링(212)의 상부 단부에서 상단 선택 게이트(334)(예를 들어, 드레인 선택 게이트)를 포함할 수 있다. 본 명세서에서 사용되는 바와 같이, 컴포넌트(예를 들어, 메모리 스트링(212))의 "상부 단부"는 z-방향으로 제2 기판(530)으로부터 더 멀리 떨어진 단부이고, 컴포넌트(예를 들어, 메모리 스트링(212))의 "하부 단부"는 z-방향으로 제2 기판(530)에 더 가까운 단부이다. 도 5에 도시된 바와 같이, 각각의 메모리 스트링(212)에 대해, 드레인 선택 게이트(334)는 소스 선택 게이트(332) 위에 있을 수 있다. 일부 실시예들에서, 선택 게이트들(332/334)은 텅스텐, 코발트, 구리, 알루미늄, 도핑된 실리콘, 실리사이드, 또는 이들의 임의의 조합과 같은 전도체 재료들을 포함한다.
일부 실시예들에서, 3D 메모리 어레이(500)는 메모리 스트링(212)의 채널 층(338)의 하부 단부 상에 에피택셜 층(580)을 포함한다. 에피택셜 층(580)은 실리콘과 같은 반도체 재료를 포함할 수 있다. 에피택셜 층(580)은 제2 기판(530) 상의 반도체 층(582)으로부터 에피택셜 성장될 수 있다. 반도체 층(582)은 도핑되지 않거나, (두께 방향 및/또는 폭 방향으로) 부분적으로 도핑되거나, p-형 또는 n-형 도펀트에 의해 완전히 도핑될 수 있다. 각각의 메모리 스트링(212)에 대해, 에피택셜 층(580)은 본 명세서에서 "에피택셜 플러그"라고 지칭된다. 각각의 메모리 스트링(212)의 하부 단부에서의 에피택셜 플러그(580)는 채널 층(338) 및 반도체 층(582)의 도핑된 영역 양자 모두와 접촉할 수 있다. 에피택셜 플러그(580)는 메모리 스트링(212)의 하부 단부에서 하부 선택 게이트(332)의 채널로서 기능할 수 있다.
일부 실시예에서, 어레이 디바이스는 계단 영역(210)에서 (워드 라인 접점이라고도 지칭되는) 워드 라인들의 다수의 접점 구조(214)를 더 포함한다. 각각의 워드 라인 접점 구조(214)는 메모리 셀(340)을 개별적으로 제어하기 위하여 교번하는 전도체/유전체 스택(578)에서의 대응하는 전도체 층(574)과 전기적 접점을 형성할 수 있다. 워드 라인 접점 구조(214)는 접점 홀을 건식/습식 에칭한 다음, 전도체, 예를 들어, 텅스텐, 티타늄, 티타늄 질화물, 구리, 탄탈륨 질화물, 알루미늄, 코발트, 니켈, 또는 이들의 임의의 조합으로 채움으로써 형성될 수 있다.
도 5에 도시된 바와 같이, 3D 메모리 어레이(500)는 또한 메모리 스트링들(212)의 채널 층(338)으로의 개별 액세스를 제공하기 위해 메모리 스트링들(212)의 상단에 형성된 비트 라인 접점들(584)을 포함한다. 워드 라인 접점 구조들(214) 및 비트 라인 접점들(584)과 접속된 전도성 라인들은 각각 3D 메모리 어레이(500)의 워드 라인들 및 비트 라인들을 형성한다. 통상적으로, 워드 라인들 및 비트 라인들은 서로 수직으로(예를 들어, 각각 행들 및 열들로) 배치되어, 메모리의 "어레이"를 형성한다.
일부 실시예들에서, 3D 메모리 어레이(500)는 또한 제2 기판(530)의 기판 접점(572)을 포함한다. 기판 접점(572)은 제1 기판(430)의 기판 접점(472)과 유사한 재료 및 공정을 이용하여 형성될 수 있다. 기판 접점(572)은 3D 메모리 어레이(500)의 제2 기판(530)으로의 전기적 연결을 제공할 수 있다.
동작 306에서, 본 개시내용의 일부 실시예들에 따라, 주변 회로 웨이퍼 및 3D 메모리 어레이 웨이퍼가 본딩된다. 도 6을 참조하면, 3D 메모리 디바이스(600)는 제1 기판(430) 상에 제조된 주변 회로(400) 및 제2 기판(530) 상에 제조된 3D 메모리 어레이(500)를 웨이퍼 본딩함으로써 형성된다. 도 6에 도시된 바와 같이, 주변 회로(400)는 거꾸로 뒤집혀서 직접 본딩 또는 하이브리드 본딩과 같은 적절한 웨이퍼 본딩 공정들을 통해 3D 메모리 어레이(500)와 접합된다. 본딩 계면(688)에서, 주변 회로(400) 및 3D 메모리 어레이(500)는 복수의 상호접속 VIA(486/586)를 통해 전기적으로 연결된다.
일부 실시예들에서, 3D 메모리 디바이스(600)의 본딩 계면(688)은 주변 상호접속 층(462)의 절연 층(468)과 어레이 상호접속 층(562)의 절연 층(568) 사이에 위치한다. 상호접속 VIA들(486 및 586)은 본딩 계면(688)에서 접합되어 주변 상호접속 층(462)의 임의의 전도성 라인(466) 또는 접점 구조(464)와 어레이 상호접속 층(562)의 임의의 전도성 라인(566) 또는 접점 구조(564)를 전기적으로 연결할 수 있다. 이와 같이, 주변 회로(400) 및 3D 메모리 어레이(500)는 전기적으로 연결될 수 있다.
일부 실시예들에서, 3D 메모리 디바이스(600)의 본딩 계면(688)은 본딩 층(690) 내부에 위치한다. 이 예에서, 상호접속 VIA들(486 및 586)은 본딩 층(690)을 통해 연장되고, 또한 주변 상호접속 층(462)의 임의의 전도성 라인(466) 또는 접점 구조(464)와 어레이 상호접속 층(562)의 전도성 라인(566) 또는 접점 구조(564) 사이에 전기적 연결들을 형성한다. 이와 같이, 주변 회로(400) 및 3D 메모리 어레이(500)는 또한 전기적으로 연결될 수 있다.
일부 실시예들에서, 본딩 층(690)은 본딩 공정 전에 주변 회로(400)(도 4) 및/또는 3D 메모리 어레이(500)(도 5)의 상단에 배치될 수 있다. 본딩 층(690)은 실리콘 산화물, 실리콘 질화물, 실리콘 산질화물 또는 이들의 임의의 조합과 같은 유전체 재료들을 포함할 수 있다. 본딩 층(690)은 또한 접착 재료들, 예를 들어, 에폭시 수지, 폴리이미드, 건식 필름, 감광성 폴리머 등을 포함할 수 있다. 본딩 층(690)은 CVD, PVD, PECVD, ALD, 고밀도-플라즈마 CVD(HDP-CVD), 스퍼터링, 스핀-코팅, 또는 이들의 임의의 조합과 같은 하나 이상의 박막 퇴적 공정에 의해 형성될 수 있다.
일부 실시예에서, 본딩 층(690)을 형성한 후에, 상호접속 VIA(486 및 586)가 각각 주변 회로(400) 및 3D 메모리 어레이(500)에 대해 형성될 수 있다. 상호접속 VIA들(486/586)은 구리(Cu), 주석(Sn), 니켈(Ni), 금(Au), 은(Ag), 티타늄(Ti), 알루미늄(Al), 티타늄 질화물(TiN), 탄탈륨 질화물(TaN) 등, 또는 이들의 임의의 조합과 같은 금속 또는 금속 합금을 포함할 수 있다. 상호접속 VIA들(486/586)의 금속 또는 금속 합금은, 화학 기상 증착(CVD), 플라즈마-강화된 CVD(PECVD), 물리 기상 증착(PVD), 원자 층 증착(ALD), 전기도금, 무전해 도금, 스퍼터링, 증발, 또는 이들의 임의의 조합 같은 하나 이상의 박막 퇴적 공정에 의해 배치될 수 있다. 상호접속 VIA들(486/586)의 제조 공정은, 포토리소그래피, 습식/건식 에칭, 평탄화(예를 들어, CMP 또는 RIE 에치백) 등을 더 포함할 수 있지만, 이에 제한되지 않는다.
일부 실시예에서, 주변 회로(400) 및 3D 메모리 어레이(500)는, 제품 설계 및 제조 전략에 따라, 다이 레벨에서(예를 들어, 다이-대-다이, 또는 칩-대-칩) 또는 웨이퍼 레벨에서(예를 들어, 웨이퍼-대-웨이퍼 또는 칩-대-웨이퍼) 함께 본딩될 수 있다. 웨이퍼 레벨에서의 본딩은 높은 처리량을 제공할 수 있으며, 여기서 주변 회로(400)를 갖는 제1 기판(430) 상의 모든 다이들/칩들은 3D 메모리 어레이(500)를 갖는 제2 기판(530)과 동시에 접합될 수 있다. 개별 3D 메모리 디바이스(600)는 웨이퍼 본딩 후에 다이싱될 수 있다. 한편, 다이싱 및 다이 테스트 후에 다이 레벨에서의 본딩이 수행될 수 있고, 여기서, 주변 회로(400) 및 3D 메모리 어레이(500)의 기능 다이들이 먼저 선택된 후 본딩되어 3D 메모리 디바이스(600)를 형성할 수 있어, 3D 메모리 디바이스(600)의 더 높은 수율을 가능하게 한다.
일부 실시예들에서, 본딩 공정 동안, 주변 상호접속 층(462)은 주변 회로(400)의 상호접속 VIA들(486)이 3D 메모리 어레이(500)의 대응하는 상호접속 VIA들(586)과 정렬될 때 어레이 상호접속 층(562)과 정렬될 수 있다. 그 결과, 대응하는 상호접속 VIA들(486/586)은 본딩 계면(688)에서 접속될 수 있고 3D 메모리 어레이(500)는 주변 회로(400)와 전기적으로 연결될 수 있다.
일부 실시예들에서, 주변 회로(400) 및 3D 메모리 어레이(500)는 하이브리드 본딩에 의해 접합될 수 있다. 하이브리드 본딩, 특히 금속/유전체 하이브리드 본딩은 금속-금속 본딩 및 유전체-유전체 본딩을 동시에 획득하는 직접 본딩 기술(예를 들어, 솔더 또는 접착제와 같은 중간 층들을 사용하지 않고 표면들 사이에 본딩을 형성하는 것)일 수 있다. 본딩 공정 동안, 금속-금속 본딩 표면 및 유전체-유전체 표면에 화학적 결합이 형성될 수 있다.
일부 실시예들에서, 주변 회로(400) 및 3D 메모리 어레이(500)는 본딩 층(690)을 사용하여 본딩될 수 있다. 본딩 계면(688)에서, 본딩은, 금속 대 금속 본딩 외에도, 실리콘 질화물 대 실리콘 질화물, 실리콘 산화물 대 실리콘 산화물, 또는 실리콘 질화물 대 실리콘 산화물 사이에서 발생할 수 있다. 일부 실시예들에서, 본딩 층은 또한 본딩 강도를 향상시키기 위한 접착 재료, 예를 들어, 에폭시 수지, 폴리이미드, 건식 필름 등을 포함할 수 있다.
일부 실시예들에서, 본딩 계면(688)에서의 본딩 강도를 향상시키기 위해 처리 공정이 이용될 수 있다. 처리 공정은 절연 층들(562/462)의 표면들이 화학적 결합들을 형성하도록 어레이 상호접속 층(562) 및 주변 상호접속 층(462)의 표면들을 준비할 수 있다. 처리 공정은 예를 들어 플라즈마 처리 공정(예를 들어, F, Cl 또는 H 함유 플라즈마를 이용함) 또는 화학적 공정(예를 들어, 포름산)을 포함할 수 있다. 일부 실시예들에서, 처리 공정은 진공 또는 불활성 분위기(예를 들어, 질소 또는 아르곤을 이용함)에서 약 250°C 내지 약 600°C의 온도에서 수행될 수 있는 열적 공정을 포함할 수 있다. 열적 공정은 상호접속 VIA들(486 및 586) 사이의 금속 상호확산을 야기할 수 있다. 그 결과, 상호접속 VIA들의 대응하는 쌍들에서의 금속성 재료들은 본딩 공정 후에 서로 혼합되거나 합금을 형성할 수 있다.
주변 및 어레이 상호접속 층들을 함께 본딩한 후, 제1 기판(430) 상에 제조된 주변 회로(400)의 적어도 하나의 주변 디바이스는 제2 기판(530) 상에 제조된 3D 메모리 어레이(500)의 적어도 하나의 메모리 셀과 전기적으로 연결될 수 있다. 도 6은 주변 회로(400)가 3D 메모리 어레이(500)의 상단에 본딩되는 것을 예시하지만, 3D 메모리 어레이(500)는 또한 주변 회로(400)의 상단에 본딩될 수 있다.
본딩을 통해, 3D 메모리 디바이스(600)는 주변 회로 및 메모리 어레이가(도 1에 도시된 바와 같이) 동일한 기판 상에 제조되는 3D 메모리와 유사하게 기능할 수 있다. 3D 메모리 어레이(500)와 주변 회로(400)를 서로의 상단에 적층함으로써, 3D 메모리 디바이스(600)의 밀도가 증가될 수 있다. 한편, 적층 설계를 사용함으로써 주변 회로(400)와 3D 메모리 어레이(500) 사이의 상호접속 거리가 감소될 수 있기 때문에 3D 메모리 디바이스(600)의 대역폭이 증가될 수 있다. 본딩 공정 후에, 주변 회로(400)는 노출되고 후속 처리를 위해 준비된 배면(430-2)을 갖는다.
동작 308에서, 본 개시내용의 일부 실시예들에 따라, 주변 회로 웨이퍼는 박형화되고, 유전체 층이 배치된다. 도 7을 참조하면, 3D 메모리 디바이스(700)는 도 6의 3D 메모리 디바이스(600)와 유사한 것으로 예시되며, 또한 주변 회로(400) 및 3D 메모리 어레이(500)를 포함한다. 주변 회로(400)는 본딩 계면(688)에서 3D 메모리 어레이(500)에 본딩된다. 3D 메모리 디바이스(700)는 본딩을 통해 3D 메모리 디바이스(600)를 형성한 후에 주변 회로(400)의 제1 기판(430)을 박형화함으로써 형성될 수 있다.
일부 실시예에서, 주변 회로(400)의 제1 기판(430)은 배면(430-2)으로부터 박형화될 수 있다. 일부 실시예에서, 기판 박형화 공정은, 연삭, 건식 에칭, 습식 에칭, 및 화학 기계적 연마(CMP) 중 하나 이상을 포함할 수 있다. 박형화 후의 제1 기판(430)의 두께 T는 약 1μm 내지 약 5μm의 범위에 있을 수 있다. 예를 들어, 두께 T는 약 2μm 내지 약 4μm일 수 있다. 일부 실시예들에서, 박형화 공정은 딥 웰(455)이 노출될 때까지 계속될 수 있다.
제1 기판(430)이 박형화된 후에, 유전체 층(792)은 제1 기판(430)의 배면(430-1)(또는 제2 측면) 상에 배치될 수 있다. 유전체 층(792)은, 실리콘 산화물, 실리콘 질화물, 실리콘 산질화물, (F-, C-, N- 또는 H-도핑된 산화물 같은) 도핑된 실리콘 산화물, 테트라에톡시실란(TEOS), 폴리이미드, 스핀-온-글래스(SOG), 다공성 SiCOH, 실세스퀴옥산(SSQ) 같은 로우-k 유전체 재료, 또는 이들의 임의의 조합 같은 임의의 적절한 반도체 재료일 수 있다. 절연 재료들은 CVD, PVD, PECVD, ALD, 고밀도-플라즈마 CVD(HDP-CVD), 스퍼터링, 스핀-코팅, 또는 이들의 임의의 조합과 같은 하나 이상의 박막 퇴적 공정에 의해 퇴적될 수 있다. 퇴적 후에, 유전체 층(792)은 제1 기판(430)의 전체 표면을 덮는다. 일부 실시예에서, 유전체 층(792)의 두께 t는 약 100 nm 내지 약 1μm일 수 있다. 일부 실시예들에서, 두께 t는 약 300 nm 내지 약 600 nm일 수 있다. 예를 들어, 두께 t는 약 500nm일 수 있다.
동작 310에서, 깊은 격리 트렌치들이 주변 회로 웨이퍼 내에 그리고 인접한 디바이스들 사이에 형성된다. 도 8을 참조하면, 3D 메모리 디바이스(800)는 제1 기판(430)의 배면(430-2) 상에 형성된 복수의 깊은 격리 트렌치들(894)을 포함한다. 격리 트렌치들은 STI(452)가 격리 트렌치들(894)의 하단에서 노출될 때까지 유전체 층(792) 및 제1 기판(430)의 부분들을 통해 관통한다. 일부 실시예들에서, 깊은 격리 트렌치들(894)은 또한 웰(454)의 부분들 및 주변 디바이스들(450A 및 450B)의 다른 구조들을 노출시킨다.
깊은 격리 트렌치들의 단면 형상들 및 수들은 디바이스 격리에 대한 필요량 및 디바이스들의 타입과 같은 다양한 인자들에 의해 결정될 수 있다. 예를 들어, 단일의 깊은 격리 구조가 STI(452) 상에 형성될 수 있다. 일부 실시예에서, 2개 이상의 깊은 격리 구조가 STI(452) 상에 형성될 수 있다. 일부 실시예들에서, 임의의 적절한 수의 깊은 격리 트렌치들이 사용될 수 있다. 깊은 격리 트렌치들은 또한 임의의 적절한 단면 형상을 가질 수 있다. 예를 들어, 도 8에 예시된 깊은 격리 트렌치들(894)의 단면 형상은 깊은 격리 트렌치들(894)의 상단에서 측정된 상단 폭 W1 및 깊은 격리 트렌치들(894)의 하단에서 측정된 하단 폭 W2를 갖는 사다리꼴 형상을 가질 수 있다. 도 8에 예시된 바와 같이, 깊은 격리 트렌치들(894)은 구조의 하단에서보다 상단에서 더 큰 폭을 가질 수 있으며, 이러한 구성은 후속 배치된 격리 재료 내의 공극들을 방지할 수 있다. 일부 실시예들에서, 폭 W1는 약 0.1μm과 약 5μm 사이의 범위에 있을 수 있다. 일부 실시예들에서, 폭 W2은 약 0.05μm 내지 약 0.25μm의 범위에 있을 수 있다. 일부 실시예들에서, 폭들 및 W1 및 W2은 실질적으로 동일할 수 있다. 예를 들어, 폭들 W1 및 W2는 약 0.2μm일 수 있다. 일부 실시예들에서, W2에 대한 W1의 상단-대-하단 비율 R1은 약 1.5 내지 약 2.5일 수 있다. 예를 들어, R1은 약 2일 수 있다. 일부 실시예들에서, 깊은 격리 트렌치들(894)이 유전체 층(792) 및 제1 기판(430)의 부분들 양자 모두를 통해 관통할 수 있으므로, 깊은 격리 트렌치들(894)의 깊이 D는 약 1μm 내지 약 6μm의 범위에 있을 수 있다. 일부 실시예들에서, STI(452)의 깊이는 약 300nm 내지 약 450nm일 수 있다. 일부 실시예에서, 박형화된 제1 기판(430)과 유전체 층(792)의 조합된 두께(두께 T와 t)에 관한 깊이 D의 비율은 약 60% 내지 95%일 수 있다. 일부 실시예들에서, 깊은 격리 구조들의 종횡비는 약 10 내지 약 20일 수 있다. 일부 실시예들에서, 종횡비는 약 20보다 클 수 있다. 일부 실시예들에서, 깊은 격리 트렌치들(894)의 하단 표면과 측벽 표면들 사이의 각도 α는 약 90° 내지 약 45°의 범위에 있을 수 있다. 일부 실시예들에서, 깊은 격리 트렌치들(894)은 그의 하단 표면에 실질적으로 수직인 측벽들을 가질 수 있다.
동작 312에서, 격리 재료가 깊은 격리 트렌치들 내에 배치되고, 평탄화 공정이 본 개시내용의 일부 실시예들에 따라 수행된다. 도 9를 참조하면, 격리 재료를 퇴적하고 평탄화 공정을 수행함으로써 3D 메모리 디바이스(900)의 깊은 격리 트렌치들(894) 내에 깊은 격리 구조들(994)이 형성된다. 깊은 격리 구조들(994)은 제1 기판(430)을 통해 주변 디바이스들(450A 및 450B)과 같은 인접한 디바이스들 사이에 발생할 수 있는 크로스토크를 방지하기 위해 사용될 수 있다. 깊은 격리 구조들(994)은 또한 주변 디바이스들(450A 및 450B)이 임의의 다른 주변 디바이스들에 영향을 미치는 것을 방지할 수 있다. 깊은 격리 구조(994)는 STI 구조(452)와 물리적으로 접촉할 수 있다. 격리 재료는 인접한 디바이스들 사이의 크로스토크를 방지하는 임의의 적절한 재료일 수 있다. 예를 들어, 격리 재료는 로우-k 재료(예를 들어, 약 3.9 미만의 유전 상수를 가짐)일 수 있다. 일부 실시예들에서, 격리 재료는 실리콘 산화물, 실리콘 질화물, 실리콘 산질화물, 실리콘 탄화물, FSG(fluoride-doped silicate glass), 임의의 적절한 유전체 재료, 및/또는 이들의 조합들일 수 있다. 일부 실시예들에서, 라이너 층은 격리 재료의 퇴적 전에 깊은 격리 트렌치들(894) 내에 배치될 수 있다. 예를 들어, 라이너 층(도 9에 예시되지 않음)은 후속 배치된 격리 재료의 접착을 촉진하는 촉매 층 또는 격리 재료의 후속 퇴적으로 인한 제1 기판의 가능한 오염을 방지할 수 있는 장벽 층일 수 있다. 예를 들어, 라이너 층은 실리콘 산화물, 실리콘 질화물, 실리콘 산질화물, 실리콘 탄화물, 실리콘 탄화물 질화물, 티타늄 질화물, 탄탈륨 질화물, 임의의 적절한 재료, 및/또는 이들의 조합일 수 있다. 일부 실시예들에서, 라이너 층은 격리 재료와 제1 기판(430) 사이에 위치된다.
일부 실시예들에서, 격리 재료는 깊은 격리 트렌치들(894)이 격리 재료로 완전히 채워질 때까지 블랭킷 퇴적 공정에 의해 배치될 수 있고, 이어서, 유전체 층(792)의 상단 표면 상에 배치된 임의의 과잉 격리 재료를 제거하는 평탄화 공정이 이어진다. 평탄화 공정은 화학 기계적 연마(CMP), 반응성 이온 에칭(RIE) 공정, 습식 에칭 공정, 적절한 공정들, 및/또는 이들의 조합들일 수 있다. 평탄화 공정은 깊은 격리 구조들(994) 및 유전체 층(792)의 상단 표면들이 실질적으로 동일 평면(예를 들어, 레벨)이 될 때까지 수행될 수 있다. 일부 실시예에서, 깊은 격리 구조(994)는 디바이스 격리부가 필요한 3D 메모리 디바이스(900)의 임의의 적절한 위치에 형성될 수 있다.
본 개시내용에 따른 다양한 실시예들은 3D 메모리 구조들의 구조들 사이의 격리부를 개선하는 격리 구조들을 위한 구조들 및 제조 방법들을 제공한다. CMOS 디바이스들을 포함하는 주변 디바이스 웨이퍼는 3D 메모리 어레이들을 포함하는 어레이 웨이퍼에 본딩될 수 있다. 격리 구조들은 본딩된 주변/메모리 어레이 웨이퍼들에서 구현될 수 있고, 상이한 도핑 타입들의 웰들 사이와 같은, 인접한 구조들 사이의 크로스토크를 방지할 수 있다. 상이한 기능 영역들을 효과적으로 분리하기 위해 주변 웨이퍼의 유전체 층을 박형화하고 관통 실리콘 격리(TSI) 구조들을 형성함으로써 격리 구조들이 형성될 수 있다.
특정 실시예들의 전술한 설명은, 다른 사람들이, 본 기술분야의 통상의 기술 범위 내의 지식을 적용함으로써, 과도한 실험 없이, 그리고 본 개시내용의 일반적인 개념으로부터 벗어나지 않고, 다양한 응용들에 대해, 그러한 특정 실시예들을 용이하게 수정 및/또는 적응시킬 수 있는 본 개시내용의 일반적인 속성을 완전히 밝힐 것이다. 따라서, 이러한 적응들 및 수정들은, 본 명세서에 제시된 개시내용 및 지침에 기초하여, 개시된 실시예들의 등가물들의 의미 및 범위 내에 있는 것으로 의도된다. 본 명세서에서의 어구 또는 용어는 제한이 아니라 설명의 목적을 위한 것임을 이해해야 하고, 그래서, 통상의 기술자는 본 개시내용 및 지침을 고려하여 본 명세서의 용어 또는 어구를 해석하여야 한다.
본 개시내용의 실시예들은 지정된 기능들 및 이들의 관계들의 구현을 예시하는 기능적 빌딩 블록들의 도움으로 전술되었다. 이러한 기능적 빌딩 블록들의 경계들은 설명의 편의를 위해 본 명세서에서 임의로 정의되었다. 지정된 기능들 및 이들의 관계들이 적절히 수행되는 한, 대안적인 경계들이 정의될 수 있다.
발명의 내용 및 요약서 섹션들은 본 개시내용의 전부가 아니라 발명자(들)이 고려하는 바와 같은 하나 이상의 예시적인 실시예들을 설명할 수 있고, 따라서, 본 개시내용 및 첨부된 청구항들을 어떠한 방식으로도 제한하기를 의도하지 않는다.
본 개시내용의 폭 및 범위는 전술된 예시적인 실시예들 중의 임의의 것에 의해 제한되어야 하는 것이 아니라, 오직 다음의 청구항들 및 이들의 등가물들에 따라 정의되어야 한다.

Claims (20)

  1. 3차원 메모리 디바이스를 형성하는 방법으로서,
    제1 기판의 제1 측면 상에, 제1 주변 디바이스 및 제2 주변 디바이스, 제1 상호접속 층, 및 상기 제1 주변 디바이스와 상기 제2 주변 디바이스 사이의 얕은 트렌치 격리부(STI) 구조를 포함하는 주변 회로를 형성하는 단계;
    제2 기판 상에, 복수의 메모리 셀들 및 제2 상호접속 층을 포함하는 메모리 어레이를 형성하는 단계;
    상기 제1 및 제2 상호접속 층들을 본딩하는 단계;
    상기 제1 기판을 통해 관통하여 격리 트렌치를 형성하고 상기 STI 구조의 일부를 노출시키는 단계- 상기 격리 트렌치는 상기 제1 측면에 대향하는 상기 제1 기판의 제2 측면을 통해 형성됨 -;
    격리 재료를 배치하여 상기 격리 트렌치 내에 격리 구조를 형성하는 단계; 및
    상기 제1 기판의 상기 제2 측면 상에 배치된 상기 격리 재료의 부분들을 제거하기 위해 평탄화 공정을 수행하는 단계를 포함하는, 방법.
  2. 제1항에 있어서, 상기 제1 및 제2 상호접속 층들을 본딩한 후에 상기 제2 측면을 통해 상기 제1 기판을 박형화하는 단계를 더 포함하는, 방법.
  3. 제1항에 있어서, 상기 제1 기판을 박형화하는 단계는 상기 제1 기판의 상기 제2 측면 상의 상기 딥 웰을 노출시키는 단계를 포함하는, 방법.
  4. 제1항에 있어서, 상기 격리 재료를 배치하기 전에 상기 격리 트렌치 내에 라이너 층을 배치하는 단계를 더 포함하는, 방법.
  5. 제1항에 있어서, 상기 제1 기판의 상기 제2 측면 상에 유전체 층을 배치하는 단계를 더 포함하는, 방법.
  6. 제1항에 있어서, 상기 본딩 단계는 직접 본딩을 포함하는, 방법.
  7. 제1항에 있어서, 상기 제1 주변 디바이스에 인접한 다른 STI 구조를 형성하는 단계, 및 상기 제1 기판을 통해 다른 깊은 격리 트렌치를 형성하고 상기 다른 STI 구조를 노출시키는 단계를 더 포함하는, 방법.
  8. 제7항에 있어서, 상기 다른 깊은 격리 트렌치 내에 상기 격리 재료를 배치하는 단계를 더 포함하는, 방법.
  9. 제1항에 있어서, 상기 격리 재료를 배치하는 단계는 실리콘 산화물 재료를 배치하는 단계를 포함하는, 방법.
  10. 제1항에 있어서, 상기 제1 및 제2 상호접속 층들을 본딩하는 단계는 본딩 계면에서의 유전체-유전체 본딩 및 금속-금속 본딩을 포함하는, 방법.
  11. 3차원 메모리 디바이스를 형성하는 방법으로서,
    제1 기판의 제1 측면 상에, 복수의 주변 디바이스들 및 제1 상호접속 층을 포함하는 주변 회로를 형성하는 단계;
    상기 제1 기판에 복수의 얕은 트렌치 격리부(STI) 구조를 형성하는 단계- 상기 복수의 STI 구조의 각각의 STI 구조는 상기 복수의 주변 디바이스의 인접한 주변 디바이스들 사이에 형성됨 -;
    제2 기판 상에, 복수의 메모리 셀들 및 제2 상호접속 층을 포함하는 메모리 어레이를 형성하는 단계;
    상기 복수의 주변 디바이스 중 적어도 하나의 주변 디바이스가 상기 복수의 메모리 셀 중 적어도 하나의 메모리 셀에 전기적으로 결합되도록, 상기 제1 및 제2 상호접속 층을 본딩하는 단계;
    상기 제1 기판의 제2 측면을 통해 상기 제1 기판을 박형화하는 단계- 상기 제2 측면은 상기 제1 측면의 반대편에 있음 -;
    상기 제1 기판을 통해 복수의 격리 트렌치들을 형성하고 상기 복수의 STI 구조들 중의 STI 구조의 일부를 노출시키는 단계- 상기 복수의 격리 트렌치들은 상기 제1 기판의 상기 제2 측면을 통해 형성됨 -;
    상기 복수의 격리 트렌치들 내에 격리 재료를 배치하는 단계; 및
    상기 제1 기판의 상기 제2 측면 상에 배치된 상기 격리 재료의 부분들을 제거하기 위해 평탄화 공정을 수행하는 단계를 포함하는, 방법.
  12. 제11항에 있어서, 상기 본딩 단계는 직접 본딩을 포함하는, 방법.
  13. 제11항에 있어서, 상기 제1 기판의 상기 제2 측면 상에 유전체 층을 배치하는 단계를 더 포함하고, 상기 복수의 격리 트렌치들은 상기 유전체 층을 통해 연장되는, 방법.
  14. 제11항에 있어서, 상기 격리 재료를 배치하는 단계 전에 상기 격리 트렌치 내에 라이너 층을 배치하는 단계를 더 포함하는, 방법.
  15. 제11항에 있어서, 상기 격리 재료를 배치하는 단계는 실리콘 산화물 재료를 배치하는 단계를 포함하는, 방법.
  16. 3차원 메모리 디바이스로서,
    주변 회로 웨이퍼 및 복수의 메모리 셀을 포함하고,
    상기 주변 회로 웨이퍼는
    제1 기판;
    상기 제1 기판의 제1 측면에 형성된 복수의 주변 디바이스들 및 제1 상호접속 층;
    상기 제1 기판 내의 복수의 얕은 트렌치 격리부(STI) 구조들- 적어도 하나의 STI 구조가 상기 복수의 주변 디바이스 중 인접한 주변 디바이스들 사이에 형성됨 -; 및
    상기 제1 측면에 대향하는 상기 제1 기판의 제2 측면 상에 형성된 복수의 깊은 격리 구조들- 상기 복수의 깊은 격리 구조들 중 적어도 하나의 깊은 격리 구조는 상기 적어도 하나의 STI 구조와 물리적으로 접촉함 -을 포함하고,
    상기 메모리 어레이 웨이퍼는
    복수의 메모리 셀들- 상기 복수의 주변 디바이스 중 적어도 하나의 주변 디바이스는 상기 복수의 메모리 셀 중 적어도 하나의 메모리 셀에 전기적으로 결합됨 -; 및
    상기 제1 상호접속 층과 물리적으로 접촉하는 제2 상호접속 층을 포함함 -를 포함하는, 3차원 메모리 디바이스.
  17. 제16항에 있어서, 상기 적어도 하나의 깊은 격리 구조는 라이너 층 및 격리 재료를 포함하고, 여기서, 라이너 층은 격리 재료와 제1 기판 사이에 있는, 3차원 메모리 디바이스.
  18. 제16항에 있어서, 상기 물리적 접점은 상기 제1 및 제2 상호접속 층 사이에 형성된 화학적 결합들을 포함하는, 3차원 메모리 디바이스.
  19. 제16항에 있어서, 상기 적어도 하나의 깊은 격리 구조는 실리콘 산화물을 포함하는, 3차원 메모리 디바이스.
  20. 제16항에 있어서, 상기 적어도 하나의 깊은 격리 구조의 폭은 약 0.2μm인, 3차원 메모리 디바이스.
KR1020217037800A 2019-07-08 2019-07-08 깊은 격리 구조들을 갖는 3차원 메모리 디바이스들 KR20220002397A (ko)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/CN2019/095085 WO2021003638A1 (en) 2019-07-08 2019-07-08 Three-dimensional memory devices with deep isolation structures

Publications (1)

Publication Number Publication Date
KR20220002397A true KR20220002397A (ko) 2022-01-06

Family

ID=68593263

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020217037800A KR20220002397A (ko) 2019-07-08 2019-07-08 깊은 격리 구조들을 갖는 3차원 메모리 디바이스들

Country Status (6)

Country Link
US (1) US11031282B2 (ko)
JP (1) JP2022534538A (ko)
KR (1) KR20220002397A (ko)
CN (1) CN110506334B (ko)
TW (1) TWI729470B (ko)
WO (1) WO2021003638A1 (ko)

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11930648B1 (en) * 2016-10-10 2024-03-12 Monolithic 3D Inc. 3D memory devices and structures with metal layers
JP2021048353A (ja) * 2019-09-20 2021-03-25 キオクシア株式会社 半導体記憶装置
CN110648932B (zh) * 2019-11-28 2020-04-17 长江存储科技有限责任公司 一种半导体芯片及其制造方法
WO2021102789A1 (en) * 2019-11-28 2021-06-03 Yangtze Memory Technologies Co., Ltd. Local word line driver device, memory device, and fabrication method thereof
CN117116308A (zh) * 2020-06-11 2023-11-24 武汉新芯集成电路制造有限公司 一种半导体结构
KR20230011430A (ko) * 2020-08-28 2023-01-20 양쯔 메모리 테크놀로지스 씨오., 엘티디. 3차원 nand 메모리 디바이스 및 이를 형성하는 방법
JP2022045192A (ja) * 2020-09-08 2022-03-18 キオクシア株式会社 半導体装置およびその製造方法
KR20220034273A (ko) * 2020-09-10 2022-03-18 삼성전자주식회사 3차원 반도체 메모리 장치 및 이를 포함하는 전자 시스템
KR20220052749A (ko) * 2020-10-21 2022-04-28 에스케이하이닉스 주식회사 수직형 구조를 갖는 메모리 장치
JP2022118607A (ja) * 2021-02-02 2022-08-15 キオクシア株式会社 メモリデバイス
CN112909013B (zh) * 2021-03-18 2022-02-18 长江存储科技有限责任公司 三维存储器及制备三维存储器的方法
CN112802849B (zh) * 2021-03-29 2023-04-21 长江存储科技有限责任公司 一种三维存储器及其制作方法
KR20230098672A (ko) * 2021-05-12 2023-07-04 양쯔 메모리 테크놀로지스 씨오., 엘티디. 3차원 트랜지스터를 갖는 메모리 주변회로 및 그 형성 방법
TWI808511B (zh) * 2021-06-30 2023-07-11 大陸商長江存儲科技有限責任公司 具有三維電晶體的記憶體週邊電路及其形成方法
TWI808513B (zh) * 2021-06-30 2023-07-11 大陸商長江存儲科技有限責任公司 具有三維電晶體的儲存裝置
WO2023015464A1 (en) * 2021-08-11 2023-02-16 Yangtze Memory Technologies Co., Ltd. Semiconductor devices, systems, and methods for forming thereof
CN113690173B (zh) * 2021-09-07 2024-04-05 长江存储科技有限责任公司 三维存储器及其制备方法

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104241279B (zh) * 2013-06-18 2017-09-01 中芯国际集成电路制造(上海)有限公司 一种集成电路及其制造方法
EP3422415B1 (en) 2014-02-28 2023-08-02 LFoundry S.r.l. Semiconductor device comprising a laterally diffused mos transistor
US9935124B2 (en) 2015-11-25 2018-04-03 Sandisk Technologies Llc Split memory cells with unsplit select gates in a three-dimensional memory device
JP2018163970A (ja) * 2017-03-24 2018-10-18 東芝メモリ株式会社 半導体装置及びその製造方法
JP6832817B2 (ja) * 2017-09-08 2021-02-24 キオクシア株式会社 記憶装置
US10283452B2 (en) * 2017-09-15 2019-05-07 Yangtze Memory Technology Co., Ltd. Three-dimensional memory devices having a plurality of NAND strings
US10283493B1 (en) * 2018-01-17 2019-05-07 Sandisk Technologies Llc Three-dimensional memory device containing bonded memory die and peripheral logic die and method of making thereof
CN108470711B (zh) * 2018-02-12 2020-10-02 上海集成电路研发中心有限公司 图像传感器的深沟槽和硅通孔的制程方法
KR102309462B1 (ko) * 2018-06-28 2021-10-06 양쯔 메모리 테크놀로지스 씨오., 엘티디. 차폐층을 가진 3차원 메모리 장치 및 3차원 메모리 장치를 형성하기 위한 방법
CN109314116B (zh) * 2018-07-20 2019-10-01 长江存储科技有限责任公司 用于形成三维存储器件的方法
CN109155301A (zh) * 2018-08-13 2019-01-04 长江存储科技有限责任公司 具有帽盖层的键合触点及其形成方法
CN109037224A (zh) * 2018-09-19 2018-12-18 长江存储科技有限责任公司 存储器结构
CN111403413B (zh) * 2018-10-23 2022-06-14 长江存储科技有限责任公司 具有使用背面衬底减薄形成的半导体插塞的三维存储设备
CN109461737B (zh) * 2018-11-12 2020-09-29 长江存储科技有限责任公司 一种半导体器件及其制造方法
CN109712989B (zh) * 2018-12-29 2021-04-23 长江存储科技有限责任公司 一种三维存储器
US10985169B2 (en) * 2019-03-04 2021-04-20 Sandisk Technologies Llc Three-dimensional device with bonded structures including a support die and methods of making the same

Also Published As

Publication number Publication date
TWI729470B (zh) 2021-06-01
CN110506334B (zh) 2021-01-29
JP2022534538A (ja) 2022-08-01
US20210013088A1 (en) 2021-01-14
TW202103306A (zh) 2021-01-16
US11031282B2 (en) 2021-06-08
CN110506334A (zh) 2019-11-26
WO2021003638A1 (en) 2021-01-14

Similar Documents

Publication Publication Date Title
US11177343B2 (en) Three-dimensional memory devices with backside isolation structures
US11437464B2 (en) Structure and method for forming capacitors for a three-dimensional NAND
US11031282B2 (en) Three-dimensional memory devices with deep isolation structures
US11538780B2 (en) Structure and method for isolation of bit-line drivers for a three-dimensional NAND
US11264455B2 (en) Backside deep isolation structures for semiconductor device arrays
US20210265319A1 (en) Integration of three-dimensional nand memory devices with multiple functional chips
CN118139414A (zh) 三维存储器件及其制造方法

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E601 Decision to refuse application