TW202046452A - 半導體元件,製作半導體元件之方法,及處理系統 - Google Patents

半導體元件,製作半導體元件之方法,及處理系統 Download PDF

Info

Publication number
TW202046452A
TW202046452A TW109103807A TW109103807A TW202046452A TW 202046452 A TW202046452 A TW 202046452A TW 109103807 A TW109103807 A TW 109103807A TW 109103807 A TW109103807 A TW 109103807A TW 202046452 A TW202046452 A TW 202046452A
Authority
TW
Taiwan
Prior art keywords
source
layer
drain region
metal silicide
forming
Prior art date
Application number
TW109103807A
Other languages
English (en)
Other versions
TWI828854B (zh
Inventor
高拉夫 塔瑞加
李學斌
阿布希雪克 督比
黃奕樵
羅源輝
派翠西亞M 劉
聖傑 納塔拉珍
索拉貝 喬柏
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202046452A publication Critical patent/TW202046452A/zh
Application granted granted Critical
Publication of TWI828854B publication Critical patent/TWI828854B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • H01L29/456Ohmic electrodes on silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

本案揭示內容大致上關於一種形成半導體元件的方法、一種半導體元件、及一種處理腔室。該方法包括:在處理系統中形成源極/汲極區域;在該處理系統中於該源極/汲極區域上形成摻雜的半導體層;形成金屬矽化物層;形成介電材料;在該介電材料中形成溝槽;以及以導體填充該溝槽。在不破真空的情況下形成該源極/汲極區域、該摻雜的半導體層和該金屬矽化物層。一種半導體元件包括複數個層,且該半導體元件具有減少的接觸電阻。一種處理系統設置成執行該方法並且形成該半導體元件。本案揭示內容的實施例使得能夠透過使用整合製程形成具有減少的接觸電阻的源極/汲極接觸件,這容許在相同處理系統內執行形成源極/汲極接觸件的各種操作。

Description

半導體元件,製作半導體元件之方法,及處理系統
相關申請案的交互參照:本申請案請求2019年2月8日提交的美國臨時專利申請案第62/803,374號的優先權,此臨時專利申請案的全部內容以引用方式併入本文。
本案揭示內容的實施例大致上關於一種設備和方法,並且更特定而言,關於一種半導體元件、一種製作半導體元件的方法、及一種處理系統。
電晶體是現代數據處理器和記憶體元件的基本元件要素,並且已經發現電晶體在高功率電子產品中的應用。當前,存在可用於不同應用的多種半導體元件(例如,電晶體)設計或類型。各種電晶體類型包括例如場效電晶體(FET)、雙極接面電晶體(BJT)、接面場效電晶體(JFET)、金屬氧化物半導體場效電晶體(MOSFET)、垂直通道或溝槽場效電晶體、以及超接面或多汲極電晶體。在電晶體的MOSFET家族中已出現的一種類型的電晶體是鰭式場效電晶體(FinFET)。
FinFET可在塊狀半導體基板(例如,矽基板)上製造,並且包括鰭狀結構,鰭狀結構沿著基板的表面在長度方向上行進且在垂直於基板表面的高度方向上延伸。鰭片具有窄的寬度(例如,小於250奈米)。鰭片能夠穿過絕緣層。能夠在鰭片的區域上面形成包括導電閘極材料和閘極絕緣體的閘極結構。在閘極結構的任一側上摻雜鰭片的上部,而形成與閘極相鄰的源極/汲極區域。
FinFET具有良好的靜電性質,以將互補式MOSFET規模調整到較小的尺寸。因為鰭片是三維結構,所以能夠在鰭片的三個表面上形成電晶體的通道,使得對於在基板上佔據的給定表面積而言,FinFET能夠顯現高電流切換能力。由於通道和元件能夠從基板表面抬高,因此相較於習知的平面MOSFET,能夠有減少的相鄰元件之間的電場耦合。
半導體設計、製造、和操作中的關鍵挑戰是接觸電阻。舉例而言,FinFET元件的源極和汲極區域可能被用於形成源極/汲極接觸件溝槽的蝕刻製程所侵蝕,導致接觸電阻增加。接觸電阻增加的結果是,電路元件的效能下降,電路元件包括電晶體及在半導體基板上形成的其他元件結構。
因此,需要用於形成具有減少的接觸電阻的半導體元件的改良半導體處理方法。
本案揭示內容的實施例大致上關於一種半導體元件、一種製造半導體元件的方法、及一種處理系統。該方法造成半導體元件有減少的接觸電阻。該方法能夠在處理系統中執行。
在一個實施例中,提供一種用於形成半導體元件的方法。該方法包括:在基板中形成源極/汲極區域;在該源極/汲極區域上形成摻雜的半導體層;在該處理系統中於該摻雜的半導體層上形成金屬矽化物層;在該金屬矽化物層上面形成介電材料;在該介電材料中形成溝槽,以暴露該金屬矽化物層的一部分;以及以導體填充該溝槽。該源極/汲極區域、該摻雜的半導體層、及該金屬矽化物層是在不破真空的情況下形成。
在另一實施例中,提供一種半導體元件。該半導體元件包括:從半導體結構延伸的源極/汲極區域;配置在該源極/汲極區域上並且包覆圍繞該源極/汲極區域的摻雜的半導體層;配置在該摻雜的半導體層上並且包覆圍繞該源極/汲極區域的金屬矽化物層;以及配置在該金屬矽化物層上的導體。
在又一實施例中,提供一種處理系統。該處理系統包括:移送腔室;耦接該移送腔室的複數個處理腔室;及控制器。該控制器設置為引發在該處理系統中執行一製程,該製程包括:形成源極/汲極區域;在該源極/汲極區域上形成摻雜的半導體層;以及在該摻雜的半導體層上形成金屬矽化物層。該源極/汲極區域、該摻雜的半導體層、及該金屬矽化物層是在不破真空的情況下形成。
本文描述的實施例包括一種形成半導體元件的方法、一種半導體元件、和一種處理系統。該方法包括:形成源極/汲極區域;形成摻雜的半導體層;在摻雜的半導體層上形成金屬矽化物層;形成介電材料;在介電材料中形成溝槽;以及以導體填充溝槽。在不破真空的情況下形成源極/汲極區域、摻雜的半導體層、和金屬矽化物層。能夠由該方法形成半導體元件。半導體元件包括複數個層,並且半導體元件具有減少的接觸電阻。處理系統設置成執行該方法並且形成半導體元件。摻雜的半導體層的摻雜劑濃度高於源極/汲極區域的摻雜劑濃度,並且較高的摻雜劑濃度導致接觸電阻減少。本文所揭示的實施例能夠用於(但不限於)接觸電阻減少的半導體元件。
上文概括地介紹本案揭示內容中描述的技術。考量本案揭示內容的概念能夠針對平面電晶體元件或三維電晶體元件實現,上述元件諸如鰭式場效電晶體(FinFET)、水平環繞式閘極(horizontal gate all around,HGAA)FET、垂直環繞式閘極(VGAA)FET、奈米線通道FET、應變半導體元件等。
如本文所用,術語「約」是指與標稱值偏差+/-10%。應理解,這種偏差能夠包括在本文提供的任何值中。
圖1是根據一個實施例的用於形成半導體元件的方法100操作的流程圖。圖2A至圖2H說明根據一個實施例的基板200的剖面圖。儘管結合圖1及圖2A至2D描述了方法100的操作,但是熟悉此技術者會了解,設置成以任何順序執行該等方法操作的任何系統都落入本文所述實施例的範疇內。注意方法100能夠用於形成本文並未提出的任何其他半導體結構。熟悉此技術者應認識到,在圖式中未說明或在本文中未描述用於形成半導體元件和相關結構的完整製程。
方法100開始於操作102,將基板200放入處理腔室中。在一個實例中,處理腔室是蝕刻腔室。如圖2A所說明,基板200包括半導體層202、從半導體層202延伸的至少一個半導體結構204、以及配置在半導體層202上的相鄰半導體結構204之間的介電材料206。半導體結構204能夠是半導體鰭片。半導體層202能夠由矽、鍺、矽鍺、III/V族化合物半導體、砷化鎵(GaAs)、及/或砷化銦鎵(InGaAs)或其他半導體材料製成。半導體層202能夠以p型或n型摻雜劑摻雜。舉例而言,半導體層202摻雜有諸如硼(B)的p型摻雜劑,或者摻雜有諸如磷(P)及/或砷(As)的n型摻雜劑。半導體結構204能夠由與半導體層202相同的材料製成。半導體結構204能夠與半導體層202整合。介電材料206能夠包括淺溝槽隔離(STI)區域,並且能夠由二氧化碳(SiO2 )、氮化矽(Si3 N4 )、碳氮化矽(SiCN)、上述材料之混合物、或任何其他適合的介電材料製造。
在操作104,至少一個半導體結構204的一部分被移除,而暴露半導體結構204的剩餘部分的表面207。半導體結構204的表面207能夠從介電材料206的表面209凹下,如圖2B中所說明。在其他實施例中,表面207與介電材料206的表面209共平面。透過在蝕刻腔室中執行的蝕刻製程能夠移除半導體結構204的該部分。
在操作106,在半導體結構204的表面207上形成源極/汲極區域208。源極/汲極區域208能夠是源極區域或汲極區域,如圖2C所說明。源極/汲極區域208能夠包括合併的源極和汲極區域208。在任一實例中,源極/汲極區域208由在半導體結構204的表面207上磊晶生長的半導體材料製成。源極/汲極區域208能夠在處理系統的磊晶沉積腔室中形成。基板200能夠包括跨越源極/汲極區域208配置的複數個閘極(未示出)。
在能夠包括本文所述的一或多個實施例或與本文所述的一或多個實施例組合的一個實施例中,源極/汲極區域208由矽、鍺、矽鍺、或III/V族化合物半導體(例如砷化鎵(GaAs)及/或砷化銦鎵(InGaAs))或任何其他適合的半導體製成。源極/汲極區域208能夠摻雜有p型或n型摻雜劑。在一個實例中,源極/汲極區域208摻雜有諸如B的p型摻雜劑。或者,源極/汲極區域208摻雜有諸如P及/或As的n型摻雜劑。源極/汲極區域208能夠由金屬矽化物製成,例如矽化鈦、矽化鎢、矽化鉬、矽化釕、矽化鈷、或上述材料的任何組合。
金屬矽化物源極/汲極區域208具有相較於半導體源極/汲極區域208減少的電阻。源極/汲極區域208選擇性地磊晶生長於半導體結構204的表面207上,並且由於在不同表面上生長速率不同,所以刻面(facet)210、212、214、216能夠形成為使得源極/汲極區域208具有鑽石形狀,如圖2C所示。
能夠在形成源極/汲極區域208之前在半導體結構204的表面207上執行預清潔製程。執行預清潔製程以移除半導體結構204的表面207上的污染物,例如碳或氧化物污染物。預清潔製程能夠包括任何適合的蝕刻製程,例如乾蝕刻、濕蝕刻、或上述蝕刻之組合。預清潔製程能夠是各向同性(isotropic)或方向性。一個實例中,使用遠端電漿源在處理腔室中執行預清潔處理。適合用於執行預清潔製程的一個示例處理腔室是可從美國加州Santa Clara的應用材料公司獲得的AKTIV Pre-CleanTM 腔室或是SICONI® 清潔腔室。或者,預清潔製程在蝕刻腔室中進行,諸如使用感應耦合電漿(ICP)源的蝕刻腔室。一個示例性蝕刻腔室能夠是經修飾的去耦電漿氮化(DPN)腔室,該腔室可從美國加州Santa Clara的應用材料公司獲得。然而,考量也能夠實施來自其他製造商的其他適當設置的腔室以執行預清潔製程。
在操作108,如圖2D所說明,在處理系統中,於源極/汲極區域208上形成摻雜的半導體層220。能夠藉由選擇性磊晶沉積製程形成摻雜的半導體層220。摻雜的半導體層220是在與源極/汲極區域208相同的處理系統中形成。在一個實例中,摻雜的半導體層220在與源極/汲極區域208相同的磊晶沉積腔室中形成。另一實例中,摻雜的半導體層220形成在處理系統的不同的磊晶沉積腔室中。因為源極/汲極區域208和摻雜的半導體層220在相同的處理系統中形成,所以在製程之間(例如,操作106和108)沒有破真空。因此,在製程之間不需要預清潔製程。
摻雜的半導體層220於刻面210、212、214、216上形成,但是不在介電材料206上形成。因為摻雜的半導體層220是藉由磊晶沉積製程形成,所以摻雜的半導體層220正形地覆蓋源極/汲極區域208的刻面210、212、214、216。換言之,正形的摻雜的半導體層220包覆圍繞源極/汲極區域208。在將基板保持在低於約攝氏450度的溫度的同時,能夠執行選擇性磊晶沉積製程。摻雜的半導體層220能夠由與源極/汲極區域208相同的材料製成,差異處在於,摻雜的半導體層220中的摻雜劑濃度實質上高於源極/汲極區域208中的摻雜劑濃度。例如,摻雜的半導體層220能夠由摻雜有B及/或鎵(Ga)的鍺錫(GeSn)、摻雜有B的矽鍺(SiGe)、摻雜有B及/或Ga的鍺(Ge)、或摻有P及/或As的矽製成。 在一個實例中,摻雜的半導體層220包括第一層和第二層,並且第一層是摻雜有As的矽層,並且第二層是摻雜有P的矽層。
根據一個實施例,藉由摻雜劑浸泡製程形成摻雜的半導體層220。在摻雜劑浸泡製程期間,源極/汲極區域208的外部(諸如從每個刻面210、212、214、216到預定深度)被轉換成摻雜的半導體層220。例如,在摻雜劑浸泡製程期間,源極/汲極區域208的刻面210、212、214、216暴露於含一或多種摻雜劑的一或多種氣體,該摻雜劑例如為Ga、B、P、及/或As。摻雜的半導體層220能夠具有在約1埃至約10 nm範圍內的厚度。源極/汲極區域208中的摻雜劑濃度小於摻雜的半導體層220中的摻雜劑濃度。摻雜的半導體層220中增加的摻雜劑濃度降低了接觸電阻。
可以在可從美國加州Santa Clara的應用材料公司獲得的減壓(RP)磊晶腔室中形成摻雜的半導體層220。然而,考量也能夠實施來自其他製造商的其他適合地設置的腔室,以執行選擇性磊晶沉積或摻雜劑浸泡製程以形成摻雜的半導體層220。
在操作110,如圖2E所說明,在處理系統中,於摻雜的半導體層220上形成金屬矽化物層222。 在一個實例中,金屬矽化物層222是透過化學氣相沉積(CVD)製程形成。在另一實例中,金屬矽化物層222是透過磊晶沉積製程形成。例如,選擇性磊晶沉積製程的結果是,金屬矽化物層222形成在摻雜的半導體層220上而不形成在介電材料206上。因為金屬矽化物層222透過磊晶沉積製程形成,所以金屬矽化物層222正形地覆蓋源極/汲極區域208的刻面210、212、214、216。換言之,正形金屬矽化物層222包覆圍繞源極/汲極區域208。
金屬矽化物層222能夠包括矽化鈦、矽化鈷、矽化釕、矽化鎢、矽化鉬、上述材料的任何組合或其他適合的金屬矽化物。在一個實例中,金屬矽化物層222形成在與摻雜的半導體層220相同的處理腔室中。在另一實例中,金屬矽化物層222形成在處理系統的不同處理腔室中,例如磊晶沉積腔室。因為摻雜的半導體層220和金屬矽化物層222在相同的處理系統中形成,所以在此些製程之間(例如,操作108和110)不破真空。在此些製程之間不需要預清潔製程。
視情況任選的覆蓋層能夠形成在金屬矽化物層222上。覆蓋層能夠包括介電質。覆蓋層能夠由氮化物或氧化物材料製成,諸如氮化鈦、氮化矽、氧化鋁、氧化鉿、氧化鋯、氧化錳、或上述材料的任何組合。在一些實施例中,藉由氮化金屬矽化物層222而形成覆蓋層,並且覆蓋層是金屬氮化矽層。氮化製程能夠包括,將金屬矽化物層222暴露於含氮電漿或含氮的周圍環境中,使得氮原子與位於金屬矽化物層222的暴露表面上的原子發生化學反應,而形成表面氮化物層(例如,覆蓋層)。能夠使用電漿源(例如,感應耦合電漿(ICP)源、電容耦合電漿(CCP)源、或上述電漿源的組合)在電漿腔室中執行氮化製程。能夠在可從美國加州Santa Clara的應用材料公司獲得的經修飾的去耦電漿氮化(DPN)腔室中或其他適合的腔室中進行氮化製程。
在操作112,如圖2F所說明,在介電材料206和金屬矽化物層222上形成接觸件蝕刻停止層(CESL)224。CESL 224例如是由介電材料製成,該介電材料諸如氮化矽、氮氧化矽、碳氮化矽、或上述材料的組合。
在操作114,如圖2F所說明,在CESL 224上形成介電材料226。介電材料226能夠是層間介電質,並且可以由介電材料製成,該介電材料諸如氧化矽、氮化矽、氮氧化矽、碳化矽、或上述材料的組合。
在操作116,如圖2G所說明,在介電材料226中形成溝槽228,以暴露配置在刻面210、212上面的金屬矽化物層222的一部分。透過移除配置在源極/汲極區域208的刻面210、212上面的介電材料226和CESL 224的一部分,而形成溝槽228,並且金屬矽化物層222的一部分也暴露。能夠透過任何適合的移除製程形成溝槽228。在一個實例中,藉由反應性離子蝕刻(RIE)製程形成溝槽228。溝槽228能夠形成在RIE腔室或其他適合的蝕刻腔室中。
在操作118,如圖2H所說明,在溝槽228中形成導體230以填充溝槽228。導體230由諸如金屬的導電材料製成。在一個實例中,導體230包括鈷。導體230能夠透過一或多種沉積製程形成。例如,透過首先形成種晶層,然後在該種晶層上巨量(bulk)填充而形成導體230。種晶層和巨量填充物由相同的材料製成。導體230能夠透過任何適合的沉積方法形成,該方法例如化學氣相沉積(CVD)或物理氣相沉積(PVD)。因此,方法100導致形成半導體元件290。
執行操作106、108和110以減少電晶體中的源極/汲極接觸件的接觸電阻。一些實施例中,能夠省略操作108和110的一個或多個操作,同時仍然達成減少的接觸電阻。
在能夠包括本文描述的一或多個實施例或與本文描述的一或多個實施例組合的一個實施例中,執行操作106,然後執行操作112,而不執行操作108和110。例如,在金屬矽化物源極/汲極區域208形成之後,在源極/汲極區域208上形成CESL 224,並且在源極/汲極區域208和CESL 224之間不形成摻雜的半導體層220和金屬矽化物層222。
圖3說明根據一個實施例的處理系統300的示意性頂視圖。處理系統300設置成執行方法100。能夠根據本文提供的教示適合地修改的處理系統的實例包括ENDURA® 、PRODUCER® 或CENTURA® 整合處理系統或可購自美國加州Santa Clara的應用材料公司的其他適合的處理系統。考量其他處理系統(包括來自其他製造商的處理系統)也可以適於受惠於本文所述態樣。
如圖所示,處理系統300包括複數個處理腔室302、314、316、第一移送腔室304、通過(pass-through)腔室306、第二移送腔室310、工廠界面(FI)320、匣(pod)330、及系統控制器380。複數個處理腔室302耦接第一移送腔室304。第一移送腔室304也耦接第一對的通過腔室306。第一移送腔室304具有置中配置的移送機器人(未示出),用於在通過腔室306和處理腔室302之間移送基板。通過腔室306耦接第二移送腔室310,該第二移送腔室310耦接設置為執行預清潔處理的處理腔室314和設置為執行選擇性磊晶沉積製程(操作106、108、110)的處理腔室316。第二移送腔室310具有置中配置的移送機器人(未示出),該移送機器人用於在一組裝載閘(load lock)腔室312和處理腔室314或處理腔室316之間移送基板。工廠界面320藉由裝載閘腔室312連接第二移送腔室310。工廠界面320耦接位於裝載閘腔室312的相對側上的一或多個匣330。該等匣330一般是可從無塵室進出的前開式晶圓匣(FOUP)。
在操作期間,先將基板移送到處理腔室314,在該處執行預清潔製程,以從半導體結構的暴露表面移除污染物,諸如碳或氧化物污染物。然後,將基板移送至處理腔室316,在該處執行操作106、108和110。在一些實施例中,處理腔室314及/或處理腔室316能夠與一或多個處理腔室302中的任何一者切換。在一些實施例中,操作106、108、110在不同的處理腔室302中執行。例如,操作106在第一處理腔室302中執行,操作108在第二處理腔室302中執行,並且操作110在第三處理腔室302中執行。
隨後,將基板移送到一或多個處理腔室302,在其中執行操作112至操作118。因為所有操作106、108、110、112、114、116、118都在相同的處理系統300內執行,所以在將基板移送到各個腔室時不會破真空,此舉減少污染的機會,且提高沉積的磊晶膜的品質。
系統控制器380耦接處理系統300,以控制處理系統300或其部件。例如,系統控制器380使用對處理系統300的腔室302、304、306、310、312、314、316、工廠界面320、和匣330的直接控制而控制處理系統300的操作。在另一實例中,系統控制器380控制與腔室302、304、306、310、312、314、316、工廠界面320、及匣330相聯的個別控制器。在操作中,系統控制器380實現來自各別腔室的數據收集和反饋,以協調處理系統300的效能。
系統控制器380大致上包括中央處理單元(CPU)382、記憶體384和支援電路386。CPU382能夠是能在工業設施中使用的任何形式的通用處理器的其中一種。記憶體384、非暫時性電腦可讀媒體、或機器可讀儲存裝置可由CPU 382存取,並且能夠是下述記憶體之一或多者:諸如隨機存取記憶體(RAM)、唯讀記憶體(ROM)、軟碟、硬碟機、或任何其他形式的本地或遠端的數位儲存裝置。支援電路386耦接CPU 382,並且能夠包括高速緩衝儲存器、時脈電路、輸入/輸出子系統、電源供應器、及類似物。系統控制器380設置成執行儲存在記憶體384中的方法100。一般能夠在CPU 382的控制下藉由執行儲存在記憶體384(或特定處理腔室的記憶體384)中作為電腦程式產品或軟體常式的電腦指令碼實施本案揭示內容中揭示的各種實施例。即,電腦程式產品有形地體現在記憶體384(或非暫時性電腦可讀媒體、或機器可讀儲存裝置)上。當CPU 382執行電腦指令碼時,CPU 382控制腔室以執行根據各種實施例的操作。
如上文所述,方法包括:在處理系統中形成源極/汲極區域,在處理系統中於源極/汲極區域上形成摻雜的半導體層,在處理系統中於摻雜的半導體層上形成金屬矽化物層,在金屬矽化物層上面形成介電材料,在介電材料中形成溝槽以暴露金屬矽化物層的一部分,以及以導體填充溝槽。在不破真空的情況下形成源極/汲極區域、摻雜的半導體層和金屬矽化物層。半導體元件包括複數個層,並且該半導體元件具有減少的接觸電阻。處理系統設置為執行該方法並形成半導體元件。
本案揭示內容的實施例使得能夠透過使用整合製程形成具有減少的接觸電阻的源極/汲極接觸件,這容許在相同的處理系統內執行源極/汲極接觸件形成的各種操作。在一些實施例中,在形成源極/汲極區域之後,在源極/汲極區域上形成摻雜的半導體層。摻雜的半導體層的摻雜劑濃度高於源極/汲極區域的摻雜劑濃度,並且較高的摻雜劑濃度導致降低的接觸電阻。
儘管前述內容是針對本案揭示內容的實施例,但是在不脫離本案揭示內容的基本範疇的情況下,可以設計本案揭示內容的其他和進一步的實施例,並且本案揭示內容的範疇由下文的申請專利範圍決定。
100:方法 102~118:操作 200:基板 202:半導體層 204:半導體結構 206:介電材料 207:表面 208:源極/汲極區域 209:表面 210~216:刻面 220:摻雜的半導體層 222:金屬矽化物層 224:接觸件蝕刻停止層 226:介電材料 228:溝槽 230:導體 290:半導體元件 300:處理系統 302:處理腔室 310:移送腔室 312:裝載閘腔室 314:處理腔室 316:處理腔室 320:工廠界面 330:匣 380:系統控制器 382:CPU 384:記憶體 386:支援電路
為了能夠詳細地理解本案揭示內容的上述特徵的方式,可透過參考實施例(其中一些在附圖中說明)而對上文簡要總結的本案揭示內容進行更詳細的描述。然而,應注意附圖僅說明示範性實施例,因此不應被認為是對本案揭示內容的範疇的限制,且能夠允許其他等效實施例。
圖1是根據一個實施例的用於形成半導體元件的方法操作的流程圖。
圖2A至圖2H說明根據一個實施例的基板的剖面圖。
圖3說明根據一個實施例的處理系統的示意性頂視圖。
為了便於理解,如可能則使用相同的元件符號表示圖中共通的相同元件。考量一個實施例的元件和特徵能夠有益地結合於其他實施例中,而無需贅述。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無
200:基板
202:半導體層
204:半導體結構
206:介電材料
208:源極/汲極區域
220:摻雜的半導體層
222:金屬矽化物層
224:接觸件蝕刻停止層
226:介電材料
230:導體
290:半導體元件

Claims (20)

  1. 一種用於形成半導體元件的方法,該方法包括: 在一基板上形成一源極/汲極區域; 在該源極/汲極區域上形成一摻雜的半導體層; 在該處理系統中於該摻雜的半導體層上形成一金屬矽化物層,其中該源極/汲極區域、該摻雜的半導體層、及該金屬矽化物層是在不破真空的情況下形成; 在該金屬矽化物層上面形成一介電材料; 在該介電材料中形成一溝槽,以暴露該金屬矽化物層的一部分;以及 以一導體填充該溝槽。
  2. 如請求項1所述之方法,其中該方法是在一單一的處理系統中執行。
  3. 如請求項1所述之方法,進一步包括:在形成該源極/汲極區域之前,執行一預清潔製程。
  4. 如請求項1所述之方法,其中該摻雜的半導體層包覆圍繞該源極/汲極區域。
  5. 如請求項1所述之方法,其中該金屬矽化物層包覆圍繞該源極/汲極區域。
  6. 如請求項1所述之方法,進一步包括:在該金屬矽化物層上面形成一接觸件蝕刻停止層,其中該介電材料形成於該接觸件蝕刻停止層上。
  7. 一種半導體元件,包括: 一源極/汲極區域,從一半導體結構延伸; 一摻雜的半導體層,配置在該源極/汲極區域上並且包覆圍繞該源極/汲極區域; 一金屬矽化物層,配置在該摻雜的半導體層上並且包覆圍繞該源極/汲極區域;以及 一導體,配置在該金屬矽化物層上。
  8. 如請求項7所述之半導體元件,其中該源極/汲極區域包括矽、鍺、矽鍺、或III/V族化合物半導體。
  9. 如請求項8所述之半導體元件,其中該源極/汲極區域是以一摻雜劑摻雜。
  10. 如請求項9所述之半導體元件,其中該摻雜的半導體層的摻雜劑濃度高於該源極/汲極區域的摻雜劑濃度。
  11. 如請求項7所述之半導體元件,其中該源極/汲極區域包括一金屬矽化物。
  12. 如請求項7所述之半導體元件,其中該摻雜的半導體層包括以硼及鎵摻雜的鍺錫、以硼摻雜的矽鍺、以硼及鎵摻雜的鍺、或以磷及砷摻雜的矽。
  13. 如請求項7所述之半導體元件,其中該金屬矽化物層包括:矽化鈦、矽化鈷、矽化釕、矽化鎢、或矽化鉬。
  14. 如請求項7所述之半導體元件,進一步包括配置在該金屬矽化物層的一部分上的一接觸件蝕刻停止層。
  15. 如請求項14所述之半導體元件,其中該接觸件蝕刻停止層包括一介電材料。
  16. 一種處理系統,包括: 一移送腔室; 複數個處理腔室,耦接該移送腔室;及 一控制器,設置為引發在該處理系統中執行一製程,該製程包括: 形成一源極/汲極區域; 在該源極/汲極區域上形成一摻雜的半導體層;及 在該摻雜的半導體層上形成一金屬矽化物層,其中該源極/汲極區域、該摻雜的半導體層、及該金屬矽化物層是在不破真空的情況下形成。
  17. 如請求項16所述之處理系統,其中該製程進一步包括:在該金屬矽化物層上面形成一介電材料;在該介電材料中形成一溝槽,以暴露該金屬矽化物層的一部分;及以一導體填充該溝槽。
  18. 如請求項17所述之處理系統,其中該製程進一步包括:在該金屬矽化物層上形成一覆蓋層,其中該覆蓋層包括一介電材料。
  19. 如請求項17所述之處理系統,其中該製程進一步包括:在該金屬矽化物層上面形成一接觸件蝕刻停止層,其中該介電材料形成於該接觸件蝕刻停止層上。
  20. 如請求項16所述之處理系統,進一步包括一預清潔腔室。
TW109103807A 2019-02-08 2020-02-07 半導體元件,製作半導體元件之方法,及處理系統 TWI828854B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201962803374P 2019-02-08 2019-02-08
US62/803,374 2019-02-08

Publications (2)

Publication Number Publication Date
TW202046452A true TW202046452A (zh) 2020-12-16
TWI828854B TWI828854B (zh) 2024-01-11

Family

ID=71946380

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109103807A TWI828854B (zh) 2019-02-08 2020-02-07 半導體元件,製作半導體元件之方法,及處理系統

Country Status (6)

Country Link
US (1) US11152479B2 (zh)
JP (1) JP7266105B2 (zh)
KR (2) KR20210111894A (zh)
CN (1) CN113678260A (zh)
TW (1) TWI828854B (zh)
WO (1) WO2020163104A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI824483B (zh) * 2021-11-12 2023-12-01 台灣積體電路製造股份有限公司 半導體裝置及其形成方法

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20220037575A (ko) * 2020-09-18 2022-03-25 에스케이하이닉스 주식회사 반도체 메모리 장치 및 그 제조방법
US20230187507A1 (en) * 2021-12-10 2023-06-15 Intel Corporation Wrap-around contact with reduced resistance

Family Cites Families (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5976976A (en) 1997-08-21 1999-11-02 Micron Technology, Inc. Method of forming titanium silicide and titanium by chemical vapor deposition
US6130145A (en) 1998-01-21 2000-10-10 Siemens Aktiengesellschaft Insitu doped metal policide
US7508801B1 (en) 2003-03-21 2009-03-24 Cisco Systems, Inc. Light-weight access point protocol
KR101170210B1 (ko) 2006-05-01 2012-08-01 어플라이드 머티어리얼스, 인코포레이티드 탄소 합금된 si 필름을 사용한 초박형 접합 형성 방법
US7960236B2 (en) 2006-12-12 2011-06-14 Applied Materials, Inc. Phosphorus containing Si epitaxial layers in N-type source/drain junctions
US9054194B2 (en) * 2009-04-29 2015-06-09 Taiwan Semiconductor Manufactruing Company, Ltd. Non-planar transistors and methods of fabrication thereof
US9153583B2 (en) 2011-12-20 2015-10-06 Intel Corporation III-V layers for N-type and P-type MOS source-drain contacts
WO2013095377A1 (en) 2011-12-20 2013-06-27 Intel Corporation Self-aligned contact metallization for reduced contact resistance
JP2013197342A (ja) 2012-03-21 2013-09-30 Toshiba Corp 半導体装置および半導体装置の製造方法
US9245798B2 (en) 2012-04-26 2016-01-26 Applied Matrials, Inc. Semiconductor reflow processing for high aspect ratio fill
US10535735B2 (en) 2012-06-29 2020-01-14 Intel Corporation Contact resistance reduced P-MOS transistors employing Ge-rich contact layer
US20140065819A1 (en) 2012-09-03 2014-03-06 Intermolecular, Inc. Methods and Systems for Low Resistance Contact Formation
KR102068980B1 (ko) * 2013-08-01 2020-01-22 삼성전자 주식회사 반도체 장치 및 그 제조 방법
US10157995B2 (en) 2013-08-09 2018-12-18 Taiwan Semiconductor Manufacturing Company, Ltd. Integrating junction formation of transistors with contact formation
US20150076607A1 (en) * 2013-09-18 2015-03-19 International Business Machines Corporation Fin field effect transistor with merged metal semiconductor alloy regions
US9620601B2 (en) 2014-07-01 2017-04-11 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structures and methods of forming the same
KR102230198B1 (ko) 2014-09-23 2021-03-19 삼성전자주식회사 반도체 소자 및 이의 제조 방법
US10002876B2 (en) * 2014-10-29 2018-06-19 International Business Machines Corporation FinFET vertical flash memory
KR102349420B1 (ko) * 2015-02-17 2022-01-10 삼성전자 주식회사 메탈 실리사이드층 형성방법 및 그 방법을 이용한 반도체 소자의 제조방법
US9431536B1 (en) * 2015-03-16 2016-08-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure with raised source/drain having cap element
JP2016021586A (ja) 2015-09-07 2016-02-04 ルネサスエレクトロニクス株式会社 半導体装置
WO2017052905A1 (en) 2015-09-22 2017-03-30 Applied Materials, Inc. Apparatus and method for selective deposition
US10811262B2 (en) 2016-01-14 2020-10-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having a uniform and thin silicide layer on an epitaxial source/ drain structure and manufacturing method thereof
CN108701755A (zh) * 2016-03-28 2018-10-23 英特尔公司 用于集成mram器件的互连帽盖过程以及所产生的结构
US9853129B2 (en) 2016-05-11 2017-12-26 Applied Materials, Inc. Forming non-line-of-sight source drain extension in an nMOS finFET using n-doped selective epitaxial growth
US9997631B2 (en) 2016-06-03 2018-06-12 Taiwan Semiconductor Manufacturing Company Methods for reducing contact resistance in semiconductors manufacturing process
US10103027B2 (en) * 2016-06-20 2018-10-16 Applied Materials, Inc. Hydrogenation and nitridization processes for modifying effective oxide thickness of a film
US9893189B2 (en) 2016-07-13 2018-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Method for reducing contact resistance in semiconductor structures
US10164042B2 (en) * 2016-11-29 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10522359B2 (en) * 2016-11-29 2019-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of forming
US10269646B2 (en) * 2016-12-15 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US9812453B1 (en) * 2017-02-13 2017-11-07 Globalfoundries Inc. Self-aligned sacrificial epitaxial capping for trench silicide
JP6956551B2 (ja) 2017-03-08 2021-11-02 東京エレクトロン株式会社 酸化膜除去方法および除去装置、ならびにコンタクト形成方法およびコンタクト形成システム
US20190348415A1 (en) * 2017-03-30 2019-11-14 Intel Corporation Transistors employing cap layer for ge-rich source/drain regions
US10475654B2 (en) * 2017-08-31 2019-11-12 Taiwan Semiconductor Manufacturing Company, Ltd. Wrap-around contact plug and method manufacturing same

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI824483B (zh) * 2021-11-12 2023-12-01 台灣積體電路製造股份有限公司 半導體裝置及其形成方法

Also Published As

Publication number Publication date
KR20210111894A (ko) 2021-09-13
TWI828854B (zh) 2024-01-11
JP7266105B2 (ja) 2023-04-27
US20200258997A1 (en) 2020-08-13
WO2020163104A1 (en) 2020-08-13
KR20240063193A (ko) 2024-05-09
CN113678260A (zh) 2021-11-19
JP2022519276A (ja) 2022-03-22
US11152479B2 (en) 2021-10-19

Similar Documents

Publication Publication Date Title
US10622459B2 (en) Vertical transistor fabrication and devices
US10546957B2 (en) Nanosheet FET including all-around source/drain contact
TWI756583B (zh) 用於製造具有減少的接觸電阻的半導體元件的方法
US10796967B2 (en) Vertical field effect transistor (FET) with controllable gate length
KR20200102548A (ko) 수평 게이트 올어라운드 디바이스 나노와이어 에어 갭 스페이서 형성
US20070034945A1 (en) PMOS transistor strain optimization with raised junction regions
CN105990346A (zh) 具有衬底隔离和未掺杂沟道的集成电路结构
TWI828854B (zh) 半導體元件,製作半導體元件之方法,及處理系統
CN106409679A (zh) 具有掺杂的隔离绝缘层的鳍式场效应晶体管
TWI728609B (zh) 用於形成觸點之處理系統及方法
JP2011009412A (ja) 半導体装置およびその製造方法
WO2023040421A1 (en) Bottom junction and contact area structures for vertical transport field-effect transistors
CN104183488A (zh) 一种FinFET半导体器件及其制备方法
CN112151449A (zh) 半导体结构及其形成方法
US11626288B2 (en) Integrated contact silicide with tunable work functions
US20230411524A1 (en) Semiconductor structure and forming method thereof
TW202420506A (zh) 用於GAA CMOS技術之積體應變SiGe通道PMOS
TWI521709B (zh) 半導體結構及積體電路之製造方法
CN114586177A (zh) 用于晶体管的砷扩散轮廓工法