JP2022519276A - 半導体デバイス、半導体デバイスの製造方法、および処理システム - Google Patents

半導体デバイス、半導体デバイスの製造方法、および処理システム Download PDF

Info

Publication number
JP2022519276A
JP2022519276A JP2021545405A JP2021545405A JP2022519276A JP 2022519276 A JP2022519276 A JP 2022519276A JP 2021545405 A JP2021545405 A JP 2021545405A JP 2021545405 A JP2021545405 A JP 2021545405A JP 2022519276 A JP2022519276 A JP 2022519276A
Authority
JP
Japan
Prior art keywords
source
drain region
layer
metal silicide
processing system
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2021545405A
Other languages
English (en)
Other versions
JP7266105B2 (ja
Inventor
ゴラフ タレハ,
シュエピン リー,
アビシェーク デュベ,
イー-チャウ フアン,
アンディ ロー,
パトリシア エム. リウ,
サンジェイ ナタラジャン,
ソーラブ チョプラ,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2022519276A publication Critical patent/JP2022519276A/ja
Application granted granted Critical
Publication of JP7266105B2 publication Critical patent/JP7266105B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • H01L29/456Ohmic electrodes on silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

本開示は、一般に、半導体デバイスを形成するための方法、半導体デバイス、および処理チャンバに関する。この方法は、ソース/ドレイン領域を処理システム内で形成することと、ドープされた半導体層を処理システム内でソース/ドレイン領域上に形成することと、金属ケイ化物層を形成することと、誘電体材料を形成することと、誘電体材料にトレンチを形成することと、トレンチを導体で充填することと、を含む。ソース/ドレイン領域、ドープされた半導体層、および金属ケイ化物層は、真空を破壊することなく形成される。半導体デバイスは、複数の層を含み、半導体デバイスは、接触抵抗が低減されている。処理システムは、方法を実行し、半導体デバイスを形成するように構成される。本開示の実施形態は、ソース/ドレインコンタクト形成の様々な工程を同じ処理システム内で実行できる統合されたプロセスを使用することにより、接触抵抗が低減されたソース/ドレインコンタクトの形成を可能にする。【選択図】図2H

Description

[0001]本開示の実施形態は、概して、装置および方法に関し、より具体的には、半導体デバイス、半導体デバイスを製造する方法、および処理システムに関する。
[0002]トランジスタは、最新のデジタルプロセッサやメモリデバイスの基本的なデバイス要素であり、また大電力パワーエレクトロニクスでの用途が見出されている。現在、異なる用途に使用できる様々な半導体デバイス(トランジスタなど)の設計またはタイプがある。様々なトランジスタタイプには、例えば、電界効果トランジスタ(FET)、バイポーラ接合トランジスタ(BJT)、接合電界効果トランジスタ(JFET)、金属酸化膜半導体電界効果トランジスタ(MOSFET)、垂直チャネルまたはトレンチ電界効果トランジスタ、およびスーパージャンクションまたはマルチドレイントランジスタが含まれる。MOSFETファミリのトランジスタに登場したトランジスタの1つのタイプは、フィン電界効果トランジスタ(FinFET)である。
[0003]FinFETは、バルク半導体基板、例えば、シリコン基板上に製造することができ、基板の表面に沿って長さ方向に走り、基板表面に垂直な高さ方向に延びるフィンのような構造を備える。フィンの幅は狭い(例えば、250ナノメートル未満)。フィンは、絶縁層を通過できる。導電性ゲート材料とゲート絶縁体を含むゲート構造を、フィンの領域上に形成することができる。フィンの上部が、ゲート構造の両側でドープされて、ゲートに隣接するソース/ドレイン領域を形成する。
[0004]FinFETは、より小さなサイズへの相補型MOSFETのスケーリングに適した静電特性を備えている。フィンは3次元構造であるので、トランジスタのチャネルをフィンの3つの表面に形成でき、これにより、FinFETは、基板上に占める所与の表面積に対して高い電流スイッチング能力を発揮できる。チャネルとデバイスを基板表面より高くすることができるので、従来のプレーナ型MOSFETと比較して、隣接するデバイス間の電界結合を減らすことができる。
[0005]半導体の設計、製造、および動作における重要な課題は、接触抵抗である。例えば、FinFETデバイスのソースおよびドレイン領域は、ソース/ドレインコンタクトトレンチを形成するためのエッチングプロセスによって侵食され、接触抵抗が増加する可能性がある。接触抵抗の増加の結果、半導体基板上に形成されたトランジスタやその他のデバイス構造を含む回路デバイスの性能が低下する。
[0006]したがって、接触抵抗が低減された半導体デバイスを形成するための改善された半導体処理方法が必要である。
[0007]本開示の実施形態は、概して、半導体デバイス、半導体デバイスを製造する方法、および処理システムに関する。この方法により、接触抵抗が低減された半導体デバイスが得られる。この方法は、処理システムで実行することができる。
[0008]一実施形態では、半導体デバイスを形成するための方法が提供される。この方法は、基板内にソース/ドレイン領域を形成することと、ドープされた半導体層をソース/ドレイン領域上に形成することと、処理システム内で、ドープされた半導体層上に金属ケイ化物層を形成することと、金属ケイ化物層の上方に誘電体材料を形成することと、金属ケイ化物層の一部を露出させるように、誘電体材料にトレンチを形成することと、トレンチを導体で充填することと、を含む。ソース/ドレイン領域、ドープされた半導体層、および金属ケイ化物層は、真空を破壊することなく形成される。
[0009]別の実施形態では、半導体デバイスが提供される。半導体デバイスは、半導体構造から延びるソース/ドレイン領域、ソース/ドレイン領域上に配置され、ソース/ドレイン領域を包み込むドープされた半導体層、ドープされた半導体層上に配置され、ソース/ドレイン領域を包み込む金属ケイ化物層、および金属ケイ化物層上に配置された導体を含む。
[0010]さらに別の実施形態では、処理システムが提供される。処理システムは、移送チャンバ、移送チャンバに連結された複数のプロセスチャンバ、およびコントローラを含む。コントローラは、ソース/ドレイン領域を形成すること、ドープされた半導体層をソース/ドレイン領域上に形成すること、およびドープされた半導体層上に金属ケイ化物層を形成することを含むプロセスを処理システム内で実行させるように構成される。ソース/ドレイン領域、ドープされた半導体層、および金属ケイ化物層は、真空を破壊することなく形成される。
[0011]本開示の上記の特徴が詳細に理解されるように、上記で簡単に要約された本開示のより具体的な説明が、実施形態を参照することによって得られ、そのいくつかが、添付の図面に示されている。しかしながら、添付の図面は、例示的な実施形態のみを示し、したがって、その範囲を限定すると見なされるべきではなく、他の同等に有効な実施形態を認めることができることに留意されたい。
一実施形態による、半導体デバイスを形成するための方法の工程の流れ図である。 一実施形態による、基板の断面図を示す。 一実施形態による、基板の断面図を示す。 一実施形態による、基板の断面図を示す。 一実施形態による、基板の断面図を示す。 一実施形態による、基板の断面図を示す。 一実施形態による、基板の断面図を示す。 一実施形態による、基板の断面図を示す。 一実施形態による、基板の断面図を示す。 一実施形態による、処理システムの概略上面図を示す。
[0015]理解を容易にするため、可能な場合には、図に共通する同一の要素を示すために同一の参照番号が使用されている。一実施形態の要素および特徴は、さらに列挙することなく、他の実施形態に有益に組み込まれ得ることが企図される。
[0016]本明細書に記載の実施形態は、半導体デバイスを形成する方法、半導体デバイス、および処理システムを含む。この方法は、ソース/ドレイン領域を形成することと、ドープされた半導体層を形成することと、ドープされた半導体層上に金属ケイ化物層を形成することと、誘電体材料を形成することと、誘電体材料にトレンチを形成することと、トレンチを導体で充填することと、を含む。ソース/ドレイン領域、ドープされた半導体層、および金属ケイ化物層は、真空を破壊することなく形成される。この方法から半導体デバイスを形成することができる。半導体デバイスは、複数の層を含み、半導体デバイスは、接触抵抗が低減されている。処理システムは、方法を実行し、半導体デバイスを形成するように構成される。ドープされた半導体層は、ソース/ドレイン領域よりも高いドーパント濃度を有し、より高いドーパント濃度は、接触抵抗の低下につながる。本明細書に開示される実施形態は、接触抵抗が低減された半導体デバイスに有用であり得るが、これに限定されない。
[0017]上記は、本開示に記載されている技術の概要を大まかに示している。本開示のコンセプトは、プレーナ型トランジスタデバイス、またはフィン電界効果トランジスタ(FinFET)、水平ゲートオールアラウンド(HGAA)FET、垂直ゲートオールアラウンド(VGAA)FET、ナノワイヤチャネルFET、歪み半導体デバイスなどの3次元トランジスタデバイスに対して実施できることが企図されている。
[0018]本明細書で使用される場合、「約」という用語は、表示値からの+/-10%の変動を指す。そのような変動が、本明細書で提供される任意の値に含まれ得ることが理解されるべきである。
[0019]図1は、一実施形態による、半導体デバイスを形成するための方法100の工程の流れ図である。図2A~図2Hは、一実施形態による、基板200の断面図を示す。方法100の工程が、図1および図2A~図2Dと併せて説明されているが、当業者は、方法の工程を任意の順序で実行するように構成された任意のシステムが、本明細書に記載の実施形態の範囲内にあることを理解するであろう。方法100は、本明細書に提示されていない他の任意の半導体構造を形成するために利用できることに留意されたい。当業者は、半導体デバイスおよび関連する構造を形成するための完全なプロセスが、図面に示されているわけではなく、本明細書に記載されているわけでもないことを認識すべきである。
[0020]方法100は、基板200をプロセスチャンバに配置することにより、工程102で開始する。一例では、プロセスチャンバは、エッチングチャンバである。図2Aに示されるように、基板200は、半導体層202、半導体層202から延びる少なくとも1つの半導体構造204、および半導体層202上で、隣接する半導体構造204の間に配置された誘電体材料206を含む。半導体構造204は、半導体フィンであり得る。半導体層202は、シリコン、ゲルマニウム、シリコンゲルマニウム、III/V族化合物半導体、ヒ化ガリウム(GaAs)および/もしくはヒ化インジウムガリウム(InGaAs)、または他の半導体材料から製造することができる。半導体層202は、p型またはn型ドーパントでドープすることができる。例えば、半導体層202は、ホウ素(B)などのp型ドーパントでドープされているか、またはリン(P)および/もしくはヒ素(As)などのn型ドーパントでドープされている。半導体構造204は、半導体層202と同じ材料から製造することができる。半導体構造204は、半導体層202と統合することができる。誘電体材料206は、シャロートレンチアイソレーション(STI)領域を含むことができ、二酸化ケイ素(SiO)、窒化ケイ素(Si)、炭窒化ケイ素(SiCN)、それらの混合物、または任意の他の適切な誘電体材料から製造することができる。
[0021]工程104において、少なくとも1つの半導体構造204の一部が除去されて、半導体構造204の残りの部分の表面207が露出される。半導体構造204の表面207は、図2Bに示されるように、誘電体材料206の表面209から凹ませることができる。他の実施形態では、表面207は、誘電体材料206の表面209と同一平面上にある。半導体構造204の一部の除去は、エッチングチャンバ内で実行されるエッチングプロセスによって行うことができる。
[0022]工程106において、ソース/ドレイン領域208が、半導体構造204の表面207上に形成される。ソース/ドレイン領域208は、図2Cに示されるように、ソース領域またはドレイン領域であり得る。ソース/ドレイン領域208は、統合されたソースおよびドレイン領域208を含むことができる。いずれの例においても、ソース/ドレイン領域208は、半導体構造204の表面207上にエピタキシャル成長させた半導体材料から製造される。ソース/ドレイン領域208は、処理システムのエピタキシャル堆積チャンバ内で形成することができる。基板200は、ソース/ドレイン領域208を横切って配置された複数のゲート(図示せず)を含むことができる。
[0023]本明細書に記載の1つ以上の実施形態を含むか、またはそれらと組み合わせることができる一実施形態では、ソース/ドレイン領域208は、シリコン、ゲルマニウム、シリコンゲルマニウム、またはヒ化ガリウム(GaAs)および/もしくはヒ化インジウムガリウム(InGaAs)などのIII/V族化合物半導体、またはその他の適切な半導体から製造される。ソース/ドレイン領域208は、p型またはn型ドーパントでドープすることができる。一例では、ソース/ドレイン領域208は、Bなどのp型ドーパントでドープされる。あるいは、ソース/ドレイン領域208は、Pおよび/またはAsなどのn型ドーパントでドープされる。ソース/ドレイン領域208は、ケイ化チタン、ケイ化タングステン、ケイ化モリブデン、ケイ化ルテニウム、ケイ化コバルト、または上記の任意の組み合わせなどの金属ケイ化物から製造することができる。
[0024]金属ケイ化物のソース/ドレイン領域208は、半導体のソース/ドレイン領域208と比較して抵抗が低減されている。ソース/ドレイン領域208は、半導体構造204の表面207上で選択的にエピタキシャル成長し、異なる表面平面上での異なる成長速度のために、ファセット210、212、214、216は、図2Cに示されるように、ソース/ドレイン領域208が菱形を有するように形成され得る。
[0025]ソース/ドレイン領域208を形成する前に、半導体構造204の表面207上で前洗浄プロセスを実行することができる。半導体構造204の表面207上の炭素または酸化物汚染物質などの汚染物質を除去するために、前洗浄プロセスが実行される。前洗浄プロセスは、ドライエッチング、ウェットエッチング、またはそれらの組み合わせなどの任意の適切なエッチングプロセスを含むことができる。前洗浄プロセスは、等方性または指向性であり得る。一例では、前洗浄プロセスは、遠隔プラズマ源を使用するプロセスチャンバ内で実行される。前洗浄プロセスの実行に適したプロセスチャンバの一例は、カリフォルニア州サンタクララのアプライドマテリアルズ社から入手可能なAKTIV Pre-Clean(商標)チャンバまたはSICONI(登録商標)洗浄チャンバである。あるいは、前洗浄プロセスは、誘導結合プラズマ(ICP)源を使用するエッチングチャンバなどのエッチングチャンバ内で実行される。エッチングチャンバの一例は、カリフォルニア州サンタクララのアプライドマテリアルズ社から入手可能な改良されたデカップルドプラズマ窒化(DPN)チャンバであり得る。しかしながら、他の製造業者からの他の適切に構成されたチャンバもまた、前洗浄プロセスを実行するために実施され得ることが企図される。
[0026]工程108で、ドープされた半導体層220が、図2Dに示されるように、処理システム内でソース/ドレイン領域208上に形成される。ドープされた半導体層220は、選択的エピタキシャル堆積プロセスによって形成することができる。ドープされた半導体層220は、ソース/ドレイン領域208と同じ処理システム内で形成される。一例では、ドープされた半導体層220は、ソース/ドレイン領域208と同じエピタキシャル堆積チャンバ内で形成される。別の例では、ドープされた半導体層220は、処理システムの別のエピタキシャル堆積チャンバ内で形成される。ソース/ドレイン領域208およびドープされた半導体層220は、同じ処理システム内で形成されるので、プロセス(例えば、工程106および工程108)間に真空破壊はない。したがって、プロセス間で前洗浄プロセスは必要ない。
[0027]ドープされた半導体層220は、ファセット210、212、214、216上に形成されるが、誘電体材料206上には形成されない。ドープされた半導体層220は、エピタキシャル堆積プロセスによって形成されるので、ドープされた半導体層220は、ソース/ドレイン領域208のファセット210、212、214、216を共形に覆う。言い換えれば、共形のドープされた半導体層220は、ソース/ドレイン領域208を包み込む。選択的エピタキシャル堆積プロセスは、基板が約450°C未満の温度に維持されている間に実行できる。ドープされた半導体層220は、ドープされた半導体層220のドーパント濃度がソース/ドレイン領域208のドーパント濃度よりもかなり高いことを除いて、ソース/ドレイン領域208と同じ材料から製造することができる。例えば、ドープされた半導体層220は、Bおよび/もしくはガリウム(Ga)でドープされたゲルマニウムスズ(GeSn)、Bでドープされたシリコンゲルマニウム(SiGe)、Bおよび/もしくはGaでドープされたゲルマニウム(Ge)、またはPおよび/もしくはAsでドープされたシリコンから製造することができる。一例では、ドープされた半導体層220は、第1の層および第2の層を含み、第1の層は、Asでドープされたシリコン層であり、第2の層は、Pでドープされたシリコン層である。
[0028]一実施形態によれば、ドープされた半導体層220は、ドーパントソークプロセスによって形成される。ドーパントソークプロセス中に、各ファセット210、212、214、216から所定の深さまでなどの、ソース/ドレイン領域208の外側部分が、ドープされた半導体層220に変換される。例えば、ソース/ドレイン領域208のファセット210、212、214、216が、ドーパントソークプロセス中に、Ga、B、P、および/またはAsなどの1種以上のドーパントを含む1種以上のガスに曝される。ドープされた半導体層220は、約1Åから約10nmの範囲の厚さを有することができる。ソース/ドレイン領域208のドーパント濃度は、ドープされた半導体層220のドーパント濃度よりも低い。ドープされた半導体層220におけるドーパント濃度の増加は、接触抵抗を減少させる。
[0029]ドープされた半導体層220は、カリフォルニア州サンタクララのアプライドマテリアルズ社から入手可能な減圧(RP)エピチャンバ内で形成することができる。しかしながら、他の製造業者からの他の適切に構成されたチャンバもまた、ドープされた半導体層220を形成するために選択的エピタキシャル堆積またはドーパントソークプロセスを実行するように実施され得ることが企図される。
[0030]工程110において、金属ケイ化物層222が、図2Eに示されるように、処理システム内で、ドープされた半導体層220上に形成される。一例では、金属ケイ化物層222は、化学気相堆積(CVD)プロセスによって形成される。別の例では、金属ケイ化物層222は、エピタキシャル堆積プロセスによって形成される。例えば、金属ケイ化物層222は、選択的エピタキシャル堆積プロセスの結果として、ドープされた半導体層220上に形成されるが、誘電体材料206上には形成されない。金属ケイ化物層222は、エピタキシャル堆積プロセスによって形成されるので、金属ケイ化物層222は、ソース/ドレイン領域208のファセット210、212、214、216を共形に覆う。言い換えれば、共形の金属ケイ化物層222は、ソース/ドレイン領域208を包み込む。
[0031]金属ケイ化物層222は、ケイ化チタン、ケイ化コバルト、ケイ化ルテニウム、ケイ化タングステン、ケイ化モリブデン、上記の任意の組み合わせ、または他の適切な金属ケイ化物を含むことができる。一例では、金属ケイ化物層222は、ドープされた半導体層220と同じプロセスチャンバ内で形成される。別の例では、金属ケイ化物層222は、エピタキシャル堆積チャンバなどの、処理システムの別のプロセスチャンバ内で形成される。ドープされた半導体層220および金属ケイ化物層222は、同じ処理システム内で形成されるので、プロセス(例えば、工程108および工程110)間に真空破壊はない。プロセス間で前洗浄プロセスは必要ない。
[0032]任意選択のキャップ層が、金属ケイ化物層222上に形成されてもよい。キャップ層は、誘電体を含むことができる。キャップ層は、窒化チタン、窒化ケイ素、酸化アルミニウム、酸化ハフニウム、酸化ジルコニウム、酸化マンガン、または上記の任意の組み合わせなどの窒化物または酸化物材料から製造することができる。いくつかの実施形態では、キャップ層は、金属ケイ化物層222を窒化することによって形成され、キャップ層は、金属窒化ケイ素層である。窒化プロセスは、窒素原子が金属ケイ化物層222の露出表面に存在する原子と化学的に反応して表面窒化物層(例えば、キャップ層)を形成するように、金属ケイ化物層222を窒素含有プラズマまたは窒素含有周囲環境に曝露することを含むことができる。窒化プロセスは、誘導結合プラズマ(ICP)源、容量結合プラズマ(CCP)源、またはそれらの組み合わせなどのプラズマ源を使用して、プラズマチャンバ内で実行することができる。窒化プロセスは、カリフォルニア州サンタクララのアプライドマテリアルズ社から入手可能な改良されたデカップルドプラズマ窒化(DPN)チャンバ、または他の適切なチャンバ内で実行することができる。
[0033]工程112において、コンタクトエッチング停止層(CESL)224が、図2Fに示されるように、誘電体材料206および金属ケイ化物層222上に形成される。CESL224は、例えば、窒化ケイ素、酸窒化ケイ素、炭窒化ケイ素、またはそれらの組み合わせなどの誘電体材料から製造される。
[0034]工程114において、誘電体材料226が、図2Fに示されるように、CESL224上に形成される。誘電体材料226は、層間誘電体であり得、酸化ケイ素、窒化ケイ素、酸窒化ケイ素、炭化ケイ素、またはそれらの組み合わせなどの誘電体材料から製造することができる。
[0035]工程116において、トレンチ228が誘電体材料226に形成されて、図2Gに示されるように、ファセット210、212上に配置された金属ケイ化物層222の一部を露出させる。トレンチ228は、ソース/ドレイン領域208のファセット210、212上に配置された誘電体材料226およびCESL224の一部を除去することによって形成され、金属ケイ化物層222の一部が露出される。トレンチ228は、任意の適切な除去プロセスによって形成することができる。一例では、トレンチ228は、反応性イオンエッチング(RIE)プロセスによって形成される。トレンチ228は、RIEチャンバまたは他の適切なエッチングチャンバ内で形成することができる。
[0036]工程118において、導体230が、図2Hに示されるように、トレンチ228を充填するためにトレンチ228内に形成される。導体230は、金属などの導電性材料から製造される。一例では、導体230は、コバルトを含む。導体230は、1つ以上の堆積プロセスによって形成することができる。例えば、導体230は、最初にシード層を形成し、次にシード層上にバルクフィルを形成することによって形成される。シード層とバルクフィルは、同じ材料から製造される。導体230は、化学気相堆積(CVD)または物理気相堆積(PVD)などの任意の適切な堆積方法によって形成することができる。こうして、方法100は、半導体デバイス290の形成をもたらす。
[0037]工程106、108、および110は、トランジスタのソース/ドレインコンタクトの接触抵抗を低減するために実行される。いくつかの実施形態では、工程108および110のうちの1つ以上の工程が、低減された接触抵抗を依然として達成しながら省略され得る。
[0038]本明細書に記載の1つ以上の実施形態を含むか、またはそれと組み合わせることができる一実施形態では、工程106が実行され、続いて工程112が実行され、工程108および110は実行されない。例えば、金属ケイ化物ソース/ドレイン領域208が形成された後、CESL224が、ソース/ドレイン領域208上に形成され、ドープされた半導体層220および金属ケイ化物層222は、ソース/ドレイン領域208とCESL224の間に形成されない。
[0039]図3は、一実施形態による、処理システム300の概略上面図を示す。処理システム300は、方法100を実行するように構成される。本明細書で提供される教示に従って適切に改良することができる処理システムの例には、カリフォルニア州サンタクララにあるアプライドマテリアルズ社から市販されているENDURA(登録商標)、PRODUCER(登録商標)またはCENTURA(登録商標)統合処理システムまたは他の適切な処理システムが含まれる。他の処理システム(他の製造業者からのものを含む)が、本明細書に記載の態様から利益を得るように適合され得ることが企図される。
[0040]示されるように、処理システム300は、複数のプロセスチャンバ302、314、316、第1の移送チャンバ304、通過チャンバ306、第2の移送チャンバ310、ファクトリインターフェース(FI)320、ポッド330、およびシステムコントローラ380を含む。複数のプロセスチャンバ302は、第1の移送チャンバ304に連結されている。第1の移送チャンバ304はまた、通過チャンバ306の第1の対に連結されている。第1の移送チャンバ304は、通過チャンバ306とプロセスチャンバ302との間で基板を移送するための中央に配置された移送ロボット(図示せず)を有する。通過チャンバ306は、第2の移送チャンバ310に連結されており、第2の移送チャンバ310は、前洗浄プロセスを実行するように構成されたプロセスチャンバ314および選択的エピタキシャル堆積プロセス(工程106、108、110)を実行するように構成されたプロセスチャンバ316に連結されている。第2の移送チャンバ310は、一組のロードロックチャンバ312とプロセスチャンバ314またはプロセスチャンバ316との間で基板を移送するための中央に配置された移送ロボット(図示せず)を有する。ファクトリインターフェース320は、ロードロックチャンバ312によって第2の移送チャンバ310に接続されている。ファクトリインターフェース320は、ロードロックチャンバ312の反対側で1つ以上のポッド330に連結されている。ポッド330は、通常、クリーンルームからアクセス可能な正面開口式一体型ポッド(FOUP)である。
[0041]動作中、基板は、最初にプロセスチャンバ314に移送され、そこで前洗浄プロセスが実行されて、半導体構造の露出表面から炭素または酸化物汚染物質などの汚染物質が除去される。次に、基板は、プロセスチャンバ316に移送され、そこで工程106、108、および110が実行される。いくつかの実施形態では、プロセスチャンバ314および/またはプロセスチャンバ316は、1つ以上のプロセスチャンバ302のいずれかと切り替えることができる。いくつかの実施形態では、工程106、108、110は、異なるプロセスチャンバ302において実行される。例えば、工程106は、第1のプロセスチャンバ302で実行され、工程108は、第2のプロセスチャンバ302で実行され、工程110は、第3のプロセスチャンバ302で実行される。
[0042]次に、基板は、1つ以上のプロセスチャンバ302に移送され、そこで、工程112から工程118が実行される。工程106、108、110、112、114、116、118の全てが、同じ処理システム300内で実行されるので、基板が様々なチャンバに移送されるときに真空が破壊されず、汚染の可能性が減少し、堆積されたエピタキシャル膜の品質が向上する。
[0043]システムコントローラ380が、処理システム300またはその構成要素を制御するために処理システム300に連結されている。例えば、システムコントローラ380は、処理システム300のチャンバ302、304、306、310、312、314、316、ファクトリインターフェース320、およびポッド330の直接制御を使用して、処理システム300の動作を制御する。別の例では、システムコントローラ380は、チャンバ302、304、306、310、312、314、316、ファクトリインターフェース320、およびポッド330に関連付けられた個々のコントローラを制御する。動作中、システムコントローラ380は、それぞれのチャンバからのデータ収集およびフィードバックが、処理システム300の性能を調整するのを可能にする。
[0044]システムコントローラ380は、一般に、中央処理装置(CPU)382、メモリ384、およびサポート回路386を含む。CPU382は、産業環境で使用することができる任意の形態の汎用プロセッサの1つであり得る。メモリ384、非一時的なコンピュータ可読媒体、または機械可読記憶装置が、CPU382によってアクセス可能であり、ランダムアクセスメモリ(RAM)、読み出し専用メモリ(ROM)などのメモリ、フロッピーディスク、ハードディスク、またはローカルもしくはリモートの任意の他の形態のデジタルストレージのうちの1つ以上であり得る。サポート回路386は、CPU382に連結され、キャッシュ、クロック回路、入力/出力サブシステム、電源などを含むことができる。システムコントローラ380は、メモリ384に格納された方法100を実行するように構成されている。本開示で開示された様々な実施形態は、一般に、例えば、コンピュータプログラム製品またはソフトウェアルーチンとしてメモリ384(または特定のプロセスチャンバのメモリ)に格納されたコンピュータ命令コードを実行することによって、CPU382の制御下で実施することができる。すなわち、コンピュータプログラム製品は、メモリ384(または非一時的なコンピュータ可読媒体または機械可読記憶装置)上に有形に具現化される。コンピュータ命令コードがCPU382によって実行されるとき、CPU382は、様々な実施形態に従って工程を実行するようにチャンバを制御する。
[0045]上記のように、方法は、処理システム内でソース/ドレイン領域を形成することと、処理システム内でソース/ドレイン領域上に、ドープされた半導体層を形成することと、処理システム内で、ドープされた半導体層上に金属ケイ化物層を形成することと、金属ケイ化物層の上方に誘電体材料を形成することと、金属ケイ化物層の一部を露出させるように、誘電体材料にトレンチを形成することと、トレンチを導体で充填することと、を含む。ソース/ドレイン領域、ドープされた半導体層、および金属ケイ化物層は、真空を破壊することなく形成される。半導体デバイスは、複数の層を含み、半導体デバイスは、接触抵抗が低減されている。処理システムは、方法を実行し、半導体デバイスを形成するように構成される。
[0046]本開示の実施形態は、ソース/ドレインコンタクト形成の様々な工程を同じ処理システム内で実行できる統合されたプロセスを使用することにより、接触抵抗が低減されたソース/ドレインコンタクトの形成を可能にする。いくつかの実施形態では、ソース/ドレイン領域の形成後、ドープされた半導体層が、ソース/ドレイン領域上に形成される。ドープされた半導体層は、ソース/ドレイン領域よりも高いドーパント濃度を有し、より高いドーパント濃度は、接触抵抗の低下につながる。
[0047]上記は、本開示の実施形態に向けられているが、本開示の他のさらなる実施形態が、その基本的な範囲から逸脱することなく考案されることができ、その範囲は、以下の特許請求の範囲によって決定される。
[0043]システムコントローラ380が、処理システム300またはその構成要素を制御するために処理システム300に連結されている。例えば、システムコントローラ380は、処理システム300のチャンバ302、304、306、310、312、314、316、ファクトリインターフェース320、およびポッド330の直接制御を使用して、処理システム300の動作を制御する。別の例では、システムコントローラ380は、チャンバ302、304、306、310、312、314、316、ファクトリインターフェース320、およびポッド330に関連付けられた個々のコントローラを制御する。動作中、システムコントローラ380は、それぞれのチャンバからのデータ収集およびフィードバックが、処理システム300の性能を調整するのを可能にする。いくつかの実施形態では、フィードバック、収集されたデータ、および/またはシステム性能もしくは制御に関連する任意のデータを、GUIディスプレイ360に表示することができる。

Claims (15)

  1. 半導体デバイスを形成するための方法であって、
    ソース/ドレイン領域を基板上に形成することと、
    ドープされた半導体層を前記ソース/ドレイン領域上に形成することと、
    処理システム内で金属ケイ化物層を前記ドープされた半導体層上に形成することと、
    誘電体材料を前記金属ケイ化物層の上方に形成することと、
    前記金属ケイ化物層の一部を露出させるように、前記誘電体材料にトレンチを形成することと、
    前記トレンチを導体で充填することと、
    を含み、前記ソース/ドレイン領域、前記ドープされた半導体層、および前記金属ケイ化物層が、真空を破壊することなく形成される、方法。
  2. 前記方法が、単一の処理システム内で実行される、請求項1に記載の方法。
  3. 前記ドープされた半導体層が、前記ソース/ドレイン領域を包み込む、請求項1に記載の方法。
  4. 前記金属ケイ化物層が、前記ソース/ドレイン領域を包み込む、請求項1に記載の方法。
  5. 半導体構造から延びるソース/ドレイン領域、
    前記ソース/ドレイン領域上に配置され、前記ソース/ドレイン領域を包み込むドープされた半導体層、
    前記ドープされた半導体層上に配置され、前記ソース/ドレイン領域を包み込む金属ケイ化物層、および
    前記金属ケイ化物層上に配置された導体、
    を備える半導体デバイス。
  6. 前記ソース/ドレイン領域が、シリコン、ゲルマニウム、シリコンゲルマニウム、またはIII/V族化合物半導体を含む、請求項5に記載の半導体デバイス。
  7. 前記ソース/ドレイン領域が、ドーパントでドープされている、請求項6に記載の半導体デバイス。
  8. 前記ドープされた半導体層が、前記ソース/ドレイン領域よりも高いドーパント濃度を有する、請求項7に記載の半導体デバイス。
  9. 前記ソース/ドレイン領域が、金属ケイ化物を含む、請求項5に記載の半導体デバイス。
  10. 前記金属ケイ化物層の一部上に配置されたコンタクトエッチング停止層を、さらに備える、請求項5に記載の半導体デバイス。
  11. 前記コンタクトエッチング停止層が、誘電体材料を含む、請求項10に記載の半導体デバイス。
  12. 移送チャンバ、
    前記移送チャンバに連結された複数のプロセスチャンバ、および
    コントローラ、
    を備える処理システムであって、前記コントローラが、
    ソース/ドレイン領域を形成することと、
    ドープされた半導体層を前記ソース/ドレイン領域上に形成することと、
    金属ケイ化物層を前記ドープされた半導体層上に形成することと、
    を含むプロセスであって、前記ソース/ドレイン領域、前記ドープされた半導体層、および前記金属ケイ化物層が、真空を破壊することなく形成されるプロセスを、前記処理システム内で実行させるように構成されている、処理システム。
  13. 前記プロセスが、誘電体材料を前記金属ケイ化物層の上方に形成することと、前記金属ケイ化物層の一部を露出させるように、前記誘電体材料にトレンチを形成することと、前記トレンチを導体で充填することと、をさらに含む、請求項12に記載の処理システム。
  14. 前記プロセスが、前記金属ケイ化物層上にキャップ層を形成することをさらに含み、前記キャップ層が、誘電体材料を含む、請求項13に記載の処理システム。
  15. 前洗浄チャンバをさらに備える、請求項12に記載の処理システム。
JP2021545405A 2019-02-08 2020-01-27 半導体デバイス、半導体デバイスの製造方法、および処理システム Active JP7266105B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201962803374P 2019-02-08 2019-02-08
US62/803,374 2019-02-08
PCT/US2020/015202 WO2020163104A1 (en) 2019-02-08 2020-01-27 Semiconductor device, method of making a semiconductor device, and processing system

Publications (2)

Publication Number Publication Date
JP2022519276A true JP2022519276A (ja) 2022-03-22
JP7266105B2 JP7266105B2 (ja) 2023-04-27

Family

ID=71946380

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2021545405A Active JP7266105B2 (ja) 2019-02-08 2020-01-27 半導体デバイス、半導体デバイスの製造方法、および処理システム

Country Status (6)

Country Link
US (1) US11152479B2 (ja)
JP (1) JP7266105B2 (ja)
KR (2) KR20210111894A (ja)
CN (1) CN113678260A (ja)
TW (1) TWI828854B (ja)
WO (1) WO2020163104A1 (ja)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20220037575A (ko) * 2020-09-18 2022-03-25 에스케이하이닉스 주식회사 반도체 메모리 장치 및 그 제조방법
US20230155004A1 (en) * 2021-11-12 2023-05-18 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor source/drain contacts and methods of forming the same
US20230187507A1 (en) * 2021-12-10 2023-06-15 Intel Corporation Wrap-around contact with reduced resistance

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013197342A (ja) * 2012-03-21 2013-09-30 Toshiba Corp 半導体装置および半導体装置の製造方法
JP2016021586A (ja) * 2015-09-07 2016-02-04 ルネサスエレクトロニクス株式会社 半導体装置
US20160087053A1 (en) * 2014-09-23 2016-03-24 Jin-Bum Kim Semiconductor device and method of fabricating the same
US9431536B1 (en) * 2015-03-16 2016-08-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure with raised source/drain having cap element
US20170207095A1 (en) * 2016-01-14 2017-07-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US20170352762A1 (en) * 2016-06-03 2017-12-07 Taiwan Semiconductor Manufacturing Co., Ltd. Methods for reducing contact resistance in semiconductor manufacturing process
JP2018148193A (ja) * 2017-03-08 2018-09-20 東京エレクトロン株式会社 酸化膜除去方法および除去装置、ならびにコンタクト形成方法およびコンタクト形成システム

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5976976A (en) 1997-08-21 1999-11-02 Micron Technology, Inc. Method of forming titanium silicide and titanium by chemical vapor deposition
US6130145A (en) 1998-01-21 2000-10-10 Siemens Aktiengesellschaft Insitu doped metal policide
US7508801B1 (en) 2003-03-21 2009-03-24 Cisco Systems, Inc. Light-weight access point protocol
EP2024531A2 (en) 2006-05-01 2009-02-18 Applied Materials, Inc. A method of ultra-shallow junction formation using si film alloyed with carbon
US7960236B2 (en) 2006-12-12 2011-06-14 Applied Materials, Inc. Phosphorus containing Si epitaxial layers in N-type source/drain junctions
US9054194B2 (en) * 2009-04-29 2015-06-09 Taiwan Semiconductor Manufactruing Company, Ltd. Non-planar transistors and methods of fabrication thereof
US9153583B2 (en) 2011-12-20 2015-10-06 Intel Corporation III-V layers for N-type and P-type MOS source-drain contacts
US9059024B2 (en) 2011-12-20 2015-06-16 Intel Corporation Self-aligned contact metallization for reduced contact resistance
US9245798B2 (en) 2012-04-26 2016-01-26 Applied Matrials, Inc. Semiconductor reflow processing for high aspect ratio fill
US10535735B2 (en) 2012-06-29 2020-01-14 Intel Corporation Contact resistance reduced P-MOS transistors employing Ge-rich contact layer
US20140065819A1 (en) 2012-09-03 2014-03-06 Intermolecular, Inc. Methods and Systems for Low Resistance Contact Formation
KR102068980B1 (ko) * 2013-08-01 2020-01-22 삼성전자 주식회사 반도체 장치 및 그 제조 방법
US10157995B2 (en) 2013-08-09 2018-12-18 Taiwan Semiconductor Manufacturing Company, Ltd. Integrating junction formation of transistors with contact formation
US20150076607A1 (en) * 2013-09-18 2015-03-19 International Business Machines Corporation Fin field effect transistor with merged metal semiconductor alloy regions
US9620601B2 (en) 2014-07-01 2017-04-11 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structures and methods of forming the same
US10002876B2 (en) * 2014-10-29 2018-06-19 International Business Machines Corporation FinFET vertical flash memory
KR102349420B1 (ko) * 2015-02-17 2022-01-10 삼성전자 주식회사 메탈 실리사이드층 형성방법 및 그 방법을 이용한 반도체 소자의 제조방법
WO2017052905A1 (en) 2015-09-22 2017-03-30 Applied Materials, Inc. Apparatus and method for selective deposition
CN108701755A (zh) * 2016-03-28 2018-10-23 英特尔公司 用于集成mram器件的互连帽盖过程以及所产生的结构
US9853129B2 (en) 2016-05-11 2017-12-26 Applied Materials, Inc. Forming non-line-of-sight source drain extension in an nMOS finFET using n-doped selective epitaxial growth
US10103027B2 (en) * 2016-06-20 2018-10-16 Applied Materials, Inc. Hydrogenation and nitridization processes for modifying effective oxide thickness of a film
US9893189B2 (en) 2016-07-13 2018-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Method for reducing contact resistance in semiconductor structures
US10164042B2 (en) * 2016-11-29 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10522359B2 (en) * 2016-11-29 2019-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of forming
US10269646B2 (en) * 2016-12-15 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US9812453B1 (en) * 2017-02-13 2017-11-07 Globalfoundries Inc. Self-aligned sacrificial epitaxial capping for trench silicide
US20190348415A1 (en) * 2017-03-30 2019-11-14 Intel Corporation Transistors employing cap layer for ge-rich source/drain regions
US10475654B2 (en) * 2017-08-31 2019-11-12 Taiwan Semiconductor Manufacturing Company, Ltd. Wrap-around contact plug and method manufacturing same

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013197342A (ja) * 2012-03-21 2013-09-30 Toshiba Corp 半導体装置および半導体装置の製造方法
US20160087053A1 (en) * 2014-09-23 2016-03-24 Jin-Bum Kim Semiconductor device and method of fabricating the same
US9431536B1 (en) * 2015-03-16 2016-08-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure with raised source/drain having cap element
JP2016021586A (ja) * 2015-09-07 2016-02-04 ルネサスエレクトロニクス株式会社 半導体装置
US20170207095A1 (en) * 2016-01-14 2017-07-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US20170352762A1 (en) * 2016-06-03 2017-12-07 Taiwan Semiconductor Manufacturing Co., Ltd. Methods for reducing contact resistance in semiconductor manufacturing process
JP2018148193A (ja) * 2017-03-08 2018-09-20 東京エレクトロン株式会社 酸化膜除去方法および除去装置、ならびにコンタクト形成方法およびコンタクト形成システム

Also Published As

Publication number Publication date
CN113678260A (zh) 2021-11-19
TW202046452A (zh) 2020-12-16
WO2020163104A1 (en) 2020-08-13
KR20210111894A (ko) 2021-09-13
US20200258997A1 (en) 2020-08-13
KR20240063193A (ko) 2024-05-09
JP7266105B2 (ja) 2023-04-27
US11152479B2 (en) 2021-10-19
TWI828854B (zh) 2024-01-11

Similar Documents

Publication Publication Date Title
US10622459B2 (en) Vertical transistor fabrication and devices
CN102832246B (zh) 半导体器件及其制造方法
CN102969340B (zh) 具有限定在类金刚石形状半导体结构中的沟道的FinFET器件
US10790393B2 (en) Utilizing multilayer gate spacer to reduce erosion of semiconductor Fin during spacer patterning
US11195923B2 (en) Method of fabricating a semiconductor device having reduced contact resistance
CN106158747B (zh) 半导体结构及其形成方法
JP7051902B2 (ja) 縦型トランジスタのための自己整列接点プロセスにより形成される埋め込み下部金属接点
US20180069131A1 (en) Stacked series connected vfets for high voltage applications
JP7266105B2 (ja) 半導体デバイス、半導体デバイスの製造方法、および処理システム
JP7018963B2 (ja) Vfetアーキテクチャ内の超長チャネル・デバイス
TW202038365A (zh) 用於形成觸點之處理系統及方法
US10242882B2 (en) Cyclic etch process to remove dummy gate oxide layer for fin field effect transistor fabrication
US20220293760A1 (en) Epitaxial structure for source/drain contact
JP2024507600A (ja) 非対称の閾値電圧を有するナノシート金属酸化膜半導体電界効果トランジスタ
US10461184B1 (en) Transistor having reduced gate-induced drain-leakage current
WO2023040421A1 (en) Bottom junction and contact area structures for vertical transport field-effect transistors
TWI770748B (zh) 半導體裝置及其製造方法
US9443977B1 (en) FinFET with reduced source and drain resistance

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20211014

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20211014

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20221027

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20221101

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230201

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20230322

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20230417

R150 Certificate of patent or registration of utility model

Ref document number: 7266105

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150