TW202032670A - 半導體裝置的形成方法及半導體裝置 - Google Patents

半導體裝置的形成方法及半導體裝置 Download PDF

Info

Publication number
TW202032670A
TW202032670A TW108138506A TW108138506A TW202032670A TW 202032670 A TW202032670 A TW 202032670A TW 108138506 A TW108138506 A TW 108138506A TW 108138506 A TW108138506 A TW 108138506A TW 202032670 A TW202032670 A TW 202032670A
Authority
TW
Taiwan
Prior art keywords
gate
gate spacer
spacer
layer
forming
Prior art date
Application number
TW108138506A
Other languages
English (en)
Other versions
TWI725588B (zh
Inventor
陳彥廷
李威養
楊豐誠
陳燕銘
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202032670A publication Critical patent/TW202032670A/zh
Application granted granted Critical
Publication of TWI725588B publication Critical patent/TWI725588B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28247Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon passivation or protection of the electrode, e.g. using re-oxidation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/764Air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823468MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4983Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET with a lateral structure, e.g. a Polysilicon gate with a lateral doping variation or with a lateral composition variation or characterised by the sidewalls being composed of conductive, resistive or dielectric material
    • H01L29/4991Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET with a lateral structure, e.g. a Polysilicon gate with a lateral doping variation or with a lateral composition variation or characterised by the sidewalls being composed of conductive, resistive or dielectric material comprising an air gap
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6653Unipolar field-effect transistors with an insulated gate, i.e. MISFET using the removal of at least part of spacer, e.g. disposable spacer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L2029/7858Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET having contacts specially adapted to the FinFET geometry, e.g. wrap-around contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

本發明實施例提供一種包括氣態間隔物的半導體裝置及其形成方法。在一實施例中,半導體裝置的形成方法包括:於基板之上形成閘極堆疊;於閘極堆疊的側壁上形成第一閘極間隔物;於第一閘極間隔物之上形成第二閘極間隔物;移除第二閘極間隔物的一部分,其中保留第二閘極間隔物的至少一部分;移除第一閘極間隔物,以形成第一開口;以及於移除第一閘極間隔物的步驟後,通過第一開口移除第二閘極間隔物的剩餘部分。

Description

半導體裝置的形成方法及半導體裝置
本發明實施例是關於一種半導體裝置的形成方法及半導體裝置,特別是關於一種具有間隔物的半導體裝置之形成方法及半導體裝置。
半導體裝置用於各式各樣的電子應用中,例如個人電腦、手機、數位相機與其他電子設備。半導體裝置的製造一般是透過於半導體基板上依序沉積絕緣或介電層、導電層以及半導體層的材料,並利用微影圖案化各種材料層以於半導體裝置上形成電路組件與元件。
半導體產業藉由不斷地減少最小部件尺寸持續改良各種電子組件(例如,電晶體、二極體、電阻、電容等)的積集密度,因而使得更多組件得以整合至一給定面積。然而,隨著最小部件尺寸減少,額外需解決的問題也隨之出現。
本發明實施例提供一種半導體裝置的形成方法,包括:於基板之上形成閘極堆疊;於閘極堆疊的側壁上形成第一閘極間隔物;於第一閘極間隔物之上形成第二閘極間隔物;移除第二閘極間隔物的一部分,其中保留第二閘極間隔物的至少一部分;移除第一閘極間隔物,以形成第一開口;以及於移除第一閘極間隔物的步驟後,通過第一開口移除第二閘極間隔物的剩餘部分。
本發明實施例提供一種半導體裝置的形成方法,包括:於半導體基板之上形成閘極堆疊;於閘極堆疊的側壁上形成閘極間隔物;於閘極堆疊的兩側上磊晶成長源極∕汲極區;移除閘極堆疊的至少一部分,以形成一開口;以及沉積介電層,其密封開口且於閘極間隔物的側壁上定義氣態間隔物。
本發明實施例提供一種半導體裝置,包括:閘極堆疊,位於半導體基板之上;第一閘極間隔物,設置於閘極堆疊的側壁上;接觸蝕刻停止層,鄰近於第一閘極間隔物;氣態間隔物,設置於閘極堆疊與接觸蝕刻停止層之間;以及磊晶源極∕汲極區,位於半導體基板中,其中氣態間隔物的至少一部分於磊晶源極∕汲極區與半導體基板之間延伸。
以下揭露提供了許多的實施例或範例,用於實施所提供的標的物之不同元件。各元件和其配置的具體範例描述如下,以簡化本發明實施例之說明。當然,這些僅僅是範例,並非用以限定本發明實施例。舉例而言,敘述中若提及第一元件形成在第二元件之上,可能包含第一和第二元件直接接觸的實施例,也可能包含額外的元件形成在第一和第二元件之間,使得它們不直接接觸的實施例。此外,本發明實施例可能在各種範例中重複參考數值以及∕或字母。如此重複是為了簡明和清楚之目的,而非用以表示所討論的不同實施例及∕或配置之間的關係。
再者,其中可能用到與空間相對用詞,例如「在……下方」、「在……之下」、「下方的」、「在……之上」、「上方的」等類似用詞,是為了便於描述圖式中一個(些)部件或特徵與另一個(些)部件或特徵之間的關係。空間相對用詞用以包括使用中或操作中的裝置之不同方位,以及圖式中所描述的方位。當裝置被轉向不同方位時(旋轉90度或其他方位),其中所使用的空間相對形容詞也將依轉向後的方位來解釋。
各種實施例提供了改良的閘極間隔物層的形成製程。例如,可形成第一閘極間隔物、第二閘極間隔物與第三閘極間隔物鄰近於虛置閘極堆疊。可移除部分的第三閘極間隔物。可形成磊晶源極∕汲極區與層間介電層,且可以金屬閘極堆疊取代虛置閘極堆疊。可移除第二閘極間隔物以形成開口,其露出第三閘極間隔物的剩餘部分。可通過開口進行蝕刻以移除第三閘極間隔物的剩餘部分。可於開口之上形成接觸蝕刻停止層,開口是透過移除第二閘極間隔物與第三閘極間隔物的剩餘部分所形成。可於第一閘極間隔物與層間介電層間形成氣態間隔物。
氣態間隔物可具有等於1或接近1的介電常數,其可小於第三閘極間隔物與第二閘極間隔物的剩餘部分之介電常數。以氣態間隔物取代第三閘極間隔物與第二閘極間隔物的剩餘部分,可減少所製得裝置的寄生電容(parasite capacitance)且改善所製得裝置中的電路速度。如此一來,以這些製程形成包括氣態間隔物的半導體裝置可具有改善的裝置性能。
第1圖是根據一些實施例,繪示出鰭狀場效電晶體(fin field-effect transistor, FinFET)的一範例之三維(three-dimensional)示意圖。鰭狀場效電晶體包括基板50(例如,半導體基板)上的鰭片52。淺溝槽隔離(shallow trench isolation, STI)區56設置於基板50中,且鰭片52從鄰近的淺溝槽隔離區56之間突出於淺溝槽隔離區56之上。雖然淺溝槽隔離區56被描述∕繪示為與基板50分離,在此的用詞「基板」可用以表示半導體基板或包括隔離區的半導體基板。此外,雖然鰭片52被繪示為如基板50的單一且連續的材料,鰭片52以及∕或基板50可包括單一材料或複數個材料。在此內文中,鰭片52指的是鄰近的淺溝槽隔離區56之間延伸的部分。
閘極介電層102沿著鰭片52的側壁且位於鰭片52的頂表面之上,且閘極電極104位於閘極介電層102之上。磊晶源極∕汲極區92設置於鰭片52相對於閘極介電層102與閘極電極104之兩側。第1圖更繪示出參考剖面,將用於後續圖式中。剖面A-A’係沿著閘極電極104的縱軸方向,例如,係垂直於鰭狀場效電晶體的磊晶源極∕汲極區92間的電流方向。剖面B-B’垂直於剖面A-A’並沿著其中一鰭片52的縱軸方向,例如,所述方向是鰭狀場效電晶體的磊晶源極∕汲極區92間的電流方向。剖面C-C’與剖面A-A’平行並延伸穿過鰭狀場效電晶體的磊晶源極∕汲極區92。剖面D-D’ 與剖面B-B’平行並延伸穿過鰭狀場效電晶體的閘極電極104。剖面E-E’垂直於剖面A-A’、 B-B’、 C-C’與D-D’、平行於基板50的主要表面,且延伸穿過鰭片52與閘極電極104。為了清楚起見,後續圖式將參照這些參考剖面。
在此討論的一些實施例是在利用閘極後製(gate-last)製程形成鰭狀場效電晶體的背景下進行討論。在其他實施例中,可利用閘極先製(gate-first)製程。一些實施例也將用於平面裝置如平面場效電晶體之面相納入考量。
第2至20B圖是根據一些實施例,繪示出製造鰭狀場效電晶體的過程中各個中間階段的剖面圖。第2至7圖繪示出第1圖中所示的參考剖面A-A’,但第1圖不包括多個鰭片∕鰭狀場效電晶體。第8A、9A、10A、11A、12A、13A、14A、15A、16A、17A、18A、19A、20A與21A圖係沿著第1圖所示的參考剖面A-A’所繪示。第8B、9B、10B、11B、12B、13B、14B、15B、16B、16E、17B、18B、19B、20B與21B圖係沿著第1圖所示的參考剖面B-B’所繪示。第8C、9C、10C、11C、11E、12C、13C、14C、15C、16C、17C、18C與19C圖係沿著第1圖所示的參考剖面C-C’所繪示。第8D、9D、10D、11D、12D、13D、14D、15D、16D、17D、18D與19D圖係沿著第1圖所示的參考剖面D-D’所繪示。第18E圖係沿著第1圖所示的參考剖面E-E’所繪示。
第2圖中,提供基板50。基板50可為半導體基板,如塊狀(bulk)半導體、絕緣體上覆半導體(semiconductor-on-insulator, SOI)基板或類似基板,可為摻雜(例如,以p型或n型摻質摻雜)或未摻雜。基板50可為晶圓如矽晶圓。一般而言,絕緣體上覆半導體基板為形成於絕緣層上的一層半導體材料。例如,絕緣層可為埋入氧化(buried oxide, BOX)層、氧化矽層或類似膜層。絕緣層係提供於基板上,基板一般為矽或玻璃基板。也可使用其他基板如多層(multi-layered)或梯度(gradient)基板。在一些實施例中,基板50的半導體材料可包括:矽;鍺;化合物半導體,包括碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦以及∕或銻化銦;合金半導體,包括SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP以及∕或GaInAsP;或前述之組合。
基板50具有區域50N與區域50P。區域50N可用於形成n型裝置如n型金屬氧化物半導體(N metal-oxide-semiconductor, NMOS)電晶體(例如,n型鰭狀場效電晶體)。區域50P可用於形成p型裝置如p型金屬氧化物半導體電晶體(例如,p型鰭狀場效電晶體)。區域50N可與區域50P物理性隔離(如分隔符號51所示),且在區域50N與區域50P間可設置任何數量的裝置部件(例如,其他主動元件、摻雜區、隔離結構等)。
第3圖中,鰭片52形成於基板50中。鰭片52為半導體條(strip)。在一些實施例中,可藉由蝕刻基板50中的溝槽,而於基板50中形成鰭片52。蝕刻可為任何可接受的蝕刻製程,如反應離子蝕刻(reactive ion etch, RIE)、中子束蝕刻(neutral beam etch, NBE)等或前述之組合。蝕刻可為非等向性的(anisotropic)。
可利用任何合適的方法圖案化鰭片52。例如,可利用一或多種光學微影(photolithography)製程圖案化鰭片52,光學微影製程包括雙重圖案化或多重圖案化製程。一般而言,雙重圖案化或多重圖案化製程結合光學微影與自對準(self-aligned)製程,產生具有如節距(pitch)小於使用單一、直接的光學微影製程可獲得的節距之圖案。例如,在一實施例中,犧牲層形成於基板之上並利用光學微影製程圖案化。利用自對準製程在圖案化的犧牲層一旁形成間隔物(spacer)。接著移除犧牲層,且剩餘的間隔物接著可用以圖案化鰭片52。
第4圖中,絕緣材料54形成於基板50之上以及鄰近的鰭片52之間。絕緣材料54可為氧化物如氧化矽、氮化物等或前述之組合,且可利用高密度電漿化學氣相沉積(high density plasma chemical vapor deposition, HDP-CVD)、流動式化學氣相沉積(flowable CVD, FCVD,例如於遠程(remote)電漿系統中利用化學氣相沉積所沉積(CVD-based)的材料,並進行後固化(post curing)使其轉換成另一材料如氧化物)等或前述之組合所形成。可使用利用任何可接受的製程所形成的其他絕緣材料。在所示實施中,絕緣材料54為氧化矽,利用流動式化學氣相沉積所形成。一旦形成絕緣材料54後,可進行退火(anneal)製程。在一實施例中,形成絕緣材料54使得過量的絕緣材料54覆蓋鰭片52。雖然絕緣材料54被繪示為單一層,一些實施例可使用多個膜層。例如,在一些實施例中,可先沿著基板50與鰭片52的表面形成襯層(未繪示)。接著,可於襯層之上形成如以上所討論的填充材料。
第5圖中,對絕緣材料54進行移除製程以移除鰭片52之上過多的絕緣材料54。在一些實施例中,可使用平坦化製程如化學機械研磨(chemical mechanical polish, CMP)、回蝕刻(etch-back)製程等或前述之組合。平坦化製程露出了鰭片52,使得完成平坦化製程後,鰭片52與絕緣材料54的頂表面齊平。
第6圖中,凹蝕絕緣材料54以形成淺溝槽隔離區56。凹蝕絕緣材料54使得區域50N與區域50P中鰭片52的上部分從鄰近的淺溝槽隔離區56間突出。再者,淺溝槽隔離區56的頂表面可具有所示的平坦表面、凸(convex)表面、凹(concave)表面(如碟狀(dishing))或前述之組合。可利用適當的蝕刻方式形成淺溝槽隔離區56的頂表面,使其為平坦狀、凸狀以及∕或凹狀。可利用可接受的蝕刻製程凹蝕淺溝槽隔離區56,例如對絕緣材料54具有選擇性的蝕刻製程(例如,相較於鰭片52的材料,以較快的速率蝕刻絕緣材料54的材料)。例如,可使用合適的蝕刻製程進行化學氧化物移除的步驟,蝕刻製程使用如稀釋氫氟酸(diluted hydrofluoric, dHF)。
關於第2至6圖所述的製程僅是鰭片52可如何形成的一範例。在一些實施例中,可利用磊晶成長製程形成鰭片52。例如,介電層可形成於基板50的頂表面之上,且可蝕刻溝槽穿過介電層,以露出下方的基板50。可於溝槽中磊晶成長同質磊晶(homoepitaxial)結構,且可凹蝕介電層,使得同質磊晶結構從介電層突出而形成鰭片52。此外,在一些實施例中,異質磊晶(heteroepitaxial)結構可用於鰭片52。例如,可凹蝕第5圖中的鰭片52,且與鰭片52不同的材料可磊晶成長於凹蝕的鰭片52之上。在此些範例中,鰭片52包括凹蝕的材料以及設置於凹蝕的材料之上的磊晶成長材料。在更一實施例中,介電層可形成於基板50的頂表面之上,且可蝕刻溝槽穿過介電層。接著,可使用與基板50不同的材料於溝槽中磊晶成長異質磊晶結構,且可凹蝕介電層,使得異質磊晶結構從介電層突出而形成鰭片52。在磊晶成長同質磊晶或異質磊晶結構的一些實施例中,成長期間可於原位(in-situ)摻雜磊晶成長的材料,如此可不需要前佈植(implantation)與後續佈植步驟,儘管可一同使用原位與佈植摻雜。
再者,於區域50N(例如,n型金屬氧化物半導體區)中磊晶成長與區域50P(例如,p型金屬氧化物半導體區)不同的材料可能是有利的。在各種實施例中,可使用矽鍺(Six Ge1-x ,其中x可在0至1的範圍)、碳化矽、純或實質上為純鍺、III-V族化合物半導體、II-VI族化合物半導體等形成鰭片52的上部分。例如,形成III-V族化合物半導體可使用的材料包括InAs、AlAs、GaAs、InP、GaN、InGaAs、InAlAs、GaSb、AlSb、AlP、GaP等,但並非以此為限。
再者,第6圖中,適當的井區(well,未個別繪示)可形成於鰭片52以及∕或基板50中。在一些實施例中,P井可形成於區域50N中,且N井可形成於區域50P中。在一些實施例中,P井或N井形成於區域50N與區域50P兩者中。
在不同井區型態的實施例中,可利用光阻或其他遮罩(未繪示)進行區域50N與區域50P的不同佈植步驟。例如,光阻可形成於區域50N中的鰭片52與淺溝槽隔離區56之上。圖案化光阻以露出基板50的區域50P,區域50P如p型金屬氧化物半導體區。可利用旋轉塗佈(spin-on)技術形成光阻,且可利用可接受的光學微影技術圖案化光阻。光阻一旦圖案化後,於區域50P中進行n型雜質(impurity)的摻雜,且光阻可作為遮罩以實質上防止n型雜質佈植至區域50N中,區域50N如n型金屬氧化物半導體區。n型雜質可為磷、砷、銻等,於區域中佈植至小於或等於1018 cm-3 的濃度,如約1017 cm-3 至約1018 cm-3 間。佈植後,利用如可接受的灰化(ashing)製程移除光阻。
佈植區域50P後,於區域50P中的鰭片52與淺溝槽隔離區56之上形成光阻。圖案化光阻以露出基板50的區域50N,區域50N如n型金屬氧化物半導體區。可利用旋轉塗佈技術形成光阻,且可利用可接受的光學微影技術圖案化光阻。光阻一旦圖案化後,於區域50N可進行p型雜質的摻雜,且光阻可作為遮罩以實質上防止p型雜質佈植至區域50P中,區域50P如p型金屬氧化物半導體區。p型雜質可為硼、BF2 或銦等,於區域中佈植至小於或等於1018 cm-3 的濃度,如約1017 cm-3 至約1018 cm-3 間。佈植後,可利用如可接受的灰化製程移除光阻。
佈植區域50N與50P之後,可進行退火以活化所佈植的p型以及∕或n型雜質。在一些實施例中,成長期間可於原位摻雜磊晶鰭片的成長材料,如此可不需要佈植步驟,儘管可一同使用原位與佈植摻雜。
第7圖中,虛置(dummy)介電層60形成於鰭片52上。例如,虛置介電層60可為氧化矽、氮化矽等或前述之組合,且可根據可接受的技術沉積或熱成長虛置介電層60。虛置閘極層62形成於虛置介電層60之上,且遮罩層64形成於虛置閘極層62之上。虛置閘極層62可沉積於虛置介電層60之上,並接著利用如化學機械研磨平坦化虛置閘極層62。遮罩層64可沉積於虛置閘極層62之上。虛置閘極層62可為導電材料且可選自於以下所組成的群組,包括:非晶(amorphous)矽、多晶矽(polycrstalline-silicon, polysilicon)、多晶矽鍺(poly-SiGe)、金屬氮化物、金屬矽化物、金屬氧化物與金屬。可利用物理氣相沉積(physical vapor deposition, PVD)、化學氣相沉積、濺射(sputter)沉積或本發明所屬技術領域中所熟知用於沉積導電材料的其他技術沉積虛置閘極層62。虛置閘極層62可由相對於隔離區具有高蝕刻選擇性的其他材料所形成。遮罩層64可包括如SiN或SiON等。在此範例中,形成橫跨區域50N與區域50P的單一虛置閘極層62與單一遮罩層64。應注意的是,為了說明的目的,虛置介電層60被繪示為僅覆蓋鰭片52。在一些實施例中,可沉積虛置介電層60使其覆蓋淺溝槽隔離區56,且從虛置閘極層62與淺溝槽隔離區56之間延伸。
第8A至21D圖繪示出製造裝置實施例中的各種額外的步驟。第8A至21D圖繪示出區域50N或區域50P中的部件。例如,第8A至21D圖中所示的結構可應用於區域50N與區域50P兩者。本文中搭配各圖式敘述區域50N與區域50P的結構差異(若有的話)。
第8A至8D圖中,可利用可接受的光學微影與蝕刻技術圖案化遮罩層64(參照第7圖)以形成遮罩74。接著,遮罩74的圖案可轉移至虛置閘極層62,以形成虛置閘極72。也可利用可接受的蝕刻技術將遮罩74的圖案轉移至虛置介電層60。虛置閘極72覆蓋鰭片52個別的通道區58。遮罩74的圖案可用以物理性隔離每個虛置閘極72與鄰近的虛置閘極。虛置閘極72也可具有縱向方向,其實質上垂直於個別的磊晶鰭片52的縱向方向。虛置閘極72、遮罩74與虛置介電層60的組合可視為虛置閘極堆疊76。
第9A至9D圖中,第一閘極間隔物80、第二閘極間隔物82與第三閘極間隔物84形成於虛置閘極堆疊76以及∕或鰭片52露出的表面上。可利用順應(conformal)沉積製程形成第一閘極間隔物80,順應沉積製程如原子層沉積(atomic layer deposition, ALD)或化學氣相沉積等。第一閘極間隔物80可包括絕緣材料如氮化矽、氧化矽、碳氮化矽等或前述之組合。在一特定的實施例中,第一閘極間隔物80包括碳氮化矽。第一閘極間隔物80可具有約0.5nm至約5nm間的厚度,例如約為5nm。可利用順應沉積製程於第一閘極間隔物80之上形成第二閘極間隔物82,順應沉積製程如原子層沉積或化學氣相沉積等。第二閘極間隔物82可包括絕緣材料如氮化矽、氧化矽、碳氮化矽等或前述之組合。在一特定的實施例中,第二閘極間隔物82包括氧化矽。第二閘極間隔物82可具有約0.5nm至約5nm間的厚度,例如約為5nm。
可利用順應沉積製程於第二閘極間隔物82之上形成第三閘極間隔物84,順應沉積製程如原子層沉積或化學氣相沉積等。第三閘極間隔物84可包括絕緣材料如氮化矽、氧化矽、碳氮化矽等或前述之組合。在一特定的實施例中,第三閘極間隔物84包括氮化矽。第三閘極間隔物84可具有約0.5nm至約5nm間的厚度,例如約為5nm。如第9C與9D圖中所示,第三閘極間隔物84設置於鄰近的鰭片52或鄰近的虛置閘極堆疊76上之部分可合併。
第一閘極間隔物80可由相對於第二閘極間隔物82與第三閘極間隔物84具有不同蝕刻選擇性的材料所形成。如此一來,可移除第二閘極間隔物82與第三閘極間隔物84,而不移除第一閘極間隔物80。第二閘極間隔物82與第三閘極間隔物84可由相同或不同材料所形成,且彼此可具有相同或不同的蝕刻選擇性。
第10A至10D圖中,蝕刻第一閘極間隔物80、第二閘極間隔物82與第三閘極間隔物84。可利用非等向性蝕刻製程蝕刻第一閘極間隔物80、第二閘極間隔物82與第三閘極間隔物84,非等向性蝕刻製程如反應離子蝕刻或中子束蝕刻等。如第10B至10D圖中所示,第一閘極間隔物80、第二閘極間隔物82與第三閘極間隔物84的殘留部分可在鄰近於鰭片52及部分的虛置閘極堆疊76間保留。如第10D圖中所示,第三閘極間隔物84的底部部分通常可為V型。這樣的形狀可歸因於虛置閘極堆疊76阻擋部分用於蝕刻第三閘極間隔物84的蝕刻劑,使其無法觸及第三閘極間隔物84的底部部分。可以任何所欲的順序形成且蝕刻第一閘極間隔物80、第二閘極間隔物82與第三閘極間隔物84。例如,在一實施例中,可在形成第二閘極間隔物82與第三閘極間隔物84之前形成且蝕刻第一閘極間隔物80。
第10C圖更繪示出第一閘極間隔物80與第二閘極間隔物82於鰭片52間的區域之剩餘部分以及第一閘極間隔物80與第二閘極間隔物82於鰭片52外的區域的剩餘部份之間可具有高度差H1。高度差H1可為約0nm至約10nm,例如為約5nm。用以蝕刻第一閘極間隔物80、第二閘極間隔物82與第三閘極間隔物84的蝕刻製程可為些微等向性的,故可相對於鰭片52外的區域(從上而下且從側邊蝕刻)以較低的速率蝕刻鰭片52間的區域(從上而下蝕刻)。相較於鰭片52間的區域,用於蝕刻第一閘極間隔物80、第二閘極間隔物82與第三閘極間隔物84的蝕刻劑可較輕易的穿透至鰭片52外的區域,因而也可造成高度差H1。再者,與第三閘極間隔物84未合併的部分相比,可需花更多的時間利用蝕刻移除第9C與9D圖中第三閘極間隔物84合併的部分。因此,如第10C與10D圖中所示,部分的第三閘極間隔物84可於鰭片52間及虛置閘極堆疊76間保留。
在形成且蝕刻第一閘極間隔物80、第二閘極間隔物82與第三閘極間隔物84期間的任何時間點,可進行輕摻雜源極∕汲極(lightly doped source/drain, LDD)區(未明確繪示)的佈植。例如,在一些實施例中,可於形成第一閘及間隔物80之後、形成第二閘極間隔物82與第三閘極間隔物84之前,佈植輕摻雜源極∕汲極區。在不同裝置型態的實施例中,與以上第6圖中所討論的佈植相似,如光阻的遮罩可形成於區域50N之上而露出區域50P,且可佈植適當型態(例如, p型)的雜質至區域50P中露出的鰭片52之中。接著,可移除遮罩。之後,如光阻的遮罩可形成於區域50P之上而露出區域50N,且可佈植適當型態(例如, n型)的雜質至區域50N中露出的鰭片52之中。接著,可移除遮罩。n型雜質可為先前討論的任何n型雜質,且p型雜質可為先前討論的任何p型雜質。輕摻雜源極∕汲極區可具有約1015 cm-3 至約1016 cm-3 間的雜質濃度。可使用退火活化佈植的雜質。
第11A至11E圖中,磊晶源極∕汲極區92形成於鰭片52的凹口90中。磊晶源極∕汲極區92可於個別的通道區58中施加應力(stress),因而改善性能。磊晶源極∕汲極區92形成於鰭片52中,使得每個虛置閘極72設置於個別鄰近的一對磊晶源極∕汲極區92之間。在一些實施例中,磊晶源極∕汲極區92可延伸至鰭片52之中,且也可穿透鰭片52。在一些實施例中,第一閘極間隔物80、第二閘極間隔物82與第三閘極間隔物84用於以一適當的橫向距離隔離磊晶源極∕汲極區92與虛置閘極72,使磊晶源極∕汲極區92不會短路所製得的鰭狀場效電晶體後續所形成的閘極。
可透過遮蔽區域50P如p型金屬氧化物半導體區,且蝕刻區域50N中鰭片52的源極∕汲極區而於鰭片52中形成凹口,進而於區域50N中形成磊晶源極∕汲極區92,區域50N如n型金屬氧化物半導體區。接著,區域50N中的磊晶源極∕汲極區92磊晶成長於凹口中。磊晶源極∕汲極區92可包括任何可接受的材料,例如適合n型鰭狀場效電晶體的材料。例如,若鰭片52為矽,區域50N中的磊晶源極∕汲極區92可包括於通道區58中施加張力應變(tensile strain)的材料,如矽、SiC、SiCP或SiP等。區域50N中的磊晶源極∕汲極區92可具有從鰭片52的個別表面抬升之表面,且可具有刻面(facet)。
可透過遮蔽區域50N如n型金屬氧化物半導體區,且蝕刻區域50P中鰭片52的源極∕汲極區而於鰭片52中形成凹口,進而於區域50P中形成磊晶源極∕汲極區92,區域50P如p型金屬氧化物半導體區。接著,區域50P中的磊晶源極∕汲極區92磊晶成長於凹口中。磊晶源極∕汲極區92可包括任何可接受的材料,例如適合p型鰭狀場效電晶體的材料。例如,若鰭片52為矽,區域50P中的磊晶源極∕汲極區82可包括於通道區58中施加壓縮應變(compressive strain)的材料,如SiGe、SiGeB、Ge或GeSn等。區域50P中的磊晶源極∕汲極區92可具有從鰭片52的個別表面抬升之表面,且可具有刻面。
可使用摻質佈植磊晶源極∕汲極區92以及∕或鰭片52以形成源極∕汲極區,上述步驟與先前討論形成輕摻雜源極∕汲極區的製程相似,接著進行退火。磊晶源極∕汲極區92可具有約1019 cm-3 至約1021 cm-3 間的雜質濃度。源極∕汲極區的n型以及∕或p型雜質可為先前討論的任何雜質。在一些實施例中,可在成長時於原位摻雜磊晶源極∕汲極區92。
由於磊晶製程用以形成區域50N與區域50P中的磊晶源極∕汲極區92,磊晶源極∕汲極區的上表面具有刻面,其於鰭片52的側壁之下向外橫向擴展。在一些實施例中,上述刻面使得相同鰭狀場效電晶體的鄰近源極∕汲極區92相互合併,如第11C圖所示。第11D圖繪示出磊晶源極∕汲極區92的合併部分之剖面圖,如圖所示,此合併部分可具有大致為圓形之形狀,如圓形或橢圓形。如第11C與11D圖所示,第一閘極間隔物80、第二閘極間隔物82與第三閘極間隔物84的殘留部分可設置於磊晶源極∕汲極區92的合併部分之下。在其他實施例中,如第11E圖中所示的實施例,完成磊晶製程後,鄰近的源極∕汲極區92保持分離。
第12A至12D圖中,移除部分的第三閘極間隔物84。可利用等向性或非等向性蝕刻製程移除部分的第三閘極間隔物84。在一些實施例中,可利用濕式蝕刻製程移除部分的第三閘極間隔物84,濕式蝕刻使用磷酸或其類似物作為蝕刻劑。在更多的實施例中,可利用氟基(fluorine-based)氣體、氯基(chlorine-based)氣體、HBr、He與O2 的混合物等或前述之組合蝕刻部分的第三閘極間隔物84。第一閘極間隔物82與第二閘極間隔物84設置於第三閘極間隔物84下的部分也可被移除。如第12C與12D圖中所示,可移除第三閘極間隔物84設置於磊晶源極∕汲極區92的合併部分以外的部分,而保留第三閘極間隔物84設置於磊晶源極∕汲極區92的合併部分之間或之下以及虛置閘極堆疊76之間的部分。可利用磊晶源極∕汲極區92密封第三閘極間隔物84設置於磊晶源極∕汲極區92的合併部分之間或之下的部分,且蝕刻劑不可穿透這些區域。第三閘極間隔物84可由具有高介電常數的材料所形成,且第三閘極間隔物84的剩餘部分會增加寄生電容。因此,如將於以下更詳細地討論,應移除第三閘極間隔物84的剩餘部分,以減少後續形成的裝置之寄生電容且改善裝置速度。
第13A至13D圖中,第一層間介電質(interlayer dielectric, ILD)96沉積於第12A至12D圖中所示的結構之上。第一層間介電質96可由介電材料所形成,且可利用任何合適的方法沉積第一層間介電質96,合適的方法如化學氣相沉積、電漿增強化學氣相沉積(plasma-enhanced CVD, PECVD)或流動式化學氣相沉積。介電材料可包括磷矽酸鹽玻璃(phospho-silicate glass, PSG)、硼矽酸鹽玻璃(boro-silicate glass, BSG)、硼摻雜磷矽酸鹽玻璃(boron-doped PSG, BPSG)或未摻雜矽酸鹽玻璃(undoped silicate glass, USG)等。可使用利用任何可接受的製程所形成的其他絕緣材料。在一些實施例中,第一接觸蝕刻停止層(contact etch stop layer, CESL)94設置於第一層間介電質96與磊晶源極∕汲極區92、遮罩74、第一閘極間隔物80、第二閘極間隔物82及第三閘極間隔物84之間。第一接觸蝕刻停止層94可包括介電材料如氮化矽、氧化矽或氮氧化矽等,其具有與上方的第一層間介電質96之材料不同的蝕刻速率。在一特定實施例中,第一接觸蝕刻停止層94可包括碳氮化矽,且第一層間介電質96可包括氧化矽。
可利用順應的沉積方法沉積第一接觸蝕刻停止層94,順應的沉積方法如化學氣相沉積或原子層沉積等。可利用磊晶源極∕汲極區92密封設置於合併的磊晶源極∕汲極區92間的開口,使第一接觸蝕刻停止層94並未沉積於開口中。再者,用以沉積第一接觸蝕刻停止層的製程可不完全為順應的,使開口得以設置於部分的磊晶源極∕汲極區92與第一接觸蝕刻停止層94之間,如第13D圖中所示。
第14A至14D圖中,可進行平坦化製程如化學機械研磨,使第一層間介電質96的頂表面與虛置閘極72或遮罩74的頂表面齊平。平坦化製程也可移除虛置閘極72上的遮罩74,以及第一閘極間隔物80與第二閘極間隔物82沿著遮罩74的側壁之部分。平坦化製程後,虛置閘極72、第一閘極間隔物80、第二閘極間隔物82、第一接觸蝕刻停止層92與第一層間介電質96的頂表面位於相同水平。因此,虛置閘極72的頂表面通過第一層間介電質96而露出。在一些實施例中,可保留遮罩74,在此情況下,平坦化製程使第一層間介電質96的頂表面與遮罩74的頂表面齊平。
第15A至15D圖中,於蝕刻步驟中移除虛置閘極72以及遮罩74(若存在),使凹口100得以形成。也可移除虛置介電層60於凹口100中的部分。在一些實施例中,僅移除虛置閘極72而保留虛置介電層60,且虛置介電層60通過凹口100露出。在一些實施例中,從位於晶粒(die)第一區(例如,核心邏輯區(core logic region))中的凹口100移除虛置介電層60,且在位於晶粒第二區(例如,輸入∕輸出區(input∕output region))的凹口100中保留虛置介電層60。在一些實施例中,利用非等向性乾式蝕刻製程移除虛置閘極72。例如,蝕刻製程可包括乾式蝕刻製程,其使用選擇性蝕刻虛置閘極72的反應氣體,而不蝕刻第一層間介電質96、第一接觸蝕刻停止層94、第一閘極間隔物80或第二閘極間隔物82。每個凹口100露出各自的鰭片52的通道區58。每個通道區58設置於鄰近的一對磊晶源極∕汲極區92之間。移除且蝕刻虛置閘極72時,虛置介電層60可作為蝕刻停止層。移除虛置閘極72後,接著可視需要地移除虛置介電層60。
第15A至15D圖更繪示於第一層間介電質96之上形成硬遮罩98。可藉由回蝕刻第一層間介電質96而形成硬遮罩98。使用非等向性蝕刻製程或等向性蝕刻製程回蝕刻第一層間介電質96,非等向性蝕刻製程如反應離子蝕刻或中子束蝕刻等,而等向性蝕刻製程如濕式蝕刻製程。接著,可利用化學氣相沉積、電漿增強化學氣相沉積、原子層沉積或濺射等,於所製得的結構之上沉積硬遮罩98,且可利用如化學機械研磨的製程平坦化硬遮罩98。如第15C圖中所示,可於硬遮罩98、下方的第一接觸蝕刻停止層94與磊晶源極∕汲極區92之間保留一部份的第一層間介電質96。如第15D圖中所示,平坦化硬遮罩98之後,硬遮罩98的頂表面與第一接觸蝕刻停止層94、第一閘極間隔物80及第二閘極間隔物82的頂表面可位於相同水平。硬遮罩98可於虛置閘極72與遮罩74移除之前或之後形成。硬遮罩98可由如非晶矽、碳氧化矽、碳化矽或摻碳半導體材料等材料所形成,且可具有約5nm至約10nm間的厚度,例如為約10nm。在特定的實施例中,硬遮罩98可包括摻碳氧化矽。硬遮罩98可形成於第一層間介電質96之上,以保護第一層間介電質96不受移除第二閘極間隔物82與第三閘極間隔物84的蝕刻製程(以下參照第17A至17D圖討論)所影響。
第16A至16E圖中,形成閘極介電層102與閘極電極104為取代閘極。第16E圖繪示出第16B圖的區域101之細節圖。閘極介電層102順應地沉積於凹口100(繪示於第15B與15D圖中)中,例如位於鰭片52的頂表面與側壁上以及第一閘極間隔物80的側壁上。閘極介電層102也可形成於硬遮罩98、第一接觸蝕刻停止層94與淺溝槽隔離區56的頂表面上。根據一些實施例,閘極介電層102包括氧化矽、氮化矽或前述的多層。在一些實施例中,閘極介電層102包括高介電常數介電材料,且在這些實施例中,閘極介電層102可具有大於約7.0的介電常數值,且可包括金屬氧化物或Hf、Al、Zr、La、Mg、Ba、Ti、Pb與前述之組合的矽化物。閘極介電層102的形成方法可包括分子束沉積(molecular-beam deposition, MBD)、原子層沉積或電漿增強化學氣相沉積等。在部分的虛置介電層60保留於凹口100中的實施例中,閘極介電層102包括虛置介電層60的材料(例如,SiO2 )。
閘極電極104分別沉積於閘極介電層102之上,並填充凹口100的剩餘部分。閘極電極可包括含金屬材料如TiN、TiO、TaN、TaC、Co、Ru、Al、W、前述之組合或前述之多層。例如,儘管第16A、16B與16D圖中僅繪示單一層閘極電極104,閘極電極104可包括任何數量的襯層(liner layer)104A、任何數量的功函數調諧層(work function tuning layer)104B與填充材料104C,如第16E圖所示。填充閘極電極104後,可進行平坦化製程如化學機械研磨移除閘極介電層102與閘極電極104的材料過多的部分,過多的部分位於硬遮罩98的頂表面之上。閘極電極104與閘極介電層102的材料剩餘的部分因此形成了所製得的鰭狀場效電晶體的取代閘極。閘極電極104與閘極介電層102可一同視為閘極堆疊。閘極與閘極堆疊可沿著鰭片52的通道區58的側壁延伸。
可同時形成區域50N與區域50P中的閘極介電層102,使每個區域中的閘極介電層102由相同材料所形成,且可同時形成閘極電極104,使每個區域中的閘極電極104由相同材料所形成。在一些實施例中,可利用不同的製程形成每個區域中的閘極介電層102,使閘極介電層102可為不同的材料,以及∕或可利用不同的製程形成每個區域中的閘極電極104,使閘極電極104可為不同的材料。使用不同的製程時,可使用各種遮蔽步驟遮蔽且露出適當的區域。
第17A至17D圖中,移除第二閘極間隔物82與第三閘極間隔物84的剩餘部分。可移除第二閘極間隔物82以形成露出第三閘極間隔物84的開口,且可藉由通過開口蝕刻而移除第三閘極間隔物84。可使用任何合適的製程如非等向性蝕刻製程或等向性蝕刻製程蝕刻第二閘極間隔物82與第三閘極間隔物84。在一些實施例中,可利用乾式蝕刻製程蝕刻第二閘極間隔物82與第三閘極間隔物84以防止閘極堆疊受侵蝕。在至少一實施例中,可利用乾式蝕刻製程移除第二閘極間隔物82與第三閘極間隔物84,乾式蝕刻製程使用了氟基蝕刻製程,例如使用氣相氟化氫作為蝕刻劑的製程。可在約-4℃至約40℃間的溫度下,如約-4℃,以及約1Torr至約20Torr間的壓力下,如約1Torr,進行乾式蝕刻製程約10秒至約200秒間的一段時間,例如約110秒。
取決於材料暴露於蝕刻製程的碳濃度,用以移除第二閘極間隔物82與第三閘極間隔物84的蝕刻製程可具有高蝕刻選擇性。具體而言,第二閘極間隔物82與第三閘極間隔物84可由具有低碳濃度的材料所形成,而硬遮罩98、第一接觸蝕刻停止層94與第一閘極間隔物80由具有較高碳濃度的材料所形成。如此一來,可移除第二閘極間隔物82與第三閘極間隔物84而不移除硬遮罩98、第一接觸蝕刻停止層94與第一閘極間隔物80。可薄化硬遮罩98、第一接觸蝕刻停止層94與第一閘極間隔物80暴露於蝕刻製程的部分。由於第一層間介電質96由氧化矽所形成,其具有低碳濃度,需含括硬遮罩98以保護第一層間介電質不受蝕刻製程影響。
在更多實施例中,可利用兩個各自的蝕刻製程移除第二閘極間隔物82與第三閘極間隔物84。例如,可使用上述的氣相氟化氫蝕刻移除第二閘極間隔物82,且可利用濕式蝕刻製程移除第三閘極間隔物,濕式蝕刻製程使用磷酸或其類似物作為蝕刻劑。
第18A至18E圖中,第二接觸蝕刻停止層106形成於第17A至17D圖的結構之上,其封閉了氣態間隔物108。可利用順應的沉積製程如化學氣相沉積或原子層沉積等形成第二接觸蝕刻停止層106。在特定實施例中,可利用具有低順應性的製程如電漿增強化學氣相沉積製程沉積第二接觸蝕刻停止層106。第二接觸蝕刻停止層106可包括介電材料如氮化矽、氧化矽或氮氧化矽等,其具有與後續形成的第二層間介電質112(以下參照第20A與20B圖討論)之材料不同的蝕刻速率。在一特定實施例中,第二接觸蝕刻停止層106可包括氮化矽。儘管第二接觸蝕刻停止層106的底表面被繪示為平坦的,第二接觸蝕刻停止層106的底表面可為彎曲的。例如,在一些實施例中,第二接觸蝕刻停止層106的底表面可為凹狀或凸狀。
由於可利用具有低順應性的製程沉積第二接觸蝕刻停止層106,第二接觸蝕刻停止層106可僅部分地延伸至移除第二閘極間隔物82與第三閘極間隔物84所形成的開口之中。第二接觸蝕刻停止層106可延伸一深度至開口之中,上述深度大於硬遮罩98的厚度,使得於利用如平坦化的製程移除硬遮罩98(以下參照第19A至19D圖討論)後,保留部分的第二接觸蝕刻停止層106。由於部分的開口並未以第二接觸蝕刻停止層106填充,氣態間隔物108形成於第二接觸蝕刻停止層106之下,且位於第一閘極間隔物80與第一接觸蝕刻停止層94之間。氣態間隔物108可包括沉積第二接觸蝕刻停止層106時存在於反應腔(chamber)中的任何氣體。根據一實施例,氣態間隔物108可包括空氣。在一些實施例中,氣態間隔物108可包括氮氣(N2 )、氬氣(Ar)、氙氣(Xe)、氨(NH3 )、氯氣(Cl2 )等或前述之組合。在一些實施例中,氣態間隔物108更可包括用以形成第二接觸蝕刻停止層106的前驅物(precursor)氣體,如甲矽烷(SiH4 )、二氯矽烷(SiH2 Cl2 )、四氯化矽(SiCl4 )、氨等或前述之組合。氣態間隔物108可具有約0.5nm至約5nm間或約1nm至約10nm間的厚度,例如為約5nm。氣態間隔物108可具有為1或接近1的介電常數(例如,介電常數值)。
氣態間隔物108具有為1或接近1的低介電常數值,其低於第三閘極間隔物84與第二閘極間隔物82的介電常數值,第三閘極間隔物84可由氮化矽所形成,而第二閘極間隔物82可由氧化矽所形成,如以上所討論。以氣態間隔物108取代第三閘極間隔物84與第二閘極間隔物82的剩餘部分減少了間隔物(例如,氣態間隔物108與第一閘極間隔物80的組合)整體的有效介電常數值,且降低了根據上述方法所形成的裝置中的寄生電容。如此可增加根據上述方法所形成的裝置的電路速度、可靠度以及整體性能。
第18E圖繪示出平行於基板50的主要表面之剖面圖。如第18E圖中所示,部分的氣態間隔物108可封閉部分的第一接觸蝕刻停止層94與第一層間介電質96。氣態間隔物108可被第一閘極間隔物80所環繞。第一接觸蝕刻停止層94與第一層間介電質96可不存在於鄰近的磊晶源極∕汲極區92之間,例如磊晶源極∕汲極區92的合併部分之下。
第19A至19D圖中,平坦化第二接觸蝕刻停止層106且移除硬遮罩98。可利用如化學機械研磨的製程平坦化第二接觸蝕刻停止層106。可移除第二接觸蝕刻停止層106設置於第一層間介電質96、第一接觸蝕刻停止層94與閘極電極104之上的部分,且在平坦化後,第二接觸蝕刻停止層106及閘極堆疊的頂表面與第一層間介電質96的頂表面可位於相同水平。平坦化製程更可移除硬遮罩98。如先前所討論,第二接觸蝕刻停止層106可沉積於移除第二閘極間隔物82與第三閘極間隔物84所留下的開口中,其中相較於硬遮罩98的厚度,移除第二閘極間隔物82與第三閘極間隔物84至較大的深度,使得在利用平坦化製程移除硬遮罩98後,保留第二接觸蝕刻停止層106。儘管第二接觸蝕刻停止層106的頂表面被繪示為平坦的,第二接觸蝕刻停止層106的頂表面於平坦化製程後可為彎曲的。例如,在一些實施例中,第二接觸蝕刻停止層106的頂表面可為凹狀或凸狀。
如第19B圖中所示,氣態間隔物108鄰近於第一閘極間隔物80且位於通道區58之上的高度H2可為約8nm或更小。設置於第一接觸蝕刻停止層94與第一閘極間隔物80間的第二接觸蝕刻停止層94與部分的氣態間隔物108可具有約2nm至約4nm間的寬度W1。如第19D圖中所示,氣態間隔物108鄰近於第一閘極間隔物且位於淺溝槽隔離區56之上的高度H4可為約62nm或更小。平坦化製程後,第二接觸蝕刻停止層106可具有約6nm或更大的高度H3。高度H2與寬度W1的比值可為約2至約4間;高度H3與寬度W1的比值可為約1至約5間;且高度H4與寬度W1的比值可為約15至約35間。
第20A至20D圖中,第二層間介電質112沉積於第一層間介電質96、閘極電極104、第一接觸蝕刻停止層94、第二接觸蝕刻停止層106與第一閘極間隔物80之上。在一些實施例中,第二層間介電質94為利用流動式化學氣相沉積方法所形成的流動式薄膜。第二層間介電質112可由介電材料所形成,介電材料如磷矽酸鹽玻璃、硼矽酸鹽玻璃、硼摻雜磷矽酸鹽玻璃或未摻雜玻璃等,且可利用任何合適的方法如化學氣相沉積與電漿增強化學氣相沉積形成第二層間介電層112。根據一些實施例,形成第二層間介電質112之前,凹蝕閘極堆疊(包括閘極介電層102與閘極電極104),使得凹口直接形成於閘極堆疊之上且位於第一閘極間隔物80的相對部分之間,如第20A與20B圖中所示。於凹口中填充閘極遮罩110,其包括一或多層的介電材料如氮化矽或氮氧化矽等,接著,進行平坦化製程以移除介電材料延伸於第一層間介電質96之上過多的部分。後續形成的閘極接觸件114(第21A與21B圖)穿透閘極遮罩110以接觸凹蝕的閘極電極104的頂表面。
第21A至21D圖中,根據一些實施例,形成閘極接觸件114與源極∕汲極接觸件116使其穿過第二層間介電質112與第一層間介電質96。形成用於源極∕汲極接觸件116的開口使其穿過第二層間介電質112、第一層間介電質96與第一接觸蝕刻停止層94,且形成用於閘極接觸件114的開口使其穿過第二層間介電質112與閘極遮罩110。可利用可接受的光學微影與蝕刻技術形成開口。可利用可控的方式形成開口,以避免露出氣態間隔物108。襯層如擴散阻障層或黏著層等,以及導電材料形成於開口中。襯層可包括鈦、氮化鈦、鉭或氮化鉭等。導電材料可為銅、銅合金、銀、金、鎢、鈷、鋁或鎳等。可利用如物理氣相沉積或化學氣相沉積等的製程沉積閘極接觸件與源極∕汲極接觸件。可進行平坦化製程如化學機械研磨以從第二層間介電質112的表面移除過多的材料。剩餘的襯層與導電材料於開口中形成源極∕汲極接觸件116與閘極接觸件114。可進行退火製程以於磊晶源極∕汲極區92與源極∕汲極接觸件116間的介面形成矽化物。源極∕汲極接觸件116物理性與電性耦接至磊晶源極∕汲極區92,且閘極接觸件114物理性與電性耦接至閘極電極104。可於不同製程中形成源極∕汲極接觸件116與閘極接觸件114,或可於相同製程中形成源極∕汲極接觸件116與閘極接觸件114。儘管繪示為形成於相同剖面中,應能理解的是每個源極∕汲極接觸件116與閘極接觸件114可形成於不同剖面中,因而避免接觸件短路。
如以上所討論,形成氣態間隔物108減少了間隔物的有效介電常數,而所述間隔物係用於本發明實施例的結構中。如此一來,減少了寄生電容而增加了根據上述方法所形成的裝置的電路速度、可靠度與整體性能。
根據一實施例,半導體裝置的形成方法包括於基板之上形成閘極堆疊;於閘極堆疊的側壁上形成第一閘極間隔物;於第一閘極間隔物之上形成第二閘極間隔物;移除第二閘極間隔物的一部分,其中保留第二閘極間隔物的至少一部分;移除第一閘極間隔物,以形成第一開口;以及於移除第一閘極間隔物的步驟後,通過第一開口移除第二閘極間隔物的剩餘部分。在一實施例中,第二閘極間隔物包括氮化矽。在一實施例中,第一閘極間隔物包括氧化矽。在一實施例中,使用氣相氟化氫進行蝕刻,以移除第一閘極間隔物與第二閘極間隔物的剩餘部分。在一實施例中,半導體裝置的形成方法更包括於閘極堆疊的兩側上磊晶成長源極∕汲極區,其中第二閘極間隔物的剩餘部分設置於源極∕汲極區與基板之間。在一實施例中,半導體裝置的形成方法更包括於移除第二閘極間隔物的一部分之步驟後,於閘極堆疊的兩側上磊晶成長源極∕汲極區,其中源極∕汲極區是在移除第一閘極間隔物的步驟前磊晶成長。在一實施例中,半導體裝置的形成方法更包括於移除第一閘極間隔物的步驟前,以金屬閘極取代閘極堆疊。在一實施例中,利用乾式蝕刻移除第一閘極間隔物與第二閘極間隔物的剩餘部分。
根據另一實施例,半導體裝置的形成方法包括於半導體基板之上形成閘極堆疊;於閘極堆疊的側壁上形成閘極間隔物;於閘極堆疊的兩側上磊晶成長源極∕汲極區;移除閘極堆疊的至少一部分,以形成一開口;以及沉積介電層,其密封開口且於閘極間隔物的側壁上定義氣態間隔物。在一實施例中,於磊晶成長源極∕汲極區的步驟前,移除閘極間隔物的第一部分,且於磊晶成長源極∕汲極區的步驟後,移除閘極間隔物的第二部分。在一實施例中,形成閘極間隔物的步驟包括:於閘極堆疊之上沉積第一閘極間隔物層;於第一閘極間隔物層之上沉積第二閘極間隔物層;以及於第二閘極間隔物層之上沉積第三閘極間隔物層,第一閘極間隔物層、第二閘極間隔物層與第三閘極間隔物層各自包括不同材料。在一實施例中,第一閘極間隔物層包括碳氮化矽、第二閘極間隔物層包括氮化矽,且第三閘極間隔物層包括氧化矽。在一實施例中,第一部分包括第三閘極間隔物層的一部分。在一實施例中,第二部分包括第二閘極間隔物層與第三閘極間隔物層的剩餘部分。
根據更另一實施例,半導體裝置包括:閘極堆疊,位於半導體基板之上;第一閘極間隔物,設置於閘極堆疊的側壁上;接觸蝕刻停止層,鄰近於第一閘極間隔物;氣態間隔物,設置於閘極堆疊與接觸蝕刻停止層之間;以及磊晶源極∕汲極區,位於半導體基板中,其中氣態間隔物的至少一部分於磊晶源極∕汲極區與半導體基板之間延伸。在一實施例中,氣態間隔物包括氨,以及甲矽烷、二氯矽烷或四氯化矽至少其一。在一實施例中,半導體裝置更包括第一介電層,位於磊晶源極∕汲極區之上,其中第一介電層定義氣態間隔物的邊界的至少一部分。在一實施例中,氣態間隔物垂直設置於第一介電層與第一閘極間隔物之間,且其中氣態間隔物水平設置於接觸蝕刻停止層與第一閘極間隔物之間。在一實施例中,第一介電層與接觸蝕刻停止層包括碳氮化矽,且其中第一閘極間隔物包括碳氮化矽。在一實施例中,氣態間隔物具有1nm至10nm間的厚度。
以上概述數個實施例之部件,以便在本發明所屬技術領域中具有通常知識者可更易理解本發明實施例的觀點。在本發明所屬技術領域中具有通常知識者應理解,他們能以本發明實施例為基礎,設計或修改其他製程和結構,以達到與在此介紹的實施例相同之目的及/或優勢。在本發明所屬技術領域中具有通常知識者也應理解到,此類等效的製程和結構並無悖離本發明的精神與範圍,且他們能在不違背本發明之精神和範圍之下,做各式各樣的改變、取代和替換。
50:基板 51:分隔符號 52:鰭片 54:絕緣材料 56:淺溝槽隔離區 58:通道區 60:虛置介電層 62:虛置閘極層 64:遮罩層 72:虛置閘極 74:遮罩 76:虛置閘極堆疊 80:第一閘極間隔物 82:第二閘極間隔物 84:第三閘極間隔物 92:磊晶源極∕汲極區 94:第一接觸蝕刻停止層 96:第一層間介電質 98:硬遮罩 100:凹口 101:區域 102:閘極介電層 104:閘極電極 104A:襯層 104B:功函數調諧層 104C:填充材料 106:第二接觸蝕刻停止層 108:氣態間隔物 110:閘極遮罩 112:第二層間介電質 114:閘極接觸件 116:源極∕汲極接觸件 A-A’,B-B’,C-C’,D-D’,E-E’:剖面 H1,H2,H3,H4:高度 W1:寬度
以下將配合所附圖式詳述本發明實施例。應注意的是,依據在業界的標準做法,各種特徵並未按照比例繪製且僅用以說明例示。事實上,可任意地放大或縮小元件的尺寸,以清楚地表現出本發明實施例的特徵。 第1圖是根據一些實施例,繪示出鰭狀場效電晶體的一範例之三維示意圖。 第2、3、4、5、6、7、8A-8D、9A-9D、10A-10D、11A-11E、12A-12D、13A-13D、14A-14D、15A-15D、16A-16E、17A-17D、18A-18E、19A-19D、20A-20D與21A-21D圖是根據一些實施例,繪示出在製造鰭狀場效電晶體的過程中各個中間階段的剖面圖。
50:基板
52:鰭片
58:通道區
80:第一閘極間隔物
92:磊晶源極/汲極區
94:第一接觸蝕刻停止層
96:第一層間介電質
102:閘極介電層
104:閘極電極
106:第二接觸蝕刻停止層
108:氣態間隔物
110:閘極遮罩
112:第二層間介電質
114:閘極接觸件
116:源極/汲極接觸件

Claims (20)

  1. 一種半導體裝置的形成方法,包括: 於一基板之上形成一閘極堆疊; 於該閘極堆疊的側壁上形成一第一閘極間隔物; 於該第一閘極間隔物之上形成一第二閘極間隔物; 移除該第二閘極間隔物的一部分,其中保留該第二閘極間隔物的至少一部分; 移除該第一閘極間隔物,以形成一第一開口;以及 於移除該第一閘極間隔物的步驟後,通過該第一開口移除該第二閘極間隔物的該剩餘部分。
  2. 如請求項1所述之半導體裝置的形成方法,其中該第二閘極間隔物包括氮化矽。
  3. 如請求項2所述之半導體裝置的形成方法,其中該第一閘極間隔物包括氧化矽。
  4. 如請求項1所述之半導體裝置的形成方法,其中使用氣相(vapor-phase)氟化氫進行蝕刻,以移除該第一閘極間隔物與該第二閘極間隔物的該剩餘部分。
  5. 如請求項1所述之半導體裝置的形成方法,更包括於該閘極堆疊的兩側上磊晶成長多個源極∕汲極區,其中該第二閘極間隔物的該剩餘部分設置於該些源極∕汲極區與該基板之間。
  6. 如請求項1所述之半導體裝置的形成方法,更包括於移除該第二閘極間隔物的該部分之步驟後,於該閘極堆疊的兩側上磊晶成長多個源極∕汲極區,其中該些源極∕汲極區是在移除該第一閘極間隔物的步驟前磊晶成長。
  7. 如請求項1所述之半導體裝置的形成方法,更包括於移除該第一閘極間隔物的步驟前,以一金屬閘極取代該閘極堆疊。
  8. 如請求項7所述之半導體裝置的形成方法,其中利用乾式蝕刻移除該第一閘極間隔物與該第二閘極間隔物的該剩餘部分。
  9. 一種半導體裝置的形成方法,包括: 於一半導體基板之上形成一閘極堆疊; 於該閘極堆疊的側壁上形成一閘極間隔物; 於該閘極堆疊的兩側上磊晶成長多個源極∕汲極區; 移除該閘極堆疊的至少一部分,以形成一開口;以及 沉積一介電層,其密封該開口且於該閘極間隔物的側壁上定義一氣態間隔物。
  10. 如請求項9所述之半導體裝置的形成方法,其中於磊晶成長該些源極∕汲極區的步驟前,移除該閘極間隔物的一第一部分,且於磊晶成長該些源極∕汲極區的步驟後,移除該閘極間隔物的一第二部分。
  11. 如請求項10所述之半導體裝置的形成方法,其中形成該閘極間隔物的步驟包括: 於該閘極堆疊之上沉積一第一閘極間隔物層; 於該第一閘極間隔物層之上沉積一第二閘極間隔物層;以及 於該第二閘極間隔物層之上沉積一第三閘極間隔物層,該第一閘極間隔物層、該第二閘極間隔物層與該第三閘極間隔物層各自包括不同材料。
  12. 如請求項11所述之半導體裝置的形成方法,其中該第一閘極間隔物層包括碳氮化矽、該第二閘極間隔物層包括氮化矽,且該第三閘極間隔物層包括氧化矽。
  13. 如請求項11所述之半導體裝置的形成方法,其中該第一部分包括該第三閘極間隔物層的一部分。
  14. 如請求項13所述之半導體裝置的形成方法,其中該第二部分包括該第二閘極間隔物層與該第三閘極間隔物層的一剩餘部分。
  15. 一種半導體裝置,包括: 一閘極堆疊,位於一半導體基板之上; 一第一閘極間隔物,設置於該閘極堆疊的側壁上; 一接觸蝕刻停止層,鄰近於該第一閘極間隔物; 一氣態間隔物,設置於該閘極堆疊與該接觸蝕刻停止層之間;以及 一磊晶源極∕汲極區,位於該半導體基板中,其中該氣態間隔物的至少一部分於該磊晶源極∕汲極區與該半導體基板之間延伸。
  16. 如請求項15所述之半導體裝置,其中該氣態間隔物包括氨(NH3 ),以及甲矽烷(SiH4 )、二氯矽烷(SiH2 Cl2 )或四氯化矽(SiCl4 )至少其一。
  17. 如請求項15所述之半導體裝置,更包括一第一介電層,位於該磊晶源極∕汲極區之上,其中該第一介電層定義該氣態間隔物的一邊界(boundary)的至少一部分。
  18. 如請求項17所述之半導體裝置,其中該氣態間隔物垂直設置於該第一介電層與該第一閘極間隔物之間,且其中該氣態間隔物水平設置於該接觸蝕刻停止層與該第一閘極間隔物之間。
  19. 如請求項18所述之半導體裝置,其中該第一介電層與該接觸蝕刻停止層包括碳氮化矽,且其中該第一閘極間隔物包括碳氮化矽。
  20. 如請求項15所述之半導體裝置,該氣態間隔物具有1nm至10nm間的厚度。
TW108138506A 2018-10-31 2019-10-25 半導體裝置的形成方法及半導體裝置 TWI725588B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862753348P 2018-10-31 2018-10-31
US62/753,348 2018-10-31
US16/371,498 US10868130B2 (en) 2018-10-31 2019-04-01 Semiconductor device and method of manufacture
US16/371,498 2019-04-01

Publications (2)

Publication Number Publication Date
TW202032670A true TW202032670A (zh) 2020-09-01
TWI725588B TWI725588B (zh) 2021-04-21

Family

ID=70328425

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108138506A TWI725588B (zh) 2018-10-31 2019-10-25 半導體裝置的形成方法及半導體裝置

Country Status (5)

Country Link
US (3) US10868130B2 (zh)
KR (1) KR102216895B1 (zh)
CN (1) CN111128741B (zh)
DE (1) DE102019109857A1 (zh)
TW (1) TWI725588B (zh)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10868130B2 (en) * 2018-10-31 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
CN112309861B (zh) * 2019-07-30 2023-10-13 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法、晶体管
US11195934B2 (en) * 2019-08-29 2021-12-07 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and method for bi-layer self-aligned contact
US10867101B1 (en) * 2020-02-24 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Leakage reduction between two transistor devices on a same continuous fin
US11769821B2 (en) * 2020-05-15 2023-09-26 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having a corner spacer
US11664444B2 (en) * 2020-05-28 2023-05-30 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistor with void and method of forming the same
US11532713B2 (en) 2020-06-25 2022-12-20 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain contacts and methods of forming same

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE10339989B4 (de) 2003-08-29 2008-04-17 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung eines konformen Abstandselements benachbart zu einer Gateelektrodenstruktur
KR100771537B1 (ko) * 2005-11-21 2007-10-31 주식회사 하이닉스반도체 금속실리사이드막을 갖는 반도체소자의 제조방법
US7838373B2 (en) 2008-07-30 2010-11-23 Intel Corporation Replacement spacers for MOSFET fringe capacitance reduction and processes of making same
US8765556B2 (en) 2009-12-23 2014-07-01 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating strained structure in semiconductor device
US9070624B2 (en) * 2011-12-16 2015-06-30 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device including polysilicon resistor and metal gate resistor and methods of fabricating thereof
US9871121B2 (en) 2014-03-10 2018-01-16 Qualcomm Incorporated Semiconductor device having a gap defined therein
US9577101B2 (en) 2015-03-13 2017-02-21 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain regions for fin field effect transistors and methods of forming same
US9647116B1 (en) * 2015-10-28 2017-05-09 Taiwan Semiconductor Manufacturing Co., Ltd. Method for fabricating self-aligned contact in a semiconductor device
KR102523125B1 (ko) * 2015-11-27 2023-04-20 삼성전자주식회사 반도체 소자
WO2017105384A1 (en) 2015-12-14 2017-06-22 Intel Corporation Geometric manipulation of 2deg region in source/drain extension of gan transistor
US9935199B2 (en) * 2016-01-15 2018-04-03 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with source/drain structure
US9548366B1 (en) * 2016-04-04 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. Self aligned contact scheme
US9716096B1 (en) 2016-06-28 2017-07-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with feature spacer and method for manufacturing the same
US9985107B2 (en) * 2016-06-29 2018-05-29 International Business Machines Corporation Method and structure for forming MOSFET with reduced parasitic capacitance
US10516030B2 (en) * 2017-01-09 2019-12-24 Taiwan Semiconductor Manufacturing Company, Ltd. Contact plugs and methods forming same
US9929246B1 (en) * 2017-01-24 2018-03-27 International Business Machines Corporation Forming air-gap spacer for vertical field effect transistor
TWI727068B (zh) * 2017-07-03 2021-05-11 聯華電子股份有限公司 半導體裝置以及其製作方法
US10319833B1 (en) * 2017-12-04 2019-06-11 International Business Machines Corporation Vertical transport field-effect transistor including air-gap top spacer
TWI705529B (zh) * 2018-02-15 2020-09-21 美商應用材料股份有限公司 空氣間隙形成處理
US10395988B1 (en) * 2018-04-10 2019-08-27 International Business Machines Corporation Vertical FET transistor with reduced source/drain contact resistance
US10510861B1 (en) * 2018-06-15 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Gaseous spacer and methods of forming same
US10868130B2 (en) * 2018-10-31 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture

Also Published As

Publication number Publication date
US11631746B2 (en) 2023-04-18
CN111128741B (zh) 2023-09-19
US20210126104A1 (en) 2021-04-29
KR102216895B1 (ko) 2021-02-19
DE102019109857A1 (de) 2020-04-30
KR20200050336A (ko) 2020-05-11
US20230253474A1 (en) 2023-08-10
US10868130B2 (en) 2020-12-15
TWI725588B (zh) 2021-04-21
CN111128741A (zh) 2020-05-08
US20200135880A1 (en) 2020-04-30

Similar Documents

Publication Publication Date Title
US11133416B2 (en) Methods of forming semiconductor devices having plural epitaxial layers
TWI725588B (zh) 半導體裝置的形成方法及半導體裝置
US11908750B2 (en) Semiconductor device and method
US12002854B2 (en) Semiconductor device and method of manufacture
TWI696289B (zh) 半導體裝置及其形成方法
US11164944B2 (en) Method of manufacturing a semiconductor device
TWI729525B (zh) 半導體裝置及其製造方法
TWI739147B (zh) 半導體裝置及其形成方法
TWI758655B (zh) 半導體裝置及其形成方法
TW202016999A (zh) 半導體裝置及其製造方法
US20210257260A1 (en) Semiconductor Device and Method
US11996466B2 (en) Semiconductor device and method of manufacture
US10991630B2 (en) Semiconductor device and method
TWI847344B (zh) 半導體裝置及其製造方法
US20220359066A1 (en) Semiconductor Device and Method
TW202145300A (zh) 半導體裝置及其製造方法
TW202322399A (zh) 半導體裝置及其製造方法