TW202024030A - 新穎鹽化合物、化學增幅光阻組成物、及圖案形成方法 - Google Patents

新穎鹽化合物、化學增幅光阻組成物、及圖案形成方法 Download PDF

Info

Publication number
TW202024030A
TW202024030A TW108141094A TW108141094A TW202024030A TW 202024030 A TW202024030 A TW 202024030A TW 108141094 A TW108141094 A TW 108141094A TW 108141094 A TW108141094 A TW 108141094A TW 202024030 A TW202024030 A TW 202024030A
Authority
TW
Taiwan
Prior art keywords
group
patent application
formula
hydrocarbon group
monovalent hydrocarbon
Prior art date
Application number
TW108141094A
Other languages
English (en)
Other versions
TWI717099B (zh
Inventor
小野繪実子
提箸正義
福島將大
計良祐紀
Original Assignee
日商信越化學工業股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商信越化學工業股份有限公司 filed Critical 日商信越化學工業股份有限公司
Publication of TW202024030A publication Critical patent/TW202024030A/zh
Application granted granted Critical
Publication of TWI717099B publication Critical patent/TWI717099B/zh

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07DHETEROCYCLIC COMPOUNDS
    • C07D333/00Heterocyclic compounds containing five-membered rings having one sulfur atom as the only ring hetero atom
    • C07D333/50Heterocyclic compounds containing five-membered rings having one sulfur atom as the only ring hetero atom condensed with carbocyclic rings or ring systems
    • C07D333/76Dibenzothiophenes
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07DHETEROCYCLIC COMPOUNDS
    • C07D307/00Heterocyclic compounds containing five-membered rings having one oxygen atom as the only ring hetero atom
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07DHETEROCYCLIC COMPOUNDS
    • C07D307/00Heterocyclic compounds containing five-membered rings having one oxygen atom as the only ring hetero atom
    • C07D307/77Heterocyclic compounds containing five-membered rings having one oxygen atom as the only ring hetero atom ortho- or peri-condensed with carbocyclic rings or ring systems
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C309/00Sulfonic acids; Halides, esters, or anhydrides thereof
    • C07C309/01Sulfonic acids
    • C07C309/02Sulfonic acids having sulfo groups bound to acyclic carbon atoms
    • C07C309/03Sulfonic acids having sulfo groups bound to acyclic carbon atoms of an acyclic saturated carbon skeleton
    • C07C309/07Sulfonic acids having sulfo groups bound to acyclic carbon atoms of an acyclic saturated carbon skeleton containing oxygen atoms bound to the carbon skeleton
    • C07C309/12Sulfonic acids having sulfo groups bound to acyclic carbon atoms of an acyclic saturated carbon skeleton containing oxygen atoms bound to the carbon skeleton containing esterified hydroxy groups bound to the carbon skeleton
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C381/00Compounds containing carbon and sulfur and having functional groups not covered by groups C07C301/00 - C07C337/00
    • C07C381/12Sulfonium compounds
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07DHETEROCYCLIC COMPOUNDS
    • C07D311/00Heterocyclic compounds containing six-membered rings having one oxygen atom as the only hetero atom, condensed with other rings
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07DHETEROCYCLIC COMPOUNDS
    • C07D327/00Heterocyclic compounds containing rings having oxygen and sulfur atoms as the only ring hetero atoms
    • C07D327/02Heterocyclic compounds containing rings having oxygen and sulfur atoms as the only ring hetero atoms one oxygen atom and one sulfur atom
    • C07D327/06Six-membered rings
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07DHETEROCYCLIC COMPOUNDS
    • C07D333/00Heterocyclic compounds containing five-membered rings having one sulfur atom as the only ring hetero atom
    • C07D333/02Heterocyclic compounds containing five-membered rings having one sulfur atom as the only ring hetero atom not condensed with other rings
    • C07D333/04Heterocyclic compounds containing five-membered rings having one sulfur atom as the only ring hetero atom not condensed with other rings not substituted on the ring sulphur atom
    • C07D333/06Heterocyclic compounds containing five-membered rings having one sulfur atom as the only ring hetero atom not condensed with other rings not substituted on the ring sulphur atom with only hydrogen atoms, hydrocarbon or substituted hydrocarbon radicals, directly attached to the ring carbon atoms
    • C07D333/08Hydrogen atoms or radicals containing only hydrogen and carbon atoms
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F2/00Processes of polymerisation
    • C08F2/46Polymerisation initiated by wave energy or particle radiation
    • C08F2/48Polymerisation initiated by wave energy or particle radiation by ultraviolet or visible light
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F220/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical or a salt, anhydride ester, amide, imide or nitrile thereof
    • C08F220/02Monocarboxylic acids having less than ten carbon atoms; Derivatives thereof
    • C08F220/10Esters
    • C08F220/12Esters of monohydric alcohols or phenols
    • C08F220/16Esters of monohydric alcohols or phenols of phenols or of alcohols containing two or more carbon atoms
    • C08F220/18Esters of monohydric alcohols or phenols of phenols or of alcohols containing two or more carbon atoms with acrylic or methacrylic acids
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F220/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical or a salt, anhydride ester, amide, imide or nitrile thereof
    • C08F220/02Monocarboxylic acids having less than ten carbon atoms; Derivatives thereof
    • C08F220/10Esters
    • C08F220/12Esters of monohydric alcohols or phenols
    • C08F220/16Esters of monohydric alcohols or phenols of phenols or of alcohols containing two or more carbon atoms
    • C08F220/18Esters of monohydric alcohols or phenols of phenols or of alcohols containing two or more carbon atoms with acrylic or methacrylic acids
    • C08F220/1806C6-(meth)acrylate, e.g. (cyclo)hexyl (meth)acrylate or phenyl (meth)acrylate
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D133/00Coating compositions based on homopolymers or copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and at least one being terminated by only one carboxyl radical, or of salts, anhydrides, esters, amides, imides, or nitriles thereof; Coating compositions based on derivatives of such polymers
    • C09D133/04Homopolymers or copolymers of esters
    • C09D133/06Homopolymers or copolymers of esters of esters containing only carbon, hydrogen and oxygen, the oxygen atom being present only as part of the carboxyl radical
    • C09D133/08Homopolymers or copolymers of acrylic acid esters
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/38Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
    • G03F1/48Protective coatings
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0045Photosensitive materials with organic non-macromolecular light-sensitive compounds not otherwise provided for, e.g. dissolution inhibitors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0046Photosensitive materials with perfluoro compounds, e.g. for dry lithography
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0048Photosensitive materials characterised by the solvents or agents facilitating spreading, e.g. tensio-active agents
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/027Non-macromolecular photopolymerisable compounds having carbon-to-carbon double bonds, e.g. ethylenic compounds
    • G03F7/032Non-macromolecular photopolymerisable compounds having carbon-to-carbon double bonds, e.g. ethylenic compounds with binders
    • G03F7/033Non-macromolecular photopolymerisable compounds having carbon-to-carbon double bonds, e.g. ethylenic compounds with binders the binders being polymers obtained by reactions only involving carbon-to-carbon unsaturated bonds, e.g. vinyl polymers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/038Macromolecular compounds which are rendered insoluble or differentially wettable
    • G03F7/0382Macromolecular compounds which are rendered insoluble or differentially wettable the macromolecular compound being present in a chemically amplified negative photoresist composition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • G03F7/0397Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition the macromolecular compound having an alicyclic moiety in a side chain
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • G03F7/325Non-aqueous compositions
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C2601/00Systems containing only non-condensed rings
    • C07C2601/06Systems containing only non-condensed rings with a five-membered ring
    • C07C2601/08Systems containing only non-condensed rings with a five-membered ring the ring being saturated
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C2601/00Systems containing only non-condensed rings
    • C07C2601/12Systems containing only non-condensed rings with a six-membered ring
    • C07C2601/14The ring being saturated
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C2601/00Systems containing only non-condensed rings
    • C07C2601/18Systems containing only non-condensed rings with a ring being at least seven-membered
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C2602/00Systems containing two condensed rings
    • C07C2602/02Systems containing two condensed rings the rings having only two atoms in common
    • C07C2602/04One of the condensed rings being a six-membered aromatic ring
    • C07C2602/08One of the condensed rings being a six-membered aromatic ring the other ring being five-membered, e.g. indane
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C2602/00Systems containing two condensed rings
    • C07C2602/36Systems containing two condensed rings the rings having more than two atoms in common
    • C07C2602/42Systems containing two condensed rings the rings having more than two atoms in common the bicyclo ring system containing seven carbon atoms
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C2603/00Systems containing at least three condensed rings
    • C07C2603/02Ortho- or ortho- and peri-condensed systems
    • C07C2603/04Ortho- or ortho- and peri-condensed systems containing three rings
    • C07C2603/06Ortho- or ortho- and peri-condensed systems containing three rings containing at least one ring with less than six ring members
    • C07C2603/10Ortho- or ortho- and peri-condensed systems containing three rings containing at least one ring with less than six ring members containing five-membered rings
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C2603/00Systems containing at least three condensed rings
    • C07C2603/56Ring systems containing bridged rings
    • C07C2603/58Ring systems containing bridged rings containing three rings
    • C07C2603/70Ring systems containing bridged rings containing three rings containing only six-membered rings
    • C07C2603/74Adamantanes
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C2603/00Systems containing at least three condensed rings
    • C07C2603/56Ring systems containing bridged rings
    • C07C2603/86Ring systems containing bridged rings containing four rings
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/162Coating on a rotating support, e.g. using a whirler or a spinner
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/168Finishing the coated layer, e.g. drying, baking, soaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • G03F7/2006Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light using coherent light; using polarised light
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2041Exposure; Apparatus therefor in the presence of a fluid, e.g. immersion; using fluid cooling means
    • G03F7/2043Exposure; Apparatus therefor in the presence of a fluid, e.g. immersion; using fluid cooling means with the production of a chemical active agent from a fluid, e.g. an etching agent; with meterial deposition from the fluid phase, e.g. contamination resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • G03F7/322Aqueous alkaline compositions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking

Landscapes

  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Polymers & Plastics (AREA)
  • Medicinal Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Wood Science & Technology (AREA)
  • Materials For Photolithography (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Organic Low-Molecular-Weight Compounds And Preparation Thereof (AREA)

Abstract

本發明之課題為提供一種化學增幅光阻組成物,其於以KrF準分子雷射光、ArF準分子雷射光、電子束、極端紫外線等高能量射線作為光源之光微影中,缺陷少,感度、LWR、MEF、CDU等優異;並提供使用該化學增幅光阻組成物的圖案形成方法。 一種鹽化合物,係以下式(A)表示。

Description

新穎鹽化合物、化學增幅光阻組成物、及圖案形成方法
本發明關於新穎鹽化合物、化學增幅光阻組成物、及圖案形成方法。
伴隨LSI之高整合化與高速化,圖案規則之微細化亦急速發展。特別是快閃記憶體市場的擴大與記憶容量的增加會推動微細化。作為最先進的微細化技術,在ArF微影之圖案的兩側側壁形成膜,從1個圖案以一半線寬形成2個圖案之雙重圖案化(SADP)所為之20nm節點程度的器件已量產。作為次世代的10nm節點之微細加工技術,有重複2次SADP的SAQP為候選技術,但重複多次利用化學氣相成長(CVD)所為之側壁膜形成及利用乾蝕刻所為之加工的該處理被指摘係非常昂貴。波長13.5nm之極端紫外線(EUV)微影,能以1次曝光形成10nm程度之尺寸的圖案,面向實用化的開發正加速中。
ArF微影從130nm節點的器件製作開始部分使用,從90nm節點的器件成為主要的微影技術。作為下一45nm節點之微影技術,起初使用F2 雷射之157nm微影被認為有前景,但因各種問題而被指摘開發延宕,在投影透鏡與晶圓之間插入水、乙二醇、甘油等折射率比起空氣更高的液體,藉此可將投影透鏡之開口數(NA)設計為1.0以上,且能達成高解像度之ArF浸潤微影急速崛起,已處於實用階段。該浸潤微影需要有不易溶出於水的光阻組成物。
ArF微影中,為了防止精密且昂貴的光學系材料劣化,需要以較少曝光量即可發揮充分解像性之高感度的光阻組成物。作為達成此要求之方案,最常採用選擇於波長193nm具有高透明性的各成分。例如關於基礎樹脂,有人提出聚丙烯酸及其衍生物、降莰烯-馬來酸酐交替聚合物、聚降莰烯及開環複分解聚合物、開環複分解聚合物氫化物等,就提高樹脂單體之透明性的觀點,已獲取某程度的成果。
近年來,利用鹼水溶液顯影之正調光阻和利用有機溶劑顯影之負調光阻均受到關注。為了利用負調曝光將以正調無法達成之非常微細的孔圖案予以解像,係以使用高解像性之正型光阻組成物的有機溶劑顯影來形成負圖案。另外,也有人探討藉由組合鹼水溶液顯影與有機溶劑顯影之2次的顯影,來獲得2倍的解像力。
作為利用有機溶劑之負調顯影用的ArF光阻組成物,可使用習知的正型ArF光阻組成物,使用該正型ArF光阻組成物的圖案形成方法記載於專利文獻1~3。
為了適應近年的急速微細化,處理技術和光阻組成物的開發亦日益進步。也有各種關於光酸產生劑的研究,一般使用由三苯基鋶陽離子與全氟烷磺酸陰離子構成之鋶鹽。但是,所產生的酸即全氟烷磺酸,尤其全氟辛烷磺酸(PFOS)有難分解性、生物濃縮性、毒性的顧慮,難應用在光阻組成物,目前使用會產生全氟丁烷磺酸的光酸產生劑。但是將其使用在光阻組成物的話,所產生的酸的擴散大,難以達成高解像性。針對該問題,已開發出各種部分經氟取代之烷磺酸及其鹽,例如專利文獻1中,就習知技術而言記載了利用曝光產生α,α-二氟烷磺酸的光酸產生劑,具體而言記載了1,1-二氟-2-(1-萘基)乙磺酸二(4-第三丁基苯基)錪、產生α,α,β,β-四氟烷磺酸的光酸產生劑。惟,該等的氟取代率雖均下降,但由於不具有酯結構等可分解的取代基,就因易分解性所獲致之環境安全性的觀點係不充分,而且,為了使烷磺酸的大小變化的分子設計有限制,又,存有含氟的起始物質昂貴等的問題。
又,伴隨電路線寬的縮小,在光阻組成物中因為酸擴散導致對比度劣化的影響更為嚴重。原因在於圖案尺寸接近酸擴散長度,故相對於遮罩之尺寸偏移之值的晶圓上之尺寸偏移(遮罩誤差因子(MEF))變大導致遮罩忠實性降低、圖案矩形性劣化。故,為了充分受惠光源之短波長化及高NA化而得之益處,須比習知材料更加增大溶解對比度、或抑制酸擴散。作為改善對策之一,若降低烘烤溫度則酸擴散會減小,就結果而言可改善MEF,但必然會導致低感度化。
將極性基導入至光酸產生劑對於酸擴散的抑制係有效。專利文獻4~5記載一種鹽化合物,其特徵為陰離子結構中具有醯胺基。但是,使用該鹽化合物的光阻組成物,對於酸擴散仍然不能高程度控制,就係圖案粗糙之指標的線寬粗糙度(LWR)、解像性等微影性能整體上並不令人滿意。
就對於酸擴散之抑制係有效的光酸產生劑而言,例如可列舉專利文獻6記載之全氟烷磺酸產生型的具有甜菜鹼結構(1分子中具有陽離子與陰離子結構的結構)的光酸產生劑。具有甜菜鹼結構的光酸產生劑,在酸產生時於分子間形成鹽化合物,或與倂用之光酸產生劑形成鹽化合物,而成為表觀上巨大的化合物。其結果,據認為溶解對比度改善,酸擴散受到抑制,微影性能得到改善。但是,前述具有甜菜鹼結構的光酸產生劑對於光阻溶劑的溶解性低,係會形成二聚物之結構,故在光阻膜中會發生部分凝聚,於膜內之均勻分散性降低,有時會導致LWR或尺寸均勻性(CDU)等劣化。
又,作為少有缺陷出現且具有高有機溶劑溶解性的光酸產生劑,已知陰離子之酸產生部位具有醯亞胺酸或甲基化酸之骨架者。專利文獻7~10中記載醯亞胺酸或甲基化酸型的光酸產生劑。但是,使用前述文獻記載之光酸產生劑時,酸擴散容易變大,在如近年所要求之高解像性之光阻圖案的形成中,微影性能無法令人滿意。 [先前技術文獻] [專利文獻]
[專利文獻1]日本特開2008-281974號公報 [專利文獻2]日本特開2008-281975號公報 [專利文獻3]日本專利第4554665號公報 [專利文獻4]日本專利2010-134279號公報 [專利文獻5]韓國公開專利第10-2012-0072076號公報 [專利文獻6]日本特開2011-16746號公報 [專利文獻7]日本特開2010-8912號公報 [專利文獻8]日本特開2006-84660號公報 [專利文獻9]日本特開2006-84530號公報 [專利文獻10]日本特開2006-330098號公報
[發明所欲解決之課題]
本發明係鑒於前述情事而成,旨在提供一種化學增幅光阻組成物,其於以KrF準分子雷射光、ArF準分子雷射光、電子束(EB)、EUV等高能量射線作為光源之光微影中,缺陷少,且感度、LWR、MEF、CDU等優異;並旨在提供使用該化學增幅光阻組成物的圖案形成方法。 [解決課題之手段]
本案發明人等為了達成前述目的而進行努力研究的結果,發現含有特定結構之鎓鹽作為光酸產生劑的化學增幅光阻組成物,其酸擴散小、曝光裕度(EL)、MEF、CDU、LWR等微影性能優異,對於精密的微細加工係極為有效,而完成了本發明。
亦即,本發明提供下列新穎鹽化合物、化學增幅光阻組成物、及圖案形成方法。 1.一種鹽化合物,係以下式(A)表示。 [化1]
Figure 02_image001
式中,R1 為亦可含有雜原子之碳數1~20之1價烴基。R2 為亦可含有雜原子之碳數1~20之2價烴基。R3 為氫原子或碳數1~12之1價烴基。又,R1 與R3 亦可相互鍵結並和它們所鍵結之碳原子及氮原子一起形成環。Rf1 、Rf2 、Rf3 及Rf4 各自獨立地為氫原子、氟原子或三氟甲基。L1 為單鍵、-CO-O-、-O-CO-、-O-CO-O-或-O-。M+ 為1價有機陽離子。m為0或1。n為0或1。 2.如1之鹽化合物,其中,m及n為1,Rf1 及Rf2 為氟原子,Rf3 及Rf4 為氫原子。 3.如1之鹽化合物,其中,m及n為1,Rf1 及Rf2 為氟原子,Rf3 為三氟甲基,Rf4 為氫原子。 4.如1~3中任一項之鹽化合物,其中,R1 係含有內酯結構之基。 5.如1~4中任一項之鹽化合物,其中,M+ 為下式(A1)表示之鋶鹽、下式(A2)表示之錪鹽或下式(A3)表示之銨鹽。 [化2]
Figure 02_image003
式中,R11 ~R19 各自獨立地為亦可含有雜原子之碳數1~20之1價烴基。 6.一種光酸產生劑,係由如1~5中任一項之鹽化合物構成。 7.一種化學增幅光阻組成物,含有如6之光酸產生劑。 8.如7之化學增幅光阻組成物,更含有包含具有下式(a)表示之重複單元及下式(b)表示之重複單元之聚合物的基礎樹脂。 [化3]
Figure 02_image005
式中,RA 各自獨立地為氫原子、氟原子、甲基或三氟甲基。ZA 為單鍵、伸苯基、伸萘基或(主鏈)-C(=O)-O-Z'-,Z'為亦可含有羥基、醚鍵、酯鍵或內酯環之碳數1~10之烷二基、或伸苯基或伸萘基。XA 為酸不穩定基。YA 為氫原子、或含有選自於羥基、氰基、羰基、羧基、醚鍵、酯鍵、磺酸酯鍵、碳酸酯鍵、內酯環、磺內酯環及羧酸酐中之至少1者以上之結構的極性基。 9.如7或8之化學增幅光阻組成物,更含有有機溶劑。 10.如7~9中任一項之化學增幅光阻組成物,更含有淬滅劑。 11.如10之化學增幅光阻組成物,其中,前述淬滅劑包含下式(1a)或(1b)表示之化合物。 [化4]
Figure 02_image007
式中,Rq1 為氫原子、或亦可含有雜原子之碳數1~40之1價烴基,但與磺基之α位之碳原子鍵結的氫原子取代為氟原子或氟烷基而得者除外。Rq2 為氫原子、或亦可含有雜原子之碳數1~40之1價烴基。Mq+ 為鎓陽離子。 12.如10之化學增幅光阻組成物,其中,前述淬滅劑包含胺化合物。 13.如7~12中任一項之化學增幅光阻組成物,更含有如6之光酸產生劑以外的其他光酸產生劑。 14.如13之化學增幅光阻組成物,其中,前述其他光酸產生劑係下式(3)或(4)表示者。 [化5]
Figure 02_image009
式中,R101 、R102 及R103 各自獨立地為亦可含有雜原子之碳數1~20之1價烴基。又,R101 、R102 及R103 中之任2者亦可相互鍵結並和它們所鍵結之硫原子一起形成環。X- 係選自於下式(3A)~(3D)之陰離子。 [化6]
Figure 02_image011
式中,Rfa 、Rfb1 、Rfb2 、Rfc1 、Rfc2 及Rfc3 各自獨立地為氟原子、或亦可含有雜原子之碳數1~40之1價烴基。又,Rfb1 與Rfb2 、或Rfc1 與Rfc2 亦可相互鍵結並和它們所鍵結之碳原子及此等間之原子一起形成環。Rfd 為亦可含有雜原子之碳數1~40之1價烴基。 [化7]
Figure 02_image013
式中,R201 及R202 各自獨立地為亦可含有雜原子之碳數1~30之1價烴基。R203 為亦可含有雜原子之碳數1~30之2價烴基。又,R201 、R202 及R203 中之任2者亦可相互鍵結並和它們所鍵結之硫原子一起形成環。LA 為單鍵、醚鍵、或亦可含有雜原子之碳數1~20之2價烴基。Xa 、Xb 、Xc 及Xd 各自獨立地為氫原子、氟原子或三氟甲基,但至少1者為氟原子或三氟甲基。 15.如7~14中任一項之化學增幅光阻組成物,更含有不溶或難溶於水但可溶於鹼顯影液的界面活性劑、及/或不溶或難溶於水及鹼顯影液的界面活性劑。 16.一種圖案形成方法,包含下列步驟: 使用如7~15中任一項之化學增幅光阻組成物在基板上形成光阻膜; 將前述光阻膜利用KrF準分子雷射光、ArF準分子雷射光、EB或EUV進行曝光;及 使用顯影液對前述經曝光之光阻膜進行顯影。 17.如16之圖案形成方法,係使用鹼水溶液作為顯影液使曝光部溶解,而獲得未曝光部不溶解的正型圖案。 18.如16之圖案形成方法,係使用有機溶劑作為顯影液使未曝光部溶解,而獲得曝光部不溶解的負型圖案。 19.如18之圖案形成方法,其中,前述有機溶劑係選自於2-辛酮、2-壬酮、2-庚酮、3-庚酮、4-庚酮、2-己酮、3-己酮、二異丁基酮、甲基環己酮、苯乙酮、甲基苯乙酮、乙酸丙酯、乙酸丁酯、乙酸異丁酯、乙酸戊酯、乙酸丁烯酯、乙酸異戊酯、甲酸丙酯、甲酸丁酯、甲酸異丁酯、甲酸戊酯、甲酸異戊酯、戊酸甲酯、戊烯酸甲酯、巴豆酸甲酯、巴豆酸乙酯、丙酸甲酯、丙酸乙酯、3-乙氧基丙酸乙酯、乳酸甲酯、乳酸乙酯、乳酸丙酯、乳酸丁酯、乳酸異丁酯、乳酸戊酯、乳酸異戊酯、2-羥基異丁酸甲酯、2-羥基異丁酸乙酯、苯甲酸甲酯、苯甲酸乙酯、乙酸苯酯、乙酸苄酯、苯基乙酸甲酯、甲酸苄酯、甲酸苯基乙酯、3-苯基丙酸甲酯、丙酸苄酯、苯基乙酸乙酯及乙酸2-苯基乙酯中之至少1種。 20.如16~19中任一項之圖案形成方法,其中,前述曝光係將折射率1.0以上之液體插入在光阻膜與投影透鏡之間而進行的浸潤曝光。 21.如20之圖案形成方法,其中,於前述光阻膜之上進一步形成保護膜,將前述液體插入在該保護膜與投影透鏡之間而進行浸潤曝光。 [發明之效果]
由本發明之鹽化合物構成的光酸產生劑,產生的酸對於光阻溶劑及顯影液的擴散小。因此,根據含有由本發明之鹽化合物構成之光酸產生劑的化學增幅光阻組成物,可建構LWR小的圖案輪廓。
[鹽化合物] 本發明之鹽化合物係下式(A)表示者。 [化8]
Figure 02_image015
式(A)中,R1 為亦可含有雜原子之碳數1~20之1價烴基。R2 為亦可含有雜原子之碳數1~20之2價烴基。R3 為氫原子或碳數1~12之1價烴基。又,R1 與R3 亦可相互鍵結並和它們所鍵結之碳原子及氮原子一起形成環。
R1 表示之亦可含有雜原子之碳數1~20之1價烴基,可為直鏈狀、分支狀、環狀中之任一者,其具體例可列舉:甲基、乙基、丙基、異丙基、正丁基、第二丁基、第三丁基、正戊基、第三戊基、正己基、正辛基、正壬基、正癸基、環戊基、環己基、2-乙基己基、環戊基甲基、環戊基乙基、環戊基丁基、環己基甲基、環己基乙基、環己基丁基、降莰基、氧雜降莰基、三環[5.2.1.02,6 ]癸基、金剛烷基等直鏈狀、分支狀或環狀之烷基;苯基、萘基、蒽基等芳基等。又,該等基之氫原子之一部分也可取代為含有氧原子、硫原子、氮原子、鹵素原子等雜原子之基,該等基之碳原子之間亦可插入含有氧原子、硫原子、氮原子等雜原子之基,其結果,亦可含有羥基、氰基、羰基、醚鍵、酯鍵、磺酸酯鍵、碳酸酯鍵、內酯環、磺內酯環、羧酸酐、鹵烷基等。該等之中,R1 宜為含有內酯環之1價烴基。
R2 表示之亦可含有雜原子之碳數1~20之2價烴基,可為直鏈狀、分支狀、環狀中之任一者,其具體例可列舉:亞甲基、乙烷-1,1-二基、乙烷-1,2-二基、丙烷-1,1-二基、丙烷-1,2-二基、丙烷-1,3-二基、丙烷-2,2-二基、2-甲基丙烷-1,1-二基、丁烷-1,4-二基、戊烷-1,5-二基、己烷-1,6-二基、庚烷-1,7-二基、辛烷-1,8-二基、壬烷-1,9-二基、癸烷-1,10-二基、十一烷-1,11-二基、十二烷-1,12-二基、十三烷-1,13-二基、十四烷-1,14-二基、十五烷-1,15-二基、十六烷-1,16-二基、十七烷-1,17-二基等直鏈狀或分支狀烷二基;環戊烷二基、環己烷二基、降莰烷二基、金剛烷二基等飽和環狀2價烴基;伸苯基、伸萘基等伸芳基等。又,該等基之氫原子之一部分也可取代為甲基、乙基、丙基、正丁基、第三丁基等烷基。又,該等基之氫原子之一部分也可取代為含有氧原子、硫原子、氮原子、鹵素原子等雜原子之基,該等基之碳原子之間亦可插入含有氧原子、硫原子、氮原子等雜原子之基,其結果,亦可含有羥基、氰基、羰基、醚鍵、酯鍵、磺酸酯鍵、碳酸酯鍵、內酯環、磺內酯環、羧酸酐、鹵烷基等。前述雜原子宜為氧原子。
R3 表示之碳數1~12之1價烴基,可為直鏈狀、分支狀、環狀中之任一者,其具體例可列舉作為R1 表示之1價烴基所例示者中碳數1~12者。
式(A)中,Rf1 、Rf2 、Rf3 及Rf4 各自獨立地為氫原子、氟原子或三氟甲基。m為0或1,宜為1。n為0或1,宜為1。該等之中,至少1者宜為氟原子或三氟甲基。尤其將式(A)表示之鹽化合物作為化學增幅光阻組成物之光酸產生劑使用時,考量產生的酸強度的觀點,宜為m及n係1,且Rf1 及Rf2 係氟原子者,進一步Rf3 及Rf4 各自為三氟甲基及氫原子者更佳。
式(A)中,L1 為單鍵、-CO-O-、-O-CO-、-O-CO-O-或-O-。該等之中,考慮合成上的便利性的話,宜為-CO-O-、-O-CO。
式(A)表示之鹽化合物中,L1 為-CO-O-者可列舉以下所示者,但不限定於該等。 [化9]
Figure 02_image016
本發明之鹽化合物於陰離子結構中具有醯胺鍵。由於該醯胺基的效果,可使鹽化合物適度地帶有極性,可期待產生的酸於光阻膜中的擴散受到抑制。藉此,可形成良好的圖案輪廓。
式(A)中,M+ 為1價有機陽離子。前述有機陽離子宜為下式(A1)表示之鋶鹽、下式(A2)表示之錪鹽、或下式(A3)表示之銨鹽。 [化10]
Figure 02_image018
R11 ~R19 各自獨立地為亦可含有雜原子之碳數1~20之1價烴基。前述亦可含有雜原子之碳數1~20之1價烴基,可為直鏈狀、分支狀、環狀中之任一者,其具體例可列舉:甲基、乙基、正丙基、異丙基、正丁基、第三丁基、環丙基、環戊基、環己基、環丙基甲基、4-甲基環己基、環己基甲基、降莰基、金剛烷基等烷基;乙烯基、烯丙基、丙烯基、丁烯基、己烯基、環己烯基等烯基;苯基、萘基、噻吩基等芳基;苄基、1-苯基乙基、2-苯基乙基等芳烷基等,宜為芳基。又,該等基之氫原子之一部分亦可取代為如氧原子、硫原子、氮原子、鹵素原子之雜原子,或插入氧原子、硫原子、氮原子等雜原子。其結果,亦可形成或插入羥基、氰基、羰基、醚鍵、酯鍵、磺酸酯鍵、碳酸酯鍵、內酯環、磺內酯環、羧酸酐、鹵烷基等。
R11 ~R13 中之任2者亦可相互鍵結並和它們所鍵結之硫原子一起形成環。此時,式(A1)表示之鋶陽離子可列舉下式表示者等。 [化11]
Figure 02_image020
式中,R20 為亦可含有雜原子之碳數1~20之1價烴基。前述1價烴基可列舉與R11 ~R19 之說明中記載的1價烴基為同樣者。
式(A1)表示之鋶陽離子可列舉以下所示者,但不限定於該等。 [化12]
Figure 02_image022
[化13]
Figure 02_image024
[化14]
Figure 02_image026
[化15]
Figure 02_image028
[化16]
Figure 02_image030
[化17]
Figure 02_image032
[化18]
Figure 02_image034
[化19]
Figure 02_image036
[化20]
Figure 02_image038
式(A2)表示之錪陽離子可列舉以下所示者,但不限定於該等。 [化21]
Figure 02_image040
式(A3)表示之銨陽離子可列舉以下所示者,但不限定於該等。 [化22]
Figure 02_image042
關於本發明之鹽化合物之合成方法,以m=1之情況舉例,示於下列方案A。 [化23]
Figure 02_image044
式中,R1 ~R3 、Rf1 ~Rf4 、M+ 及n與前述相同。Q+ 為相對陽離子。A- 為相對陰離子。
方案A所示之方法,係使作為原材料之中間體X與中間體Y縮合,並使獲得之中間體Z和A- M+ 表示之鎓鹽進行鹽交換,而合成鹽化合物(A')的方法。
第一步驟係藉由市售品或能以公知的合成方法合成之中間體X、與中間體Y表示之醇化合物的縮合反應,而獲得中間體Z的步驟。
第一步驟中,羧基與中間體Y之羥基形成酯鍵。反應能以公知的有機合成方法進行。具體而言,係藉由使用各種縮合劑來進行縮合反應。所使用之縮合劑可列舉:N,N'-二環己基碳二亞胺、N,N'-二異丙基碳二亞胺、1-[3-(二甲基胺基)丙基]-3-乙基碳二亞胺、鹽酸1-乙基-3-(3-二甲基胺基丙基)碳二亞胺等,考量反應後作為副產物生成之脲化合物的除去容易度的觀點,宜使用鹽酸1-乙基-3-(3-二甲基胺基丙基)碳二亞胺。反應係將中間體X與中間體Y溶解於二氯甲烷等鹵素系溶劑,並添加縮合劑來進行。添加4-二甲基胺基吡啶作為反應的觸媒的話,可改善反應速度。就反應時間而言,考量產率的觀點,宜利用矽膠薄層層析法(TLC)等追蹤反應並使反應完成較理想,通常為約12~24小時。反應停止後,藉由將反應液進行通常的水系處理(aqueous work-up),可獲得中間體Z。獲得之中間體Z若有必要可依層析、再結晶等常法進行精製。
第二步驟係藉由使獲得之中間體Z與A- M+ 表示之鎓鹽進行鹽交換,而合成鎓鹽(A')。此外,就A- 而言,氯化物離子、溴化物離子、甲基硫酸陰離子等容易定量地進行交換反應,係較佳。考量產率的觀點,宜利用TLC等確認反應的進行較理想。可從反應混合物利用通常的水系處理(aqueous work-up)來獲得鎓鹽(A')。若有必要可依層析、再結晶等常法進行精製。
方案A中,第二步驟之離子交換能以公知的方法輕易地進行,例如可參考日本特開2007-145797號公報。
此外,該等合成方法僅為例示,本發明之鹽化合物之合成方法不限定於該等。
[化學增幅光阻組成物] 本發明之化學增幅光阻組成物,含有(A)由式(A)表示之鹽化合物構成的光酸產生劑作為必要成分,並含有 (B)基礎樹脂、及 (C)有機溶劑作為其他材料, 視需要更可含有 (D)淬滅劑、及 (E)式(A)表示之鹽化合物以外的光酸產生劑、 (F)不溶或難溶於水但可溶於鹼顯影液的界面活性劑、及/或不溶或難溶於水及鹼顯影液的界面活性劑。
(A)成分之光酸產生劑的摻合量,相對於後述(B)基礎樹脂100質量份宜為0.1~40質量份,為1~20質量份更佳。(A)成分的摻合量若為前述範圍內,會作為光酸產生劑充分發揮功能,而不會有發生感度降低、溶解性不足而導致異物產生等性能劣化之虞。(A)光酸產生劑可單獨使用1種或將2種以上組合使用。
[(B)基礎樹脂] (B)成分之基礎樹脂含有含下式(a)表示之重複單元及下式(b)表示之重複單元的聚合物。 [化24]
Figure 02_image046
式中,RA 各自獨立地為氫原子、氟原子、甲基或三氟甲基。ZA 為單鍵、伸苯基、伸萘基或(主鏈)-C(=O)-O-Z'-,Z'為亦可含有羥基、醚鍵、酯鍵或內酯環之碳數1~10之烷二基、或伸苯基或伸萘基。XA 為酸不穩定基。YA 為氫原子、或含有選自於羥基、氰基、羰基、羧基、醚鍵、酯鍵、磺酸酯鍵、碳酸酯鍵、內酯環、磺內酯環及羧酸酐中之至少1者以上之結構的極性基。
改變式(a)中之ZA 而得之結構可列舉以下所示者,但不限定於該等。此外,下式中,RA 及XA 與前述相同。 [化25]
Figure 02_image048
含有式(a)表示之重複單元之聚合物,會因酸的作用而分解並產生羧酸,係鹼可溶性。
酸不穩定基XA 並無特別限定,例如宜為:選自於下式(L1)~(L4)之基;碳數4~20,較佳為4~15之3級烷基;各烷基分別為碳數1~6之烷基的三烷基矽基;碳數4~20之側氧基烷基等。 [化26]
Figure 02_image050
式中,虛線為原子鍵(以下相同)。
式(L1)中,RL01 及RL02 為氫原子、或碳數1~18,較佳為1~10之烷基。前述烷基可為直鏈狀、分支狀、環狀中之任一者,其具體例可列舉:甲基、乙基、丙基、異丙基、正丁基、第二丁基、第三丁基、環戊基、環己基、2-乙基己基、正辛基、降莰基、三環癸基、四環十二烷基、金剛烷基等。
式(L1)中,RL03 為亦可含有氧原子等雜原子之碳數1~18,較佳為1~10之1價烴基。前述1價烴基可列舉:直鏈狀、分支狀或環狀之烷基;該等基之氫原子之一部分取代為羥基、烷氧基、側氧基、胺基、烷基胺基等而得者;該等基之碳原子之一部分取代為含有氧原子等雜原子之基而得者等。前述烷基可列舉與作為RL01 及RL02 表示之烷基所述的烷基為同樣者。又,取代烷基可列舉以下所示之基等。 [化27]
Figure 02_image052
RL01 與RL02 、RL01 與RL03 、或RL02 與RL03 亦可相互鍵結並和它們所鍵結之碳原子、氧原子一起形成環,形成環時,參與環形成的RL01 、RL02 及RL03 各自為直鏈狀或分支狀之碳數1~18,較佳為1~10之伸烷基。
式(L2)中,RL04 為:碳數4~20,較佳為4~15之3級烷基;各烷基分別為碳數1~6之烷基的三烷基矽基;碳數4~20之側氧基烷基;或式(L1)表示之基。前述3級烷基可列舉:第三丁基、第三戊基、1,1-二乙基丙基、2-環戊基丙烷-2-基、2-環己基丙烷-2-基、2-(雙環[2.2.1]庚烷-2-基)丙烷-2-基、2-(金剛烷-1-基)丙烷-2-基、1-乙基環戊基、1-丁基環戊基、1-乙基環己基、1-丁基環己基、1-乙基-2-環戊烯基、1-乙基-2-環己烯基、2-甲基-2-金剛烷基、2-乙基-2-金剛烷基等。前述三烷基矽基可列舉:三甲基矽基、三乙基矽基、二甲基-第三丁基矽基等。前述側氧基烷基可列舉:3-側氧基環己基、4-甲基-2-側氧基氧雜環己烷-4-基、5-甲基-2-側氧基氧雜環戊烷-5-基等。x為0~6之整數。
式(L3)中,RL05 為亦可經取代之碳數1~8之烷基、或亦可經取代之碳數6~20之芳基。前述亦可經取代之烷基可為直鏈狀、分支狀、環狀中之任一者,其具體例可列舉:甲基、乙基、丙基、異丙基、正丁基、第二丁基、第三丁基、正戊基、第三戊基、正己基、環戊基、環己基等直鏈狀、分支狀或環狀之烷基;該等基之氫原子之一部分取代為羥基、烷氧基、羧基、烷氧基羰基、側氧基、胺基、烷基胺基、氰基、巰基、烷硫基、磺基等而得者等。前述亦可經取代之芳基可列舉:苯基、甲基苯基、萘基、蒽基、菲基、芘基;該等基之氫原子之一部分取代為羥基、烷氧基、羧基、烷氧基羰基、側氧基、胺基、烷基胺基、氰基、巰基、烷硫基、磺基等而得者等。y為0或1,z為0~3之整數,2y+z=2或3。
式(L4)中,RL06 為亦可經取代之碳數1~8之烷基、或亦可經取代之碳數6~20之芳基。前述烷基及芳基之具體例可分別列舉與作為RL05 表示者所說明的烷基及芳基為同樣者。
式(L4)中,RL07 ~RL16 各自獨立地為氫原子、或亦可經取代之碳數1~15之1價烴基。前述1價烴基可列舉:甲基、乙基、丙基、異丙基、正丁基、第二丁基、第三丁基、正戊基、第三戊基、正己基、正辛基、正壬基、正癸基、環戊基、環己基、環戊基甲基、環戊基乙基、環戊基丁基、環己基甲基、環己基乙基、環己基丁基等直鏈狀、分支狀或環狀之烷基;它們的氫原子之一部分取代為羥基、烷氧基、羧基、烷氧基羰基、側氧基、胺基、烷基胺基、氰基、巰基、烷硫基、磺基等而得者等。就RL07 ~RL16 而言,選自於該等中之2個亦可相互鍵結並和它們所鍵結之碳原子一起形成環(例如,RL07 與RL08 、RL07 與RL09 、RL07 與RL10 、RL08 與RL10 、RL09 與RL10 、RL11 與RL12 、RL13 與RL14 等),此時,參與環形成之基為碳數1~15之2價烴基。前述2價烴基可列舉從作為前述1價烴基所列舉之基除去1個氫原子而得者等。又,RL07 ~RL16 中與鄰接的碳鍵結者彼此亦可直接鍵結並形成雙鍵(例如,RL07 與RL09 、RL09 與RL15 、RL13 與RL15 、RL14 與RL15 等)。
式(L1)表示之酸不穩定基中,直鏈狀或分支狀者可列舉以下所示之基,但不限定於該等。 [化28]
Figure 02_image054
式(L1)表示之酸不穩定基中,環狀者可列舉四氫呋喃-2-基、2-甲基四氫呋喃-2-基、四氫吡喃-2-基、2-甲基四氫吡喃-2-基等。
式(L2)表示之酸不穩定基可列舉:第三丁氧基羰基、第三丁氧基羰基甲基、第三戊基氧基羰基、第三戊基氧基羰基甲基、1,1-二乙基丙基氧基羰基、1,1-二乙基丙基氧基羰基甲基、1-乙基環戊基氧基羰基、1-乙基環戊基氧基羰基甲基、1-乙基-2-環戊烯基氧基羰基、1-乙基-2-環戊烯基氧基羰基甲基、1-乙氧基乙氧基羰基甲基、2-四氫吡喃基氧基羰基甲基、2-四氫呋喃基氧基羰基甲基等。
式(L3)表示之酸不穩定基可列舉:1-甲基環戊基、1-乙基環戊基、1-正丙基環戊基、1-異丙基環戊基、1-正丁基環戊基、1-第二丁基環戊基、1-環己基環戊基、1-(4-甲氧基-正丁基)環戊基、1-甲基環己基、1-乙基環己基、3-甲基-1-環戊烯-3-基、3-乙基-1-環戊烯-3-基、3-甲基-1-環己烯-3-基、3-乙基-1-環己烯-3-基等。
式(L4)表示之酸不穩定基為下式(L4-1)~(L4-4)表示之基特佳。 [化29]
Figure 02_image056
式(L4-1)~(L4-4)中,虛線為鍵結位置及鍵結方向。RL21 各自獨立地為碳數1~10之烷基等1價烴基。前述1價烴基可為直鏈狀、分支狀、環狀中之任一者,其具體例可列舉:甲基、乙基、丙基、異丙基、正丁基、第二丁基、第三丁基、正戊基、第三戊基、正己基、環戊基、環己基等。
式(L4-1)~(L4-4)表示之基會存在立體異構體(鏡像異構物或非鏡像異構物),以式(L4-1)~(L4-4)來代表表示該等立體異構體之全部。酸不穩定基XA 為式(L4)表示之基時,亦可含有多種立體異構體。
例如,式(L4-3)係代表表示選自於下式(L4-3-1)及(L4-3-2)表示之基中之1種或2種之混合物。 [化30]
Figure 02_image058
式中,RL21 與前述相同。
又,式(L4-4)係代表表示選自於下式(L4-4-1)~(L4-4-4)表示之基中之1種或2種以上之混合物。 [化31]
Figure 02_image060
式中,RL21 與前述相同。
式(L4-1)~(L4-4)、(L4-3-1)、(L4-3-2)、及式(L4-4-1)~(L4-4-4)係代表表示該等之鏡像異構物及鏡像異構物之混合物。
此外,藉由式(L4-1)~(L4-4)、(L4-3-1)、(L4-3-2)、及式(L4-4-1)~(L4-4-4)的鍵結方向相對於雙環[2.2.1]庚烷環分別為exo側,可實現酸觸媒脫離反應中的高反應性(參照日本特開2000-336121號公報)。以具有雙環[2.2.1]庚烷骨架之3級exo-烷基作為取代基的單體之製造中,有時會有包含取代為下式(L4-1-endo)~(L4-4-endo)表示之endo-烷基之單體的情況,但為了實現良好的反應性,exo比率宜為50莫耳%以上,exo比率為80莫耳%以上更佳。 [化32]
Figure 02_image062
式中,RL21 與前述相同。
式(L4)表示之酸不穩定基可列舉以下所示之基,但不限定於該等。 [化33]
Figure 02_image064
又,XA 表示之碳數4~20之3級烷基、各烷基分別為碳數1~6之烷基的三烷基矽基、及碳數4~20之側氧基烷基,可分別列舉與RL04 之說明中所列舉之基為同樣者。
式(a)表示之重複單元可列舉以下所示者,但不限定於該等。此外,下式中,RA 與前述相同。 [化34]
Figure 02_image066
[化35]
Figure 02_image068
[化36]
Figure 02_image070
[化37]
Figure 02_image072
[化38]
Figure 02_image074
此外,前述具體例係ZA 為單鍵之情形,但ZA 為單鍵以外之情形亦可與同樣的酸不穩定基組合。ZA 為單鍵以外之情形的具體例如前述。
式(b)表示之重複單元可列舉以下所示者,但不限定於該等。此外,下式中,RA 與前述相同。 [化39]
Figure 02_image076
[化40]
Figure 02_image078
[化41]
Figure 02_image080
[化42]
Figure 02_image082
[化43]
Figure 02_image084
[化44]
Figure 02_image086
[化45]
Figure 02_image088
[化46]
Figure 02_image090
[化47]
Figure 02_image092
式(b)表示之重複單元為具有內酯環作為極性基者最佳。
前述聚合物亦可更含有具有經以酸不穩定基將羥基予以保護之結構的重複單元。如此之重複單元,只要是具有1個或2個以上之羥基經保護之結構,且保護基會因酸的作用而分解並生成羥基者,則無特別限定,宜為下式(c1)表示者。 [化48]
Figure 02_image094
式(c1)中,RA 與前述相同。R21 為亦可含有雜原子之碳數1~30之(j+1)價烴基。前述烴基可為直鏈狀、分支狀、環狀中之任一者。R22 為酸不穩定基。j為1~4之整數。
式(c1)表示之重複單元可列舉以下所示者,但不限定於該等。此外,下式中,RA 及R22 與前述相同。 [化49]
Figure 02_image096
[化50]
Figure 02_image098
[化51]
Figure 02_image100
[化52]
Figure 02_image102
式(c1)中,酸不穩定基R22 只要是會因酸的作用而脫保護並產生羥基者即可。R22 之結構並無特別限定,宜為縮醛結構、縮酮結構、或烷氧基羰基等,具體而言可列舉以下所示者。 [化53]
Figure 02_image104
就R22 而言,特佳的酸不穩定基為下式(c2)表示之烷氧基甲基。 [化54]
Figure 02_image106
式(c2)中,虛線為原子鍵。R23 為碳數1~15之1價烴基。前述1價烴基可為直鏈狀、分支狀、環狀中之任一者。
式(c2)表示之酸不穩定基可列舉以下所示者,但不限定於該等。 [化55]
Figure 02_image108
[化56]
Figure 02_image110
[化57]
Figure 02_image112
前述聚合物亦可更含有前述以外的其他重複單元。例如亦可含有來自如下物質之重複單元:甲基丙烯酸甲酯、巴豆酸甲酯、馬來酸二甲酯、伊康酸二甲酯等取代丙烯酸酯類;馬來酸、富馬酸、伊康酸等不飽和羧酸;降莰烯、降莰烯衍生物、四環[6.2.1.13,6 .02,7 ]十二烯衍生物等環狀烯烴類;伊康酸酐等不飽和酸酐;其他單體。
前述聚合物之重量平均分子量(Mw)宜為1,000~500,000,為3,000~100,000更佳。若Mw為該範圍內,可獲得充分的蝕刻耐性,不會有因無法確保曝光前後之溶解速度差而導致解像性降低之虞。此外,本發明中,Mw係藉由使用四氫呋喃(THF)作為溶劑之凝膠滲透層析法(GPC)獲得的聚苯乙烯換算測定值。
另外,前述聚合物中,分子量分布(Mw/Mn)寬時,存在低分子量、高分子量之聚合物,故會有曝光後在圖案上觀察到異物,或圖案的形狀惡化之虞。因此,隨著圖案規則微細化,如此之Mw、Mw/Mn的影響容易變大,故為了獲得適合使用於微細圖案尺寸的化學增幅光阻組成物,前述聚合物之Mw/Mn宜為1.0~2.0之窄分散。
前述聚合物之合成方法之一例可列舉如下方法:將1種或多種具有不飽和鍵之單體,在有機溶劑中,加入自由基引發劑並加熱而進行聚合。聚合反應中所使用之有機溶劑,可列舉甲苯、苯、THF、二乙醚、二㗁烷等。聚合引發劑可列舉:2,2'-偶氮雙異丁腈(AIBN)、2,2'-偶氮雙(2,4-二甲基戊腈)、二甲基-2,2-偶氮雙(2-甲基丙酸酯)、過氧化苯甲醯、過氧化月桂醯等。反應溫度宜為50~80℃。反應時間宜為2~100小時,更佳為5~20小時。酸不穩定基可直接使用導入至單體者,亦可在聚合後予以保護化或部分保護化。
前述聚合物中之各重複單元之理想含有比例,例如可設定為以下所示之範圍,但不限定於此。 (I)式(a)表示之重複單元之1種或2種以上宜設定為1~60莫耳%,更佳為5~50莫耳%,又更佳為10~50莫耳%; (II)式(b)表示之重複單元之1種或2種以上宜設定為40~99莫耳%,更佳為50~95莫耳%,又更佳為50~90莫耳%; (III)來自其他單體之重複單元之1種或2種以上宜設定為0~80莫耳%,更佳為0~70莫耳%,又更佳為0~50莫耳%。
就(B)基礎樹脂而言,可單獨使用1種前述聚合物,亦可將組成比率、Mw及/或Mw/Mn不同的2種以上組合使用。
[(C)有機溶劑] 本發明中使用之(C)成分之有機溶劑,只要是可使前述各成分及後述各成分溶解的有機溶劑,則無特別限定。作為如此之有機溶劑,例如可列舉:日本特開2008-111103號公報之段落[0144]~[0145]記載之環己酮、甲基-2-正戊基酮等酮類;3-甲氧基丁醇、3-甲基-3-甲氧基丁醇、1-甲氧基-2-丙醇、1-乙氧基-2-丙醇、二丙酮醇等醇類;丙二醇單甲醚、乙二醇單甲醚、丙二醇單乙醚、乙二醇單乙醚、丙二醇二甲醚、二乙二醇二甲醚等醚類;丙二醇單甲醚乙酸酯(PGMEA)、丙二醇單乙醚乙酸酯、乳酸乙酯、丙酮酸乙酯、乙酸丁酯、3-甲氧基丙酸甲酯、3-乙氧基丙酸乙酯、乙酸第三丁酯、丙酸第三丁酯、丙二醇單第三丁醚乙酸酯等酯類;γ-丁內酯(GBL)等內酯類;及它們的混合溶劑。使用縮醛系之酸不穩定基時,為了加速縮醛的脫保護反應,亦可加入高沸點的醇系溶劑,具體而言亦可加入二乙二醇、丙二醇、甘油、1,4-丁烷二醇、1,3-丁烷二醇等。
該等有機溶劑之中,為(A)成分之光酸產生劑之溶解性尤其優異的1-乙氧基-2-丙醇、PGMEA、環己酮、GBL、及它們的混合溶劑更佳。有機溶劑的摻合量相對於(B)基礎樹脂100質量份,宜為200~5,000質量份,為400~3,000質量份更佳。
[(D)淬滅劑] 本發明之化學增幅光阻組成物視需要亦可更含有淬滅劑。此外,本發明中,淬滅劑意指將由光酸產生劑產生的酸予以捕捉的化合物。藉此,可抑制由光酸產生劑產生的酸於光阻膜中擴散時的擴散速度。
前述淬滅劑宜為下式(1a)或(1b)表示之鎓鹽。 [化58]
Figure 02_image114
式中,Rq1 為氫原子、或亦可含有雜原子之碳數1~40之1價烴基,但與磺基之α位之碳原子鍵結的氫原子取代為氟原子或氟烷基而得者除外。Rq2 為氫原子、或亦可含有雜原子之碳數1~40之1價烴基。
Rq1 表示之1價烴基可列舉:氫原子、甲基、乙基、丙基、異丙基、正丁基、第二丁基、第三丁基、正戊基、第三戊基、正己基、正辛基、正壬基、正癸基、環戊基、環己基、2-乙基己基、環戊基甲基、環戊基乙基、環戊基丁基、環己基甲基、環己基乙基、環己基丁基、降莰基、氧雜降莰基、三環[5.2.1.02,6 ]癸基、金剛烷基、苯基、萘基、蒽基等。又,該等基之氫原子之一部分也可取代為含有氧原子、硫原子、氮原子、鹵素原子等雜原子之基,或該等基之碳原子之一部分亦可取代為含有氧原子、硫原子、氮原子等雜原子之基,其結果,亦可含有羥基、氰基、羰基、醚鍵、酯鍵、磺酸酯鍵、碳酸酯鍵、內酯環、磺內酯環、羧酸酐、鹵烷基等。
Rq2 表示之1價烴基除可列舉作為Rq1 之具體例所例示之取代基外,還可列舉三氟甲基、三氟乙基等含氟之烷基、五氟苯基、4-三氟甲基苯基等含氟之芳基等。
式(1a)表示之鎓鹽之陰離子可列舉以下所示者,但不限定於該等。 [化59]
Figure 02_image116
[化60]
Figure 02_image118
式(1b)表示之鎓鹽之陰離子可列舉以下所示者,但不限定於該等。 [化61]
Figure 02_image120
式(1a)及(1b)中,Mq+ 為鎓陽離子。前述鎓陽離子宜為下式(2a)、(2b)或(2c)表示者。 [化62]
Figure 02_image122
式中,Rq11 ~Rq19 各自獨立地為亦可含有雜原子之碳數1~20之1價烴基。Rq11 ~Rq13 中之任2者亦可相互鍵結並和它們所鍵結之硫原子一起形成環。Rq14 及Rq15 亦可相互鍵結並和它們所鍵結之碘原子一起形成環。又,Rq16 ~Rq19 中之任2者亦可相互鍵結並和它們所鍵結之氮原子一起形成環。前述1價烴基可為直鏈狀、分支狀或環狀中之任一者,其具體例可列舉:甲基、乙基、丙基、異丙基、正丁基、第二丁基、第三丁基、正戊基、第三戊基、正己基、正辛基、環戊基、環己基、2-乙基己基、環戊基甲基、環戊基乙基、環己基甲基、環己基乙基、降莰基、氧雜降莰基、三環[5.2.1.02,6 ]癸基、金剛烷基等烷基;苯基、萘基等芳基等。又,該等基之氫原子之一部分也可取代為含有氧原子、硫原子、氮原子、鹵素原子等雜原子之基,該等基之碳原子之一部分亦可取代為含有氧原子、硫原子、氮原子等雜原子之基,其結果,亦可含有羥基、氰基、羰基、醚鍵、酯鍵、磺酸酯鍵、碳酸酯鍵、內酯環、磺內酯環、羧酸酐、鹵烷基等。
前述鎓陽離子可列舉以下所示者,但不限定於該等。 [化63]
Figure 02_image124
[化64]
Figure 02_image126
式(1a)或(1b)表示之鎓鹽,可列舉前述陰離子及鎓陽離子的任意組合。此外,如此之鎓鹽可藉由使用了習知有機化學方法之離子交換反應輕易地製備。離子交換可利用公知的方法輕易地進行,例如可參考日本特開2007-145797號公報。
式(1a)或(1b)表示之鎓鹽中含有的陰離子係弱酸之共軛鹼,故作為淬滅劑發揮功能。此處,弱酸意指有無法使基礎樹脂使用之含酸不穩定基之單元之酸不穩定基脫保護的酸性度者。式(1a)或(1b)表示之鎓鹽,當和具有如α位經氟化之磺酸之強酸之共軛鹼作為相對陰離子的鎓鹽型光酸產生劑併用時,作為淬滅劑發揮功能。亦即,當將會產生如α位經氟化之磺酸之強酸的鎓鹽、與會產生如未經氟取代之磺酸、羧酸之弱酸的鎓鹽混合使用時,若因高能量射線照射而從光酸產生劑產生的強酸碰撞未反應的具弱酸陰離子之鎓鹽的話,則會因鹽交換而放出弱酸,生成具有強酸陰離子的鎓鹽。在該過程中,強酸會交換成觸媒能力較低的弱酸,故巨觀上酸失活,可進行酸擴散的控制,亦即作為淬滅劑發揮功能。
此處,產生強酸的光酸產生劑為鎓鹽時,如前所述,因高能量射線照射而產生的強酸可交換成弱酸,但另一方面,因高能量射線照射而產生的弱酸據認為不易和未反應的產生強酸之鎓鹽碰撞而進行鹽交換。係因為鎓陽離子容易和較強酸之陰離子形成離子對的現象所致。
式(1a)或(1b)表示之鎓鹽的摻合量,相對於(B)基礎樹脂100質量份為0~40質量份,但摻合時宜為0.1~40質量份,更佳為0.1~20質量份。過多的話,會有解像性劣化、光阻膜之顯影後或剝離時產生異物問題之虞。式(1a)或(1b)表示之鎓鹽可單獨使用1種或將2種以上組合使用。
就(D)淬滅劑而言,除了使用式(1a)或(1b)表示之鎓鹽化合物外,視需要亦可倂用具有含氮取代基之光分解性鎓鹽。如此之化合物於未曝光部作為淬滅劑發揮功能,曝光部則因與其本身產生的酸中和而失去淬滅劑能力,而作為所謂光崩壞性鹼發揮功能。藉由使用光崩壞性鹼,可進一步提高曝光部與未曝光部的對比度。光崩壞性鹼例如可列舉日本特開2009-109595號公報、日本特開2012-46501號公報、日本特開2013-209360號公報等記載者。
此外,前述光崩壞性鹼的摻合量,相對於(B)基礎樹脂100質量份為0~40質量份,但摻合時宜為0.1~40質量份,更佳為0.1~20質量份。過多的話,會有解像性劣化、光阻膜之顯影後或剝離時產生異物問題之虞。光崩壞性鹼可單獨使用1種或將2種以上組合使用。
(D)淬滅劑亦可使用胺化合物。前述胺化合物可列舉日本特開2008-111103號公報之段落[0146]~[0164]記載之1級、2級或3級胺化合物,尤其可列舉具有羥基、醚鍵、酯鍵、內酯環、氰基、磺酸酯鍵的胺化合物。又,亦可列舉如日本專利第3790649號公報記載之化合物般,將1級或2級胺以胺基甲酸酯基予以保護而得之化合物。
此外,胺化合物的摻合量,相對於(B)基礎樹脂100質量份為0~12質量份,但摻合時宜為0.001~12質量份,為0.01~8質量份更佳。藉由摻合胺化合物,感度的調整變得容易,且酸在光阻膜中之擴散速度受到抑制而使解像度改善,可抑制曝光後之感度變化,或減少基板、環境依存性,並改善曝光裕度、圖案輪廓等。又,亦可改善基板密接性。胺化合物可單獨使用1種或將2種以上組合使用。
[(E)其他光酸產生劑] 本發明之化學增幅光阻組成物,亦可更含有式(A)表示之鹽化合物以外的其他光酸產生劑。就前述其他光酸產生劑而言,只要是會因紫外線、遠紫外線、EB、EUV、X射線、準分子雷射、γ射線、同步加速放射線等高能量射線照射而產生酸的化合物,則無特別限定。理想的光酸產生劑可列舉:鋶鹽、錪鹽、磺醯基重氮甲烷、N-磺醯氧基二羧基醯亞胺、O-芳基磺醯基肟、O-烷基磺醯基肟等光酸產生劑等。該等可單獨使用1種或將2種以上混合使用。作為該等光酸產生劑,例如可列舉日本特開2007-145797號公報之段落[0102]~[0113]記載者。此外,錪鹽之陽離子宜為二苯基錪及二-第三丁基苯基錪。
理想的光酸產生劑可列舉下式(3)表示者。 [化65]
Figure 02_image128
式(3)中,R101 、R102 及R103 各自獨立地為亦可含有雜原子之碳數1~20之1價烴基。前述1價烴基可為直鏈狀、分支狀、環狀中之任一者,其具體例可列舉:甲基、乙基、丙基、異丙基、正丁基、第二丁基、第三丁基、正戊基、第三戊基、正己基、正辛基、環戊基、環己基、2-乙基己基、環戊基甲基、環戊基乙基、環己基甲基、環己基乙基、降莰基、氧雜降莰基、三環[5.2.1.02,6 ]癸基、金剛烷基等烷基;苯基、萘基等芳基等。又,該等基之氫原子之一部分也可取代為含有氧原子、硫原子、氮原子、鹵素原子等雜原子之基,該等基之碳原子之一部分亦可取代為含有氧原子、硫原子、氮原子等雜原子之基,其結果,亦可含有羥基、氰基、羰基、醚鍵、酯鍵、磺酸酯鍵、碳酸酯鍵、內酯環、磺內酯環、羧酸酐、鹵烷基等。該等之中,R101 、R102 及R103 宜為氫原子亦可經取代之芳基。
又,R101 、R102 及R103 中之任2者亦可相互鍵結並和它們所鍵結之硫原子一起形成環。此時的陽離子之具體例可列舉以下所示者,但不限定於該等。 [化66]
Figure 02_image130
式中,R104 為亦可含有雜原子之碳數1~20之1價烴基。前述1價烴基可列舉與R101 ~R103 之說明中所述的1價烴基為同樣者。
式(3)中,鋶陽離子可列舉以下所示者,但不限定於該等。 [化67]
Figure 02_image132
式(3)中,X- 係選自於下式(3A)~(3D)之陰離子。 [化68]
Figure 02_image134
式(3A)中,Rfa 為氟原子、或亦可含有雜原子之碳數1~40之1價烴基。前述1價烴基可為直鏈狀、分支狀、環狀中之任一者。作為式(3A)表示之陰離子,可理想地列舉九氟丁烷磺酸酯離子、日本特開2012-189977號公報之段落[0247]~[0251]記載之部分氟化磺酸酯離子、日本特開2013-101271號公報之段落[0261]~[0265]記載之部分氟化磺酸酯離子等。
式(3A)表示之陰離子為下式(3A')表示者特佳。 [化69]
Figure 02_image136
式(3A')中,R111 為氫原子或三氟甲基。R112 為亦可含有雜原子之碳數1~30之1價烴基。R112 中含有的雜原子宜為氧原子、氮原子、硫原子、鹵素原子,為氧原子更佳。就前述1價烴基而言,考量在微細圖案形成中獲得高解像性的觀點,尤其宜為碳數6~30者。前述1價烴基可為直鏈狀、分支狀、環狀中之任一者,其具體例可列舉:甲基、乙基、丙基、異丙基、丁基、異丁基、第二丁基、第三丁基、戊基、新戊基、環戊基、己基、環己基、3-環己烯基、庚基、2-乙基己基、壬基、十一烷基、十三烷基、十五烷基、十七烷基、1-金剛烷基、2-金剛烷基、1-金剛烷基甲基、降莰基、降莰基甲基、三環癸基、四環十二烷基、四環十二烷基甲基、二環己基甲基、二十烷基、烯丙基、苄基、二苯基甲基、四氫呋喃基、甲氧基甲基、乙氧基甲基、甲硫基甲基、乙醯胺甲基、三氟乙基、(2-甲氧基乙氧基)甲基、乙醯氧基甲基、2-羧基-1-環己基、2-側氧基丙基、4-側氧基-1-金剛烷基、3-側氧基環己基等。
關於具有式(3A')表示之陰離子的鋶鹽之合成,詳見日本特開2007-145797號公報、日本特開2008-106045號公報、日本特開2009-7327號公報、日本特開2009-258695號公報等。
式(3A)表示之陰離子可列舉以下所示者,但不限定於該等。此外,下式中,Ac為乙醯基。 [化70]
Figure 02_image138
[化71]
Figure 02_image140
[化72]
Figure 02_image142
[化73]
Figure 02_image144
式(3B)中,Rfb1 及Rfb2 各自獨立地為氟原子、或亦可含有雜原子之碳數1~40之1價烴基。前述1價烴基可為直鏈狀、分支狀、環狀中之任一者,其具體例可列舉與前述R112 之說明中所列舉之1價烴基為同樣者。Rfb1 及Rfb2 宜為氟原子或碳數1~4之直鏈狀氟化烷基。又,Rfb1 及Rfb2 亦可相互鍵結並和它們所鍵結之基(-CF2 -SO2 -N- -SO2 -CF2 -)一起形成環,尤其宜以氟化伸乙基、氟化伸丙基形成環結構。
式(3C)中,Rfc1 、Rfc2 及Rfc3 各自獨立地為氟原子、或亦可含有雜原子之碳數1~40之1價烴基。前述1價烴基可為直鏈狀、分支狀、環狀中之任一者,其具體例可列舉與前述R112 之說明中所列舉之1價烴基為同樣者。Rfc1 、Rfc2 及Rfc3 宜為氟原子或碳數1~4之直鏈狀氟化烷基。又,Rfc1 及Rfc2 亦可相互鍵結並和它們所鍵結之基(-CF2 -SO2 -C- -SO2 -CF2 -)一起形成環,尤其宜以氟化伸乙基、氟化伸丙基形成環結構。
式(3D)中,Rfd 為亦可含有雜原子之直鏈狀、分支狀或環狀之碳數1~40之1價烴基。前述1價烴基可列舉與前述R112 之說明中所列舉之1價烴基為同樣者。
關於具有式(3D)表示之陰離子的鋶鹽之合成,詳見日本特開2010-215608號公報。
式(3D)表示之陰離子可列舉以下所示者,但不限定於該等。 [化74]
Figure 02_image146
此外,具有式(3D)表示之陰離子的光酸產生劑,於磺基之α位不具有氟,但因為於β位具有2個三氟甲基,具有足以切斷基礎樹脂中之酸不穩定基的酸性度。因此,可作為光酸產生劑使用。
又,(D)成分之光酸產生劑宜為下式(4)表示者。 [化75]
Figure 02_image148
式(4)中,R201 及R202 各自獨立地為亦可含有雜原子之碳數1~30之1價烴基。R203 為亦可含有雜原子之碳數1~30之2價烴基。又,R201 、R202 及R203 中之任2者亦可相互鍵結並和它們所鍵結之硫原子一起形成環。LA 為單鍵、醚鍵、或亦可含有雜原子之碳數1~20之2價烴基。Xa 、Xb 、Xc 及Xd 各自獨立地為氫原子、氟原子或三氟甲基。惟,Xa 、Xb 、Xc 及Xd 中之至少1者為氟原子或三氟甲基。
R201 及R202 表示之1價烴基可為直鏈狀、分支狀、環狀中之任一者,其具體例可列舉:甲基、乙基、丙基、異丙基、正丁基、第二丁基、第三丁基、正戊基、第三戊基、正己基、正辛基、正壬基、正癸基、環戊基、環己基、2-乙基己基、環戊基甲基、環戊基乙基、環戊基丁基、環己基甲基、環己基乙基、環己基丁基、降莰基、氧雜降莰基、三環[5.2.1.02,6 ]癸基、金剛烷基、苯基、萘基、蒽基等。又,該等基之氫原子之一部分也可取代為含有氧原子、硫原子、氮原子、鹵素原子等雜原子之基,該等基之碳原子之間亦可插入含有氧原子、硫原子、氮原子等雜原子之基,其結果,亦可含有羥基、氰基、羰基、醚鍵、酯鍵、磺酸酯鍵、碳酸酯鍵、內酯環、磺內酯環、羧酸酐、鹵烷基等。
R203 表示之2價烴基可為直鏈狀、分支狀、環狀中之任一者,其具體例可列舉:亞甲基、伸乙基、丙烷-1,3-二基、丁烷-1,4-二基、戊烷-1,5-二基、己烷-1,6-二基、庚烷-1,7-二基、辛烷-1,8-二基、壬烷-1,9-二基、癸烷-1,10-二基、十一烷-1,11-二基、十二烷-1,12-二基、十三烷-1,13-二基、十四烷-1,14-二基、十五烷-1,15-二基、十六烷-1,16-二基、十七烷-1,17-二基等直鏈狀烷二基;環戊烷二基、環己烷二基、降莰烷二基、金剛烷二基等飽和環狀2價烴基;伸苯基、伸萘基等伸芳基等。又,該等基之氫原子之一部分也可取代為甲基、乙基、丙基、正丁基、第三丁基等烷基。又,該等基之氫原子之一部分也可取代為含有氧原子、硫原子、氮原子、鹵素原子等雜原子之基,該等基之碳原子之間亦可插入含有氧原子、硫原子、氮原子等雜原子之基,其結果,亦可含有羥基、氰基、羰基、醚鍵、酯鍵、磺酸酯鍵、碳酸酯鍵、內酯環、磺內酯環、羧酸酐、鹵烷基等。前述雜原子宜為氧原子。
式(4)表示之光酸產生劑宜為下式(4')表示者。 [化76]
Figure 02_image149
式(4')中,LA 與前述相同。G為氫原子或三氟甲基,宜為三氟甲基。R211 、R212 及R213 各自獨立地為氫原子、或亦可含有雜原子之碳數1~20之1價烴基。前述1價烴基可為直鏈狀、分支狀、環狀中之任一者,其具體例可列舉與前述R112 之說明中所列舉之1價烴基為同樣者。p及q各自獨立地為0~5之整數,r為0~4之整數。
式(4)表示之光酸產生劑可列舉以下所示者,但不限定於該等。此外,下式中,G與前述相同。 [化77]
Figure 02_image151
[化78]
Figure 02_image153
(E)光酸產生劑的摻合量相對於(B)基礎樹脂100質量份為0~40質量份,但摻合時宜為0.1~40質量份,為0.1~20質量份更佳。若為該範圍內,則解像性良好,不會有光阻膜之顯影後或剝離時產生異物問題之虞,故較佳。(E)光酸產生劑可單獨使用1種或將2種以上組合使用。
[(F)不溶或難溶於水但可溶於鹼顯影液的界面活性劑、及/或不溶或難溶於水及鹼顯影液的界面活性劑] 本發明之化學增幅光阻組成物亦可含有(F)不溶或難溶於水但可溶於鹼顯影液的界面活性劑、及/或不溶或難溶於水及鹼顯影液的界面活性劑。如此之界面活性劑可參照日本特開2010-215608號公報、日本特開2011-16746號公報記載者。
作為不溶或難溶於水及鹼顯影液的界面活性劑,在前述公報記載之界面活性劑之中,宜為FC-4430、surflon(註冊商標)S-381、Surfynol(註冊商標)E1004、KH-20、KH-30、下式(surf-1)表示之氧雜環丁烷開環聚合物等。該等可單獨使用1種或將2種以上組合使用。 [化79]
Figure 02_image155
此處,R、Rf、A、B、C、m、n無關前述記載,僅適用於式(surf-1)。R為2~4價之碳數2~5之脂肪族基。就前述脂肪族基而言,2價者可列舉伸乙基、1,4-伸丁基、1,2-伸丙基、2,2-二甲基-1,3-伸丙基、1,5-伸戊基等,3價或4價者可列舉下列脂肪族基。 [化80]
Figure 02_image157
式中,虛線為原子鍵,係各自由甘油、三羥甲基乙烷、三羥甲基丙烷、新戊四醇衍生而得的次結構。
該等之中,宜為1,4-伸丁基、2,2-二甲基-1,3-伸丙基等。
Rf為三氟甲基或五氟乙基,宜為三氟甲基。m為0~3之整數,n為1~4之整數,n與m之和係R之價數,為2~4之整數。A為0或1。B為2~25之整數,宜為4~20之整數。C為0~10之整數,宜為0或1。又,式(surf-1)中之各構成單元的排列無規定,可嵌段地鍵結,亦可無規地鍵結。關於部分氟化氧雜環丁烷開環聚合物系界面活性劑的製造,詳見美國專利第5650483號說明書等。
不溶或難溶於水但可溶於鹼顯影液的界面活性劑,當ArF浸潤曝光不使用光阻保護膜時,藉由配向在旋塗後之光阻膜表面,有使水之滲入、淋溶(leaching)減少的功能。因此,會抑制來自光阻膜之水溶性成分之溶出,對於減小對曝光裝置之損害係有用,又,曝光後、曝光後烘烤(PEB)後之鹼顯影時會可溶化,不易成為變成缺陷之原因的異物,故係有用。如此之界面活性劑,有不溶或難溶於水但可溶於鹼顯影液的性質,為聚合物型界面活性劑,也稱為疏水性樹脂,尤其宜為撥水性高,使滑水性提升者。
作為如此之聚合物型界面活性劑,可列舉含有以下所示之重複單元(5A)~(5E)者。 [化81]
Figure 02_image159
式中,RB 為氫原子、氟原子、甲基或三氟甲基。W1 為-CH2 -、-CH2 CH2 -、-O-或彼此分離的2個-H。Rs1 各自獨立地為氫原子、或碳數1~10之1價烴基。Rs2 為單鍵、或碳數1~5之直鏈狀或分支狀之2價烴基。Rs3 各自獨立地為氫原子、碳數1~15之1價烴基或氟化1價烴基、或酸不穩定基。Rs3 為1價烴基或氟化1價烴基時,在碳-碳鍵之間亦可插入醚鍵(-O-)或羰基(-C(=O)-)。Rs4 為碳數1~20之(u+1)價烴基或氟化烴基。u為1~3之整數。Rs5 各自獨立地為氫原子、或下式表示之基。 -C(=O)-O-Rs7 式中,Rs7 為碳數1~20之氟化烴基。 Rs6 為碳數1~15之1價烴基或氟化1價烴基,在碳-碳鍵之間亦可插入醚鍵(-O-)或羰基(-C(=O)-)。
Rs1 表示之1價烴基可為直鏈狀、分支狀、環狀中之任一者,其具體例可列舉:甲基、乙基、正丙基、異丙基、環丙基、正丁基、異丁基、第二丁基、第三丁基、環丁基、正戊基、環戊基、正己基、環己基、正庚基、正辛基、正壬基、正癸基、金剛烷基、降莰基等。該等之中,宜為碳數1~6者。
Rs2 表示之2價烴基可為直鏈狀、分支狀、環狀中之任一者,其具體例可列舉:亞甲基、伸乙基、伸丙基、伸丁基、伸戊基等。
Rs3 或Rs6 表示之1價烴基可為直鏈狀、分支狀、環狀中之任一者,其具體例可列舉烷基、烯基、炔基等,宜為烷基。前述烷基除可列舉作為Rs1 表示之1價烴基所例示者外,還可列舉正十一烷基、正十二烷基、十三烷基、十四烷基、十五烷基等。Rs3 或Rs6 表示之氟化1價烴基可列舉前述1價烴基之與碳原子鍵結之氫原子之一部分或全部取代為氟原子而得之基。如前述,該等碳-碳鍵之間亦可含有醚鍵(-O-)或羰基(-C(=O)-)。
Rs3 表示之酸不穩定基可列舉:前述式(L1)~(L4)表示之基;碳數4~20,較佳為4~15之3級烷基;各烷基分別為碳數1~6之烷基的三烷基矽基;碳數4~20之側氧基烷基等。
Rs4 表示之(u+1)價烴基或氟化烴基可為直鏈狀、分支狀、環狀中之任一者,其具體例可列舉從前述1價烴基或氟化1價烴基等進一步除去u個氫原子而得之基。
Rs7 表示之氟化烴基可為直鏈狀、分支狀、環狀中之任一者,其具體例可列舉前述1價烴基之氫原子之一部分或全部取代為氟原子而得者,具體例可列舉:三氟甲基、2,2,2-三氟乙基、3,3,3-三氟-1-丙基、3,3,3-三氟-2-丙基、2,2,3,3-四氟丙基、1,1,1,3,3,3-六氟異丙基、2,2,3,3,4,4,4-七氟丁基、2,2,3,3,4,4,5,5-八氟戊基、2,2,3,3,4,4,5,5,6,6,7,7-十二氟庚基、2-(全氟丁基)乙基、2-(全氟己基)乙基、2-(全氟辛基)乙基、2-(全氟癸基)乙基等。
式(5A)~(5E)表示之重複單元可列舉以下所示者,但不限定於該等。此外,下式中,RB 與前述相同。 [化82]
Figure 02_image161
[化83]
Figure 02_image163
[化84]
Figure 02_image165
[化85]
Figure 02_image167
[化86]
Figure 02_image169
前述聚合物型界面活性劑之Mw宜為1,000~50,000,為2,000~20,000更佳。若為該範圍內,則表面改質效果充分,產生顯影缺陷的情形少。
前述不溶或難溶於水但可溶於鹼顯影液的界面活性劑,亦可參照日本特開2008-122932號公報、日本特開2010-134012號公報、日本特開2010-107695號公報、日本特開2009-276363號公報、日本特開2009-192784號公報、日本特開2009-191151號公報、日本特開2009-98638號公報、日本特開2010-250105號公報、日本特開2011-42789號公報等。
(F)成分的摻合量相對於(B)基礎樹脂100質量份為0~20質量份,但摻合時其下限宜為0.001質量份,為0.01質量份更佳。另一方面,其上限宜為15質量份,為10質量份更佳。
[圖案形成方法] 本發明之圖案形成方法包含下列步驟:使用前述光阻組成物在基板上形成光阻膜;將前述光阻膜利用KrF準分子雷射光、ArF準分子雷射光、EB或EUV進行曝光;及使用顯影液對前述經曝光之光阻膜進行顯影。
就前述基板而言,例如可使用積體電路製造用之基板(Si、SiO2 、SiN、SiON、TiN、WSi、BPSG、SOG、有機抗反射膜等)、或遮罩電路製造用之基板(Cr、CrO、CrON、MoSi2 、SiO2 等)。
就光阻膜而言,例如可藉由利用旋塗等方法以膜厚成為0.05~2μm的方式塗布前述光阻組成物,將其在加熱板上較佳為以60~150℃、1~10分鐘,更佳為以80~140℃、1~5分鐘之條件進行預烘而形成。
就光阻膜的曝光而言,使用KrF準分子雷射光、ArF準分子雷射光或EUV時,可藉由使用用以形成目的圖案的遮罩,以使曝光量較佳為1~200mJ/cm2 ,更佳為10~100mJ/cm2 的方式照射而進行。使用EB時,係使用用以形成目的圖案的遮罩或直接以使曝光量較佳為1~300μC/cm2 ,更佳為10~200μC/cm2 的方式進行照射。
此外,曝光除使用通常的曝光法外,亦可使用將折射率1.0以上之液體插入在光阻膜與投影透鏡之間而進行的浸潤法。此時,亦可使用不溶於水的保護膜。
前述不溶於水的保護膜,係用以防止來自光阻膜之溶出物並提高膜表面之滑水性,大致分為2種。其中一種是需以不溶解光阻膜之有機溶劑在鹼水溶液顯影前予以剝離之有機溶劑剝離型,另一種是可溶於鹼顯影液,在除去光阻膜可溶部的同時將保護膜除去之鹼水溶液可溶型。後者尤其宜為以不溶於水但溶於鹼顯影液之具有1,1,1,3,3,3-六氟-2-丙醇殘基之聚合物為基礎,且溶解於碳數4以上之醇系溶劑、碳數8~12之醚系溶劑、及它們的混合溶劑而得之材料較佳。亦可製成將前述不溶於水但可溶於鹼顯影液之界面活性劑溶解於碳數4以上之醇系溶劑、碳數8~12之醚系溶劑、或它們的混合溶劑而得的材料。
曝光後亦可進行PEB。就PEB而言,例如可藉由在加熱板上,較佳為以60~150℃、1~5分鐘,更佳為以80~140℃、1~3分鐘之條件加熱而進行。
然後進行顯影。就顯影而言,例如藉由使用較佳為0.1~5質量%,更佳為2~3質量%之四甲基氫氧化銨(TMAH)等鹼水溶液之顯影液,利用浸漬(dip)法、浸置(puddle)法、噴塗(spray)法等常法顯影較佳為0.1~3分鐘,更佳為0.5~2分鐘,而在基板上形成目的圖案。
又,就圖案形成方法之方式而言,可於光阻膜形成後實施純水淋洗(postsoak)以從膜表面萃取酸產生劑等,或實施微粒之洗去,也可在曝光後實施為了將膜上殘留之水去除之淋洗(postsoak)。
另外,也可利用雙重圖案化法形成圖案。雙重圖案化法可列舉:溝渠法,係利用第1次曝光與蝕刻對1:3溝渠圖案之基底進行加工,偏移位置並以第2次曝光形成1:3溝渠圖案,而形成1:1之圖案;線法,係利用第1次曝光與蝕刻對1:3孤立殘留圖案之第1基底進行加工,偏移位置並以第2次曝光對在第1基底下形成有1:3孤立殘留圖案之第2基底進行加工,形成一半節距之1:1之圖案。
本發明之圖案形成方法中,亦可使用將作為顯影液之前述鹼水溶液之顯影液替換成使用有機溶劑以使未曝光部顯影/溶解之負調顯影的方法。
該有機溶劑顯影中,顯影液可使用選自於2-辛酮、2-壬酮、2-庚酮、3-庚酮、4-庚酮、2-己酮、3-己酮、二異丁基酮、甲基環己酮、苯乙酮、甲基苯乙酮、乙酸丙酯、乙酸丁酯、乙酸異丁酯、乙酸戊酯、乙酸丁烯酯、乙酸異戊酯、甲酸丙酯、甲酸丁酯、甲酸異丁酯、甲酸戊酯、甲酸異戊酯、戊酸甲酯、戊烯酸甲酯、巴豆酸甲酯、巴豆酸乙酯、丙酸甲酯、丙酸乙酯、3-乙氧基丙酸乙酯、乳酸甲酯、乳酸乙酯、乳酸丙酯、乳酸丁酯、乳酸異丁酯、乳酸戊酯、乳酸異戊酯、2-羥基異丁酸甲酯、2-羥基異丁酸乙酯、苯甲酸甲酯、苯甲酸乙酯、乙酸苯酯、乙酸苄酯、苯基乙酸甲酯、甲酸苄酯、甲酸苯基乙酯、3-苯基丙酸甲酯、丙酸苄酯、苯基乙酸乙酯、乙酸2-苯基乙酯等中之1種以上。 [實施例]
以下,舉合成例、實施例及比較例具體地說明本發明,但本發明並不限定於下列實施例。又,所使用之裝置如下。 ・IR:Thermo Fisher Scientific公司製,NICOLET 6700 ・1 H-NMR:日本電子(股)製ECA-500 ・19 F-NMR:日本電子(股)製ECA-500 ・MALDI TOF-MS:日本電子(股)製S3000
[1]鹽化合物之合成 [實施例1-1]PAG-1之合成 [實施例1-1-1]中間體A之合成 [化87]
Figure 02_image171
將18g化合物A溶解於二氯甲烷100g。在該溶液中添加β-丙胺酸第三丁酯鹽酸鹽20g、1-乙基-3-(3-二甲基胺基丙基)碳二亞胺鹽酸鹽21g及N,N-二甲基胺基吡啶20g。於室溫攪拌一晚後,將反應溶液予以冰冷,加入純水30g、及10質量%鹽酸30g進行淬滅,將有機層予以水洗。分液後,將有機層濃縮,得到30g係目的物之褐色油狀物之中間體A。不進行進一步的精製而進到下一反應。
[實施例1-1-2]中間體B之合成 [化88]
Figure 02_image173
將30g中間體A溶解於乙腈100g,在其中添加對甲苯磺酸一水合物2g。加溫至80℃並於回流條件下攪拌一晚後,在其中添加37g化合物B、1-乙基-3-(3-二甲基胺基丙基)碳二亞胺鹽酸鹽22g及N,N-二甲基胺基吡啶2g。攪拌一晚後,添加二氯甲烷100g及純水30g進行淬滅。將有機層水洗後,加入10質量%鹽酸30g,並再次水洗。將獲得之有機層減壓濃縮,得到油狀物。使用二異丙醚(DIPE)進行傾析,並再次減壓濃縮,藉此得到30g係目的物之中間體B(產率68%)。
[實施例1-1-3]PAG-1之合成 [化89]
Figure 02_image175
將30g中間體B溶解於二氯甲烷100g,添加10質量%之化合物C之水溶液60mL。攪拌1小時後,進行分液,將有機層以純水洗淨5次。將獲得之有機層減壓濃縮,得到油狀物。使用DIPE進行傾析,並再次減壓濃縮,藉此得到35g係目的物之PAG-1(產率91%)。
獲得之目的物之IR光譜數據及TOF-MS的結果如下所示。又,核磁共振光譜(1 H-NMR/DMSO-d6 )的結果示於圖1。 IR(D-ATR):ν=3502、3321、3066、2971、1767、1666、1542、1477、1448、1370、1319、1266、1243、1173、1088、1073、1033、990、930、910、842、750、684、642、596、553 cm-1 。 MALDI TOF-MS:POSITIVE M+ 263(相當於C18 H15 S+ ) NEGATIVE M- 464(相當於C15 H15 F5 NO8 S- )
[實施例1-2]PAG-2之合成 [化90]
Figure 02_image177
使用10質量%之甲基硫酸(4-第三丁基苯基)-二苯基鋶之水溶液100mL替換化合物C之水溶液,除此以外,以與實施例1-1-3同樣之方法得到37.8g油狀物之PAG-2(產率99%)。
獲得之目的物之IR光譜數據及TOF-MS的結果如下所示。又,核磁共振光譜(1 H-NMR/DMSO-d6 )的結果示於圖2。 IR(D-ATR):ν=3314、3064、2965、2873、1770、1705、1669、1589、1544、1491、1478、1447、1402、1367、1318、1298、1267、1243、1218、1181、1140、1114、1088、1073、1033、990、928、909、891、874、840、751、684、642、592、554、524 cm-1 。 MALDI TOF-MS:POSITIVE M+ 319(相當於C22 H23 S+ ) NEGATIVE M- 464(相當於C15 H15 F5 NO8 S- )
[實施例1-3]PAG-3之合成 [實施例1-3-1]中間體C之合成 [化91]
Figure 02_image179
使用化合物D作為原材料,除此以外,以與實施例1-1-1同樣之方法合成中間體C。
[實施例1-3-2]PAG-3之合成 [化92]
Figure 02_image181
使用中間體C作為原材料,除此以外,以與實施例1-1-2及1-1-3同樣之方法合成PAG-3(產率67%)。
獲得之目的物之IR光譜數據及TOF-MS的結果如下所示。又,核磁共振光譜(1 H-NMR/DMSO-d6 )的結果示於圖3。 IR(D-ATR):ν=3363、3063、2905、2851、2658、1770、1645、1581、1525、1477、1448、1370、1330、1265、1247、1183、1171、1118、1091、1074、1023、996、910、841、750、684、642、592、553 cm-1 。 MALDI TOF-MS:POSITIVE M+ 263(相當於C18 H15 S+ ) NEGATIVE M- 462(相當於C17 H21 F5 NO6 S- )
[實施例1-4]PAG-4之合成 [化93]
Figure 02_image183
使用中間體E作為原材料,除此以外,以與實施例1-1-2及1-1-3同樣之方法合成PAG-4(產率74%)。
獲得之目的物之IR光譜數據及TOF-MS的結果如下所示。又,核磁共振光譜(1 H-NMR/DMSO-d6 )的結果示於圖4。 IR(D-ATR):ν=3310、3066、2972、2908、2853、1778、1727、1672、1545、1477、1448、1369、1326、1270、1240、1172、1104、1077、1038、1010、997、937、909、842、750、684、642、592、553cm-1 。 MALDI TOF-MS:POSITIVE M+ 263(相當於C18 H15 S+ ) NEGATIVE M- 642(相當於C26 H29 F5 NO10 S- )
[實施例1-5~1-14]PAG-5~PAG-14之合成 另外,使用對應的原料及公知的有機合成方法合成以下所示之PAG-5~PAG-14。 [化94]
Figure 02_image185
[化95]
Figure 02_image187
[2]聚合物之合成 利用以下所示之方法合成本發明之化學增幅光阻組成物所使用之聚合物。此外,獲得之聚合物之重量平均分子量(Mw),係利用使用THF作為溶劑的凝膠滲透層析法(GPC)以聚苯乙烯換算值的形式測定。
[合成例1]聚合物P-1之合成
於氮氣環境下,在燒瓶中加入甲基丙烯酸3-羥基-1-金剛烷酯4.7g、α-甲基丙烯醯氧基-γ-丁內酯6.7g、甲基丙烯酸3-乙基-3-exo-四環[4.4.0.12,5 .17,10 ]十二烷酯5.4g、甲基丙烯酸1-乙基環戊酯14.4g、甲基丙烯酸4,8-二氧雜三環[4.2.1.03,7 ]壬烷-5-酮-2-酯8.8g、V-601(和光純藥工業(股)製)0.45g、2-巰基乙醇0.39g、及56g之PGMEA,製備單體-聚合引發劑溶液。在另外的氮氣環境之燒瓶中加入19g之PGMEA,邊攪拌邊加熱至80℃後,歷時4小時滴加前述單體-聚合引發劑溶液。滴加結束後,於將聚合液之溫度保持在80℃之狀態繼續攪拌2小時,然後冷卻至室溫。將獲得之聚合液滴加至劇烈攪拌的甲醇640g中,分濾析出的聚合物。將獲得之聚合物以甲醇240g洗淨2次後,在50℃真空乾燥20小時,得到白色粉末狀聚合物P-1(產量35.3g、產率88%)。利用GPC分析的結果,聚合物P-1之Mw為8,100,Mw/Mn為1.73。
[化96]
Figure 02_image189
[合成例2]聚合物P-2之合成 改變各單體的種類、摻合比,除此以外,以與合成例1同樣之方法合成聚合物P-2。聚合物P-2之Mw為8,500,Mw/Mn為1.58。
[化97]
Figure 02_image191
[3]化學增幅光阻組成物之製備 [實施例2-1~2-28、比較例1-1~1-12] 將本發明之鹽化合物(PAG-1~PAG-14)、比較用光酸產生劑(PAG-A~PAG-F)、聚合物(P-1、P-2)、淬滅劑(Q-1~Q-4)、及鹼可溶型界面活性劑SF-1依下列表1及2所示之組成溶解於含有界面活性劑A(Omnova公司製)0.01質量%之溶劑中以製備溶液,將該溶液利用0.2μm之Teflon(註冊商標)製過濾器進行過濾,藉此製備化學增幅光阻組成物。
[表1]
Figure 02_image193
[表2]
光阻組成物 聚合物 (質量份) 光酸產生劑 (質量份) 淬滅劑 (質量份) 界面活性劑 (質量份) 溶劑1 (質量份) 溶劑2 (質量份)
比較例 1-1 R-29 P-1 (80) PAG-A (7.0) Q-1 (3.5) SF-1 (3.0) PGMEA (1,728) GBL (192)
比較例 1-2 R-30 P-1 (80) PAG-B (7.0) Q-1 (3.5) SF-1 (3.0) PGMEA (1,728) GBL (192)
比較例 1-3 R-31 P-1 (80) PAG-C (7.0) Q-1 (3.5) SF-1 (3.0) PGMEA (1,728) GBL (192)
比較例 1-4 R-32 P-1 (80) PAG-D (7.0) Q-1 (3.5) SF-1 (3.0) PGMEA (1,728) GBL (192)
比較例 1-5 R-33 P-1 (80) PAG-E (7.0) Q-1 (3.5) SF-1 (3.0) PGMEA (1,728) GBL (192)
比較例 1-6 R-34 P-1 (80) PAG-F (7.0) Q-1 (3.5) SF-1 (3.0) PGMEA (1,728) GBL (192)
比較例 1-7 R-35 P-2 (80) PAG-A (3.0) Q-1 (3.5) SF-1 (3.0) PGMEA (1,728) GBL (192)
比較例 1-8 R-36 P-2 (80) PAG-B (3.0) Q-1 (3.5) SF-1 (3.0) PGMEA (1,728) GBL (192)
比較例 1-9 R-37 P-2 (80) PAG-C (7.0) Q-1 (3.5) SF-1 (3.0) PGMEA (1,728) GBL (192)
比較例 1-10 R-38 P-2 (80) PAG-D (7.0) Q-1 (3.5) SF-1 (3.0) PGMEA (1,728) GBL (192)
比較例 1-11 R-39 P-2 (80) PAG-E (7.0) Q-1 (3.5) SF-1 (3.0) PGMEA (1,728) GBL (192)
比較例 1-12 R-40 P-2 (80) PAG-F (7.0) Q-1 (3.5) SF-1 (3.0) PGMEA (1,728) GBL (192)
此外,鹼可溶型界面活性劑SF-1、比較用光酸產生劑PAG-A~PAG-F、淬滅劑Q-1~Q-4、及界面活性劑A如下。
・SF-1:聚(甲基丙烯酸2,2,3,3,4,4,4-七氟-1-異丁基-1-丁酯・甲基丙烯酸9-(2,2,2-三氟-1-三氟乙基氧基羰基)-4-氧雜三環[4.2.1.03,7 ]壬烷-5-酮-2-酯) Mw=7,700、Mw/Mn=1.82 [化98]
Figure 02_image195
・PAG-A~PAG-F: [化99]
Figure 02_image197
・Q-1~Q-4: [化100]
Figure 02_image199
・界面活性劑A:3-甲基-3-(2,2,2-三氟乙氧基甲基)氧雜環丁烷・四氫呋喃・2,2-二甲基-1,3-丙烷二醇共聚物(Omnova公司製) [化101]
Figure 02_image201
a:(b+b'):(c+c')=1:4~7:0.01~1(莫耳比) Mw=1,500
[4]化學增幅光阻組成物的評價:ArF曝光圖案化評價(1) [實施例3-1~3-14、比較例2-1~2-6] 在矽基板上塗布抗反射膜溶液(日產化學工業(股)製ARC29A),於200℃烘烤60秒,形成抗反射膜(100nm膜厚)。在前述抗反射膜上旋塗各光阻組成物(R-1~R-14、R-29~R-34),使用加熱板於100℃烘烤60秒,製作膜厚90nm之光阻膜。將其使用ArF準分子雷射掃描曝光機(Nikon(股)製、NSR-S610C、NA=1.30、偶極、Cr遮罩),邊改變曝光量與焦點(曝光量節距:1mJ/cm2 、焦點節距:0.025μm)邊將晶圓上尺寸為線寬40nm、節距80nm之線與間距圖案(LS圖案)進行浸潤曝光,曝光後於表3所示之溫度進行60秒烘烤(PEB)。此外,使用水作為浸潤液。之後,利用2.38質量%之TMAH水溶液實施30秒浸置顯影,以純水進行淋洗,並實施旋乾,得到正型圖案。利用Hitachi High-Technologies(股)製測長SEM(CG5000)觀察顯影後之LS圖案,依下列方法評價感度、EL、MEF、LWR及形狀。結果示於表3。
[感度評價] 就感度而言,求出獲得線寬40nm、節距80nm之LS圖案的最適曝光量Eop (mJ/cm2 ),將其作為感度。該值越小則感度越高。結果示於表3。
[EL評價] 就EL評價而言,由在前述LS圖案中的40nm之間距寬之±10%(36~44nm)之範圍內形成的曝光量,依下式求出EL(單位:%)。結果示於表3。 EL(%)=(|E1 -E2 |/Eop )×100 E1 :形成線寬36nm、節距80nm之LS圖案的最適曝光量 E2 :形成線寬44nm、節距80nm之LS圖案的最適曝光量 Eop :形成線寬40nm、節距80nm之LS圖案的最適曝光量
[MEF評價] 固定節距,改變遮罩的線寬,觀察以Eop 照射得到的各圖案之線寬。由遮罩之線寬與圖案之線寬的變化,依下式求出MEF之值。該值越接近1,則性能越良好。結果示於表3。 MEF=(圖案之線寬/遮罩之線寬)-b b:常數
[LWR評價] 對以Eop 照射得到之LS圖案,於線之長邊方向測定10處的尺寸,由該結果求出標準偏差(σ)之3倍值(3σ)作為LWR。該值越小,則越會獲得粗糙度小且線寬均勻的圖案。結果示於表3。
[形狀評價] 利用Hitachi High-Technologies(股)製SEM(S-4800)觀察以Eop 照射得到之LS圖案的剖面,線圖案之形狀接近矩形者評價為良好,另一方面,形狀變圓,或圖案頂部突出之形狀(T-頂部形狀)評價為不良。結果示於表3。
[表3]
光阻組成物 PEB溫度 (℃) Eop (mJ/cm2 ) EL (%) MEF LWR (nm) 形狀
實施例3-1 R-01 100 38 30 2.4 2.3 良好
實施例3-2 R-02 100 61 39 2.5 2.3 良好
實施例3-3 R-03 100 73 42 2.3 2.6 良好
實施例3-4 R-04 100 63 38 2.3 2.2 良好
實施例3-5 R-05 95 31 42 2.4 2.5 良好
實施例3-6 R-06 100 42 41 2.5 2.4 良好
實施例3-7 R-07 95 40 42 2.4 2.3 良好
實施例3-8 R-08 95 39 40 2.4 2.4 良好
實施例3-9 R-09 100 39 39 2.5 2.6 良好
實施例3-10 R-10 100 41 38 2.3 2.7 良好
實施例3-11 R-11 95 37 39 2.4 2.3 良好
實施例3-12 R-12 100 38 41 2.4 2.5 良好
實施例3-13 R-13 95 40 42 2.3 2.9 良好
實施例3-14 R-14 95 37 38 2.4 2.6 良好
比較例2-1 R-29 100 32 30 3.4 3.2 不良
比較例2-2 R-30 100 40 33 3.2 3.3 不良
比較例2-3 R-31 100 40 35 3.9 3.1 良好
比較例2-4 R-32 100 39 33 3.8 3.1 良好
比較例2-5 R-33 100 39 35 3.3 3.3 不良
比較例2-6 R-34 95 40 34 3.2 3.8 不良
由表3所示之結果可知,含有本發明之光酸產生劑的化學增幅光阻組成物,感度良好,EL、MEF及LWR亦優異,且圖案形狀也良好,適合作為ArF浸潤微影之材料。
[5]化學增幅光阻組成物的評價:ArF曝光圖案化評價(2) [實施例4-1~4-14、比較例3-1~3-6] 將各光阻組成物(R-15~R-28、R-35~R-40)旋塗在已成膜有膜厚180nm之信越化學工業(股)製旋塗式碳膜ODL-180(碳之含量為80質量%)且於其上已成膜有膜厚35nm之含矽之旋塗式硬遮罩SHB-A941(矽之含量為43質量%)的三層處理用之基板上,使用加熱板於100℃烘烤60秒,形成膜厚100nm之光阻膜。將其使用ArF準分子雷射浸潤掃描曝光機(Nikon(股)製、NSR-S610C、NA=1.30、σ0.90/0.72、交叉極開口35度、Azimuthally偏光照明、6%半階調相位偏移遮罩、交叉極照明),邊改變曝光量與焦點(曝光量節距:1mJ/cm2 、焦點節距:0.025μm)邊實施晶圓上尺寸為45nm、節距110nm之接觸孔圖案(CH圖案)的曝光,曝光後,於表4所示之溫度進行60秒PEB。此外,使用水作為浸潤液。之後,利用乙酸正丁酯實施30秒浸置顯影,以4-甲基-2-戊醇淋洗,並進行旋乾,得到負型圖案。利用Hitachi High-Technologies(股)製測長SEM(CG4000)觀察顯影後之CH圖案,依下列方法評價感度、MEF、CDU及焦點深度(DOF)。結果示於表4。
[感度評價] 就感度而言,求出獲得孔尺寸45nm、節距110nm之CH圖案的最適曝光量Eop (mJ/cm2 )。該值越小則感度越高。結果示於表4。
[MEF評價] 固定節距,改變遮罩的尺寸,觀察以Eop 照射得到之各CH圖案。由遮罩之尺寸與CH圖案之尺寸的變化,依下式求出之MEF之值。該值越接近1,則性能越良好。結果示於表4。 MEF=(圖案之尺寸/遮罩之尺寸)-b b:常數
[CDU評價] 針對以Eop 照射得到之CH圖案,測定同一曝光批次(shot)內10處(每1處9個CH圖案)之尺寸,由該結果求出標準偏差(σ)之3倍值(3σ)作為CDU。該值越小,則CH圖案之尺寸均勻性越優異。結果示於表4。
[DOF評價] 求出以Eop 照射得到之CH圖案中的45nm尺寸之±10%(41~49nm)之範圍內形成之焦點範圍。該值越大,則焦點深度越寬廣。結果示於表4。
[表4]
光阻組成物 PEB溫度 (℃) Eop (mJ/cm2 ) MEF CDU (nm) DOF (nm)
實施例4-1 R-15 95 40 2.4 2.9 150
實施例4-2 R-16 90 41 2.5 2.8 140
實施例4-3 R-17 90 39 2.5 2.9 150
實施例4-4 R-18 95 40 2.4 2.7 130
實施例4-5 R-19 90 41 2.6 2.6 140
實施例4-6 R-20 90 40 2.4 2.8 150
實施例4-7 R-21 95 40 2.5 2.9 150
實施例4-8 R-22 90 40 2.5 2.7 140
實施例4-9 R-23 95 42 2.4 2.7 130
實施例4-10 R-24 95 38 2.6 2.9 150
實施例4-11 R-25 90 39 2.4 2.8 140
實施例4-12 R-26 95 41 2.5 2.9 130
實施例4-13 R-27 90 40 2.6 2.7 150
實施例4-14 R-28 95 41 2.4 2.9 150
比較例3-1 R-35 90 47 3.4 4.4 70
比較例3-2 R-36 95 44 3.3 4.5 80
比較例3-3 R-37 95 43 3.5 3.6 80
比較例3-4 R-38 95 42 3.5 3.7 100
比較例3-5 R-39 90 42 3.4 3.5 90
比較例3-6 R-40 95 41 2.9 3.4 100
表4所示之結果可知,含有本發明之光酸產生劑的化學增幅光阻組成物,即使在利用有機溶劑顯影所為之負圖案形成中,感度仍良好,且CDU、MEF、DOF優異。由上可知,本發明之化學增幅光阻組成物在有機溶劑顯影處理中亦係有用。
[圖1]係實施例1-1獲得之化合物之1 H-NMR光譜。 [圖2]係實施例1-2獲得之化合物之1 H-NMR光譜。 [圖3]係實施例1-3獲得之化合物之1 H-NMR光譜。 [圖4]係實施例1-4獲得之化合物之1 H-NMR光譜。
Figure 108141094-A0101-11-0002-2

Claims (21)

  1. 一種鹽化合物,係以下式(A)表示;
    Figure 03_image001
    式中,R1 為亦可含有雜原子之碳數1~20之1價烴基;R2 為亦可含有雜原子之碳數1~20之2價烴基;R3 為氫原子或碳數1~12之1價烴基;又,R1 與R3 亦可相互鍵結並和它們所鍵結之碳原子及氮原子一起形成環;Rf1 、Rf2 、Rf3 及Rf4 各自獨立地為氫原子、氟原子或三氟甲基;L1 為單鍵、-CO-O-、-O-CO-、-O-CO-O-或-O-;M+ 為1價有機陽離子;m為0或1;n為0或1。
  2. 如申請專利範圍第1項之鹽化合物,其中,m及n為1,Rf1 及Rf2 為氟原子,Rf3 及Rf4 為氫原子。
  3. 如申請專利範圍第1項之鹽化合物,其中,m及n為1,Rf1 及Rf2 為氟原子,Rf3 為三氟甲基,Rf4 為氫原子。
  4. 如申請專利範圍第1至3項中任一項之鹽化合物,其中,R1 係含有內酯結構之基。
  5. 如申請專利範圍第1至3項中任一項之鹽化合物,其中,M+ 為下式(A1)表示之鋶鹽、下式(A2)表示之錪鹽或下式(A3)表示之銨鹽;
    Figure 03_image003
    式中,R11 ~R19 各自獨立地為亦可含有雜原子之碳數1~20之1價烴基。
  6. 一種光酸產生劑,係由如申請專利範圍第1至5項中任一項之鹽化合物構成。
  7. 一種化學增幅光阻組成物,含有如申請專利範圍第6項之光酸產生劑。
  8. 如申請專利範圍第7項之化學增幅光阻組成物,更含有包含具有下式(a)表示之重複單元及下式(b)表示之重複單元之聚合物的基礎樹脂;
    Figure 03_image005
    式中,RA 各自獨立地為氫原子、氟原子、甲基或三氟甲基;ZA 為單鍵、伸苯基、伸萘基或(主鏈)-C(=O)-O-Z'-,Z'為亦可含有羥基、醚鍵、酯鍵或內酯環之碳數1~10之烷二基、或伸苯基或伸萘基;XA 為酸不穩定基;YA 為氫原子、或含有選自於羥基、氰基、羰基、羧基、醚鍵、酯鍵、磺酸酯鍵、碳酸酯鍵、內酯環、磺內酯環及羧酸酐中之至少1者以上之結構的極性基。
  9. 如申請專利範圍第7或8項之化學增幅光阻組成物,更含有有機溶劑。
  10. 如申請專利範圍第7或8項之化學增幅光阻組成物,更含有淬滅劑。
  11. 如申請專利範圍第10項之化學增幅光阻組成物,其中,該淬滅劑包含下式(1a)或(1b)表示之化合物;
    Figure 03_image007
    式中,Rq1 為氫原子、或亦可含有雜原子之碳數1~40之1價烴基,但與磺基之α位之碳原子鍵結的氫原子取代為氟原子或氟烷基而得者除外;Rq2 為氫原子、或亦可含有雜原子之碳數1~40之1價烴基;Mq+ 為鎓陽離子。
  12. 如申請專利範圍第10項之化學增幅光阻組成物,其中,該淬滅劑包含胺化合物。
  13. 如申請專利範圍第7或8項之化學增幅光阻組成物,更含有如申請專利範圍第6項之光酸產生劑以外的其他光酸產生劑。
  14. 如申請專利範圍第13項之化學增幅光阻組成物,其中,該其他光酸產生劑係下式(3)或(4)表示者;
    Figure 03_image009
    式中,R101 、R102 及R103 各自獨立地為亦可含有雜原子之碳數1~20之1價烴基;又,R101 、R102 及R103 中之任2者亦可相互鍵結並和它們所鍵結之硫原子一起形成環;X- 係選自於下式(3A)~(3D)之陰離子;
    Figure 03_image011
    式中,Rfa 、Rfb1 、Rfb2 、Rfc1 、Rfc2 及Rfc3 各自獨立地為氟原子、或亦可含有雜原子之碳數1~40之1價烴基;又,Rfb1 與Rfb2 、或Rfc1 與Rfc2 亦可相互鍵結並和它們所鍵結之碳原子及此等間之原子一起形成環;Rfd 為亦可含有雜原子之碳數1~40之1價烴基;
    Figure 03_image013
    式中,R201 及R202 各自獨立地為亦可含有雜原子之碳數1~30之1價烴基;R203 為亦可含有雜原子之碳數1~30之2價烴基;又,R201 、R202 及R203 中之任2者亦可相互鍵結並和它們所鍵結之硫原子一起形成環;LA 為單鍵、醚鍵、或亦可含有雜原子之碳數1~20之2價烴基;Xa 、Xb 、Xc 及Xd 各自獨立地為氫原子、氟原子或三氟甲基,但至少1者為氟原子或三氟甲基。
  15. 如申請專利範圍第7或8項之化學增幅光阻組成物,更含有不溶或難溶於水但可溶於鹼顯影液的界面活性劑、及/或不溶或難溶於水及鹼顯影液的界面活性劑。
  16. 一種圖案形成方法,包含下列步驟: 使用如申請專利範圍第7至15項中任一項之化學增幅光阻組成物在基板上形成光阻膜; 將該光阻膜利用KrF準分子雷射光、ArF準分子雷射光、電子束或極端紫外線進行曝光;及 使用顯影液對該經曝光之光阻膜進行顯影。
  17. 如申請專利範圍第16項之圖案形成方法,係使用鹼水溶液作為顯影液使曝光部溶解,而獲得未曝光部不溶解的正型圖案。
  18. 如申請專利範圍第16項之圖案形成方法,係使用有機溶劑作為顯影液使未曝光部溶解,而獲得曝光部不溶解的負型圖案。
  19. 如申請專利範圍第18項之圖案形成方法,其中,該有機溶劑係選自於2-辛酮、2-壬酮、2-庚酮、3-庚酮、4-庚酮、2-己酮、3-己酮、二異丁基酮、甲基環己酮、苯乙酮、甲基苯乙酮、乙酸丙酯、乙酸丁酯、乙酸異丁酯、乙酸戊酯、乙酸丁烯酯、乙酸異戊酯、甲酸丙酯、甲酸丁酯、甲酸異丁酯、甲酸戊酯、甲酸異戊酯、戊酸甲酯、戊烯酸甲酯、巴豆酸甲酯、巴豆酸乙酯、丙酸甲酯、丙酸乙酯、3-乙氧基丙酸乙酯、乳酸甲酯、乳酸乙酯、乳酸丙酯、乳酸丁酯、乳酸異丁酯、乳酸戊酯、乳酸異戊酯、2-羥基異丁酸甲酯、2-羥基異丁酸乙酯、苯甲酸甲酯、苯甲酸乙酯、乙酸苯酯、乙酸苄酯、苯基乙酸甲酯、甲酸苄酯、甲酸苯基乙酯、3-苯基丙酸甲酯、丙酸苄酯、苯基乙酸乙酯及乙酸2-苯基乙酯中之至少1種。
  20. 如申請專利範圍第16至19項中任一項之圖案形成方法,其中,該曝光係將折射率1.0以上之液體插入在光阻膜與投影透鏡之間而進行的浸潤曝光。
  21. 如申請專利範圍第20項之圖案形成方法,其中,於該光阻膜之上進一步形成保護膜,將該液體插入在該保護膜與投影透鏡之間而進行浸潤曝光。
TW108141094A 2018-11-15 2019-11-13 新穎鹽化合物、化學增幅光阻組成物、及圖案形成方法 TWI717099B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2018214718A JP7056524B2 (ja) 2018-11-15 2018-11-15 新規塩化合物、化学増幅レジスト組成物、及びパターン形成方法
JP2018-214718 2018-11-15

Publications (2)

Publication Number Publication Date
TW202024030A true TW202024030A (zh) 2020-07-01
TWI717099B TWI717099B (zh) 2021-01-21

Family

ID=70704509

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108141094A TWI717099B (zh) 2018-11-15 2019-11-13 新穎鹽化合物、化學增幅光阻組成物、及圖案形成方法

Country Status (5)

Country Link
US (1) US11435666B2 (zh)
JP (1) JP7056524B2 (zh)
KR (1) KR102315790B1 (zh)
CN (1) CN111187235B (zh)
TW (1) TWI717099B (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI785894B (zh) * 2020-11-19 2022-12-01 日商信越化學工業股份有限公司 阻劑組成物以及圖案形成方法
US11579529B2 (en) * 2019-03-06 2023-02-14 Shin-Etsu Chemical Co., Ltd. Positive resist composition and patterning process

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW202346263A (zh) * 2022-05-23 2023-12-01 日商Jsr 股份有限公司 感放射線性樹脂組成物及圖案形成方法

Family Cites Families (54)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6749987B2 (en) * 2000-10-20 2004-06-15 Fuji Photo Film Co., Ltd. Positive photosensitive composition
JP4469692B2 (ja) 2004-09-14 2010-05-26 富士フイルム株式会社 感光性組成物、該感光性組成物に用いられる化合物及び該感光性組成物を用いたパターン形成方法
JP4474248B2 (ja) 2004-09-15 2010-06-02 富士フイルム株式会社 感光性組成物及び該感光性組成物を用いたパターン形成方法
JP4452632B2 (ja) * 2005-01-24 2010-04-21 富士フイルム株式会社 感光性組成物、該感光性組成物に用いる化合物及び該感光性組成物を用いたパターン形成方法
JP4498177B2 (ja) * 2005-03-15 2010-07-07 富士フイルム株式会社 ポジ型感光性組成物及びそれを用いた画像記録材料
JP4724465B2 (ja) 2005-05-23 2011-07-13 富士フイルム株式会社 感光性組成物及び該感光性組成物を用いたパターン形成方法
JP4554665B2 (ja) 2006-12-25 2010-09-29 富士フイルム株式会社 パターン形成方法、該パターン形成方法に用いられる多重現像用ポジ型レジスト組成物、該パターン形成方法に用いられるネガ現像用現像液及び該パターン形成方法に用いられるネガ現像用リンス液
JP5011018B2 (ja) 2007-04-13 2012-08-29 富士フイルム株式会社 パターン形成方法
JP4982288B2 (ja) 2007-04-13 2012-07-25 富士フイルム株式会社 パターン形成方法
WO2009019793A1 (ja) * 2007-08-09 2009-02-12 Jsr Corporation パターン形成方法並びにそれに用いられる感放射線性樹脂組成物及び感放射線性酸発生基含有樹脂
TW200924746A (en) * 2007-09-07 2009-06-16 Xenoport Inc Complex pantoic acid ester neopentyl sulfonyl ester cyclization release prodrugs of acamprosate, compositions thereof, and methods of use
US8283106B2 (en) * 2007-11-01 2012-10-09 Central Glass Company, Limited Sulfonic acid salt and derivative thereof, photoacid generator agent, and resist material and pattern formation method using the photoacid generator agent
JP5376847B2 (ja) 2008-06-30 2013-12-25 東京応化工業株式会社 レジスト組成物、レジストパターン形成方法、新規な化合物および酸発生剤
JP5292078B2 (ja) 2008-12-05 2013-09-18 富士フイルム株式会社 感活性光線または感放射線性樹脂組成物及び該組成物を用いたパターン形成方法
JP5287552B2 (ja) 2009-07-02 2013-09-11 信越化学工業株式会社 光酸発生剤並びにレジスト材料及びパターン形成方法
JP5387181B2 (ja) 2009-07-08 2014-01-15 信越化学工業株式会社 スルホニウム塩、レジスト材料及びパターン形成方法
JP5677668B2 (ja) * 2009-12-21 2015-02-25 住友化学株式会社 塩、レジスト組成物及びレジストパターンの製造方法
KR101725552B1 (ko) * 2010-02-10 2017-04-10 스미또모 가가꾸 가부시끼가이샤 염 및 포토레지스트 조성물
CN102781911B (zh) * 2010-02-24 2015-07-22 巴斯夫欧洲公司 潜酸及其用途
US8580478B2 (en) * 2010-02-24 2013-11-12 Basf Se Latent acids and their use
JP5624906B2 (ja) * 2010-03-23 2014-11-12 富士フイルム株式会社 パターン形成方法、化学増幅型レジスト組成物、及び、レジスト膜
JP5538120B2 (ja) * 2010-07-30 2014-07-02 富士フイルム株式会社 感活性光線性または感放射線性樹脂組成物、膜及び該組成物を用いたパターン形成方法
JP5903840B2 (ja) * 2010-12-06 2016-04-13 住友化学株式会社 塩及びレジスト組成物
KR20120072076A (ko) 2010-12-23 2012-07-03 제일모직주식회사 광산 발생제 및 이를 포함하는 레지스트 조성물
JP5723802B2 (ja) * 2012-02-16 2015-05-27 富士フイルム株式会社 感活性光線性又は感放射線性樹脂組成物、及び該組成物を用いたパターン形成方法及びレジスト膜、並びにこれらを用いた電子デバイスの製造方法
JP5615860B2 (ja) * 2012-03-07 2014-10-29 信越化学工業株式会社 酸発生剤、化学増幅型レジスト材料、及びパターン形成方法
WO2014034190A1 (ja) * 2012-08-27 2014-03-06 Jsr株式会社 感放射線性樹脂組成物、レジストパターン形成方法、感放射線性酸発生剤、化合物及び化合物の製造方法
JP6126878B2 (ja) * 2013-03-15 2017-05-10 富士フイルム株式会社 パターン形成方法、感活性光線性又は感放射線性樹脂組成物、感活性光線性又は感放射線性膜及び電子デバイスの製造方法
JP2014222338A (ja) * 2013-05-14 2014-11-27 富士フイルム株式会社 感活性光線性又は感放射線性樹脂組成物、及びそれを用いたパターン形成方法
JP6131202B2 (ja) 2013-07-10 2017-05-17 富士フイルム株式会社 感活性光線性又は感放射線性樹脂組成物、それを用いたレジスト膜、レジスト塗布マスクブランクス、及びパターン形成方法、並びに、電子デバイスの製造方法
JP6311254B2 (ja) * 2013-09-24 2018-04-18 住友化学株式会社 塩、レジスト組成物及びレジストパターンの製造方法
JP6398159B2 (ja) * 2013-09-24 2018-10-03 住友化学株式会社 塩、レジスト組成物及びレジストパターンの製造方法
JP6461919B2 (ja) * 2014-04-14 2019-01-30 富士フイルム株式会社 感活性光線性又は感放射線性樹脂組成物、該組成物を用いた感活性光線性又は感放射線性膜及びパターン形成方法、並びに電子デバイスの製造方法
WO2016035497A1 (ja) * 2014-09-02 2016-03-10 富士フイルム株式会社 パターン形成方法、電子デバイスの製造方法及び電子デバイス
JP6593138B2 (ja) * 2015-03-19 2019-10-23 Jsr株式会社 感放射線性樹脂組成物、レジストパターン形成方法及び感放射線性酸発生体
JP6319188B2 (ja) 2015-05-27 2018-05-09 信越化学工業株式会社 スルホニウム塩、化学増幅レジスト組成物、及びパターン形成方法
KR102152485B1 (ko) * 2015-10-23 2020-09-04 신에쓰 가가꾸 고교 가부시끼가이샤 레지스트 재료, 패턴 형성 방법, 그리고 바륨염, 세슘염 및 세륨염
WO2017154345A1 (ja) * 2016-03-07 2017-09-14 富士フイルム株式会社 感活性光線性又は感放射線性樹脂組成物、レジスト膜、パターン形成方法、電子デバイスの製造方法
JP6642345B2 (ja) * 2016-03-28 2020-02-05 信越化学工業株式会社 レジスト材料及びパターン形成方法
WO2017179727A1 (ja) * 2016-04-15 2017-10-19 Jsr株式会社 感放射線性樹脂組成物、レジストパターン形成方法及び感放射線性酸発生剤
JP6583126B2 (ja) * 2016-04-28 2019-10-02 信越化学工業株式会社 新規カルボン酸オニウム塩、化学増幅レジスト組成物、及びパターン形成方法
KR101960596B1 (ko) 2016-06-28 2019-07-15 신에쓰 가가꾸 고교 가부시끼가이샤 레지스트 재료 및 패턴 형성 방법
JP6589795B2 (ja) * 2016-09-27 2019-10-16 信越化学工業株式会社 スルホニウム塩、レジスト組成物及びパターン形成方法
JP6645464B2 (ja) * 2017-03-17 2020-02-14 信越化学工業株式会社 レジスト材料及びパターン形成方法
JP6820233B2 (ja) * 2017-05-26 2021-01-27 東洋合成工業株式会社 ポリマー、該ポリマーを含有するレジスト組成物及びそれを用いたデバイスの製造方法
JP6841183B2 (ja) * 2017-07-27 2021-03-10 信越化学工業株式会社 スルホニウム塩、ポリマー、レジスト組成物、及びパターン形成方法
JP6927176B2 (ja) 2017-10-16 2021-08-25 信越化学工業株式会社 レジスト材料及びパターン形成方法
JP6904320B2 (ja) * 2017-10-18 2021-07-14 信越化学工業株式会社 レジスト材料及びパターン形成方法、並びにバリウム塩
JP2019207300A (ja) * 2018-05-28 2019-12-05 東京応化工業株式会社 レジスト組成物及びレジストパターン形成方法
JP7094146B2 (ja) * 2018-05-28 2022-07-01 東京応化工業株式会社 レジスト組成物及びレジストパターン形成方法
JP7285695B2 (ja) * 2018-05-28 2023-06-02 東京応化工業株式会社 レジスト組成物及びレジストパターン形成方法、並びに、化合物及び酸発生剤
KR20190135415A (ko) * 2018-05-28 2019-12-06 도오꾜오까고오교 가부시끼가이샤 레지스트 조성물, 레지스트 패턴 형성 방법, 화합물, 산 발생제 및 화합물의 제조 방법
US11221557B2 (en) 2018-05-28 2022-01-11 Tokyo Ohka Kogyo Co., Ltd. Resist composition, method of forming resist pattern, compound, and acid generator
US11703756B2 (en) 2018-05-28 2023-07-18 Tokyo Ohka Kogyo Co., Ltd. Resist composition and method of forming resist pattern

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11579529B2 (en) * 2019-03-06 2023-02-14 Shin-Etsu Chemical Co., Ltd. Positive resist composition and patterning process
TWI785894B (zh) * 2020-11-19 2022-12-01 日商信越化學工業股份有限公司 阻劑組成物以及圖案形成方法

Also Published As

Publication number Publication date
JP7056524B2 (ja) 2022-04-19
TWI717099B (zh) 2021-01-21
KR20200056939A (ko) 2020-05-25
US20200159115A1 (en) 2020-05-21
CN111187235B (zh) 2023-06-06
KR102315790B1 (ko) 2021-10-20
CN111187235A (zh) 2020-05-22
US11435666B2 (en) 2022-09-06
JP2020083760A (ja) 2020-06-04

Similar Documents

Publication Publication Date Title
KR101808907B1 (ko) 광산 발생제, 화학 증폭형 레지스트 재료 및 패턴 형성 방법
KR102083087B1 (ko) 술포늄염, 폴리머, 레지스트 조성물 및 패턴 형성 방법
JP7172975B2 (ja) 新規オニウム塩、化学増幅レジスト組成物、及びパターン形成方法
TW201546036A (zh) 光酸產生劑、化學增幅型光阻材料及圖案形成方法
TWI773975B (zh) 鋶化合物、化學增幅光阻組成物、以及圖案形成方法
TWI717099B (zh) 新穎鹽化合物、化學增幅光阻組成物、及圖案形成方法
TWI749563B (zh) 分子光阻組成物及使用其之圖案形成方法
TW202019862A (zh) 鎓鹽、光阻組成物及圖案形成方法
CN112782934A (zh) 抗蚀剂组成物及图案形成方法
TWI749380B (zh) 新穎鎓鹽、光阻組成物、以及圖案形成方法
JP7491173B2 (ja) スルホニウム塩、化学増幅レジスト組成物及びパターン形成方法
TWI837837B (zh) 化學增幅阻劑組成物及圖案形成方法
TWI837519B (zh) 鋶鹽、化學增幅阻劑組成物以及圖案形成方法
KR102112899B1 (ko) 레지스트 조성물, 패턴 형성 방법, 고분자 화합물 및 단량체
KR20240067030A (ko) 아민 화합물, 화학 증폭 레지스트 조성물 및 패턴 형성 방법
JP2023046675A (ja) アミン化合物、化学増幅レジスト組成物及びパターン形成方法