TW201934798A - 化學沉積製程的前驅物控制技術 - Google Patents

化學沉積製程的前驅物控制技術 Download PDF

Info

Publication number
TW201934798A
TW201934798A TW107147764A TW107147764A TW201934798A TW 201934798 A TW201934798 A TW 201934798A TW 107147764 A TW107147764 A TW 107147764A TW 107147764 A TW107147764 A TW 107147764A TW 201934798 A TW201934798 A TW 201934798A
Authority
TW
Taiwan
Prior art keywords
precursor
flux
temperature
cell
occasion
Prior art date
Application number
TW107147764A
Other languages
English (en)
Other versions
TWI771550B (zh
Inventor
耶來納 把吧眼
莎拉 懷特
維傑 維納寇帕
喬納森 巴克
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW201934798A publication Critical patent/TW201934798A/zh
Application granted granted Critical
Publication of TWI771550B publication Critical patent/TWI771550B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation using carrier gas in contact with the source material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4486Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by producing an aerosol and subsequent evaporation of the droplets or particles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01FMEASURING VOLUME, VOLUME FLOW, MASS FLOW OR LIQUID LEVEL; METERING BY VOLUME
    • G01F1/00Measuring the volume flow or mass flow of fluid or fluent solid material wherein the fluid passes through a meter in a continuous flow
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05DSYSTEMS FOR CONTROLLING OR REGULATING NON-ELECTRIC VARIABLES
    • G05D11/00Control of flow ratio
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05DSYSTEMS FOR CONTROLLING OR REGULATING NON-ELECTRIC VARIABLES
    • G05D11/00Control of flow ratio
    • G05D11/02Controlling ratio of two or more flows of fluid or fluent material
    • G05D11/13Controlling ratio of two or more flows of fluid or fluent material characterised by the use of electric means
    • G05D11/131Controlling ratio of two or more flows of fluid or fluent material characterised by the use of electric means by measuring the values related to the quantity of the individual components
    • G05D11/132Controlling ratio of two or more flows of fluid or fluent material characterised by the use of electric means by measuring the values related to the quantity of the individual components by controlling the flow of the individual components
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05DSYSTEMS FOR CONTROLLING OR REGULATING NON-ELECTRIC VARIABLES
    • G05D16/00Control of fluid pressure
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05DSYSTEMS FOR CONTROLLING OR REGULATING NON-ELECTRIC VARIABLES
    • G05D16/00Control of fluid pressure
    • G05D16/04Control of fluid pressure without auxiliary power
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05DSYSTEMS FOR CONTROLLING OR REGULATING NON-ELECTRIC VARIABLES
    • G05D16/00Control of fluid pressure
    • G05D16/04Control of fluid pressure without auxiliary power
    • G05D16/0402Control of fluid pressure without auxiliary power with two or more controllers mounted in series
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Automation & Control Theory (AREA)
  • Fluid Mechanics (AREA)
  • Dispersion Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

一種用於控制前驅物流的設備。所述設備可包括:處理器;以及記憶體單元,耦合至所述處理器,包括通量控制常式。所述通量控制常式可在所述處理器上運行以監測所述前驅物流且可包括通量計算處理器,所述通量計算處理器用以基於自用以遞送前驅物的氣體遞送系統的胞元接收的所偵測訊號強度的變化而確定前驅物通量值。

Description

化學沉積製程的前驅物控制技術
本發明實施例是有關於沉積製程,且更具體而言,是有關於化學沉積製程中的前驅物控制。
如今,例如半導體裝置製作等裝置製作可能需要包括在三維結構上進行化學沉積製程以利用精確的厚度控制形成薄層。此類化學沉積製程包括化學氣相沉積(chemical vapor deposition,CVD)以及原子層沉積(atomic layer deposition,ALD)等諸製程。
此類化學沉積製程可能涉及自固體源、氣體源或液體源(例如,安瓿)遞送前驅物。舉例而言,可自安瓿將前驅物遞送至處理室,所述前驅物在所述處理室處發生反應以在基板上形成層或子層。在如今的設備中,可能無法恰當地表徵被遞送的前驅物的量,從而導致在不同基板之間、不同安瓿之間遞送前驅物時存在易變性或在安瓿的使用壽命期間存在易變性。對安瓿壽命終止的偵測延遲可導致大量晶圓(基板)廢棄。為預防起見,使用者可追蹤流經安瓿的載氣且可在實際壽命終止之前停止使用安瓿阱(ampoule well)中的前驅物,從而導致相當一部分安瓿填充物未被使用並導致較高的總成本。
針對該些及其他考量而提供了本揭露。
在一個實施例中,一種用於控制前驅物流(precursor flow)的設備可包括:處理器;以及記憶體單元,耦合至所述處理器,包括通量控制常式(flux control routine)。所述通量控制常式可在所述處理器上運行以監測所述前驅物流。所述通量控制常式可包括通量計算處理器,以基於自用以遞送前驅物的氣體遞送系統的胞元接收的所偵測訊號強度的變化而確定前驅物通量值。
在額外的實施例中,一種控制前驅物流的方法可包括:經由氣體遞送系統提供前驅物流;量測所述氣體遞送系統的胞元中由所述前驅物流導致的所偵測訊號強度的變化;以及基於所述所偵測訊號強度的變化而確定前驅物通量值。
在另一實施例中,一種用於控制前驅物流的設備可包括用於輸出前驅物的源、以及以通訊方式耦合至所述源的感測器總成。所述感測器總成可包括:胞元,耦合至所述源以接收並傳導所述前驅物;光源,設置於所述胞元的第一側上以將光傳輸至所述胞元中;以及偵測器,設置於所述胞元的與所述光源相對的第二側上以偵測經由所述胞元傳輸的光。所述設備亦可包括控制系統,所述控制系統被配置成基於在所述前驅物流經所述胞元期間自所述胞元接收的所偵測光強度的變化而確定前驅物通量值。
以下將參照附圖更充分地闡述本發明實施例,在附圖中示出了一些實施例。本揭露的標的可實施為諸多不同的形式且不應被視為僅限於本文中所述的實施例。提供該些實施例是為了使本揭露內容將為透徹及完整的,且將向熟習此項技術者充分傳達標的的範圍。在圖式中,相同的編號在通篇中指代相同的元件。
本文中闡述的實施例提供對化學沉積製程(例如,原子層沉積(ALD)製程)中前驅物的新穎處理及控制。原子層沉積一般涉及依序暴露至二或更多種反應物以沉積給定的材料單層。在各種實施例中,可執行化學沉積製程以沉積任意恰當的材料,包括氧化物、氮化物、碳化物、介電質、半導體或金屬。所述化學沉積製程可涉及如在以下實施例中詳細闡述的對前驅物流的控制。
現在參照圖1A,圖1示出了根據本揭露的實施例用於化學沉積的系統100。系統100涉及使用至少一種前驅物,所述前驅物一般作為氣體物質被提供至被稱為沉積室110的處理室。在不同實施例中,可採用系統100以執行化學氣相沉積(CVD)或原子層沉積(ALD)。所述實施例並非僅限於此上下文中。系統100包括例如安瓿104等源,其中安瓿104可含有固體、液體或氣體。安瓿104可被保持處於升高的溫度下以產生氣體物質,所述物質在本文中可被稱為前驅物。安瓿104可耦合至遞送系統114,遞送系統114被配置成將至少一種氣體物質傳導至沉積室110,且在一些情形中,將多種氣體物質傳導至沉積室110,如在已知的CVD或ALD系統中。舉例而言,遞送系統114可包括多個氣體管線、閥門及流量控制器。遞送系統114的至少一部分可包含在熱室106中,其中熱室106相對於安瓿104保持在升高的溫度下,從而確保前驅物至少在進入沉積室110之前保持在氣體狀態中。
系統100可更包括感測器總成108,感測器總成108被配置成監測至少一種前驅物在安瓿104與沉積室110之間的流動。感測器總成108可耦合至控制系統112,其中控制系統112可向使用者輸出資訊或訊號、以及發送用於控制系統100的操作參數(包括溫度、前驅物流等)的控制訊號。在圖1B中示出了控制系統112的實施例的細節,並在以下進一步論述所述細節。
根據本揭露的實施例,可以硬體與軟體的組合實作控制系統112。控制系統112可包括各種硬體元件、軟體元件或硬體/軟體的組合。硬體元件的例子可包括裝置、邏輯裝置、組件、處理器、微處理器、電路、處理器電路、電路元件(例如,電晶體、電阻器、電容器及電感器等)、積體電路、應用專用積體電路(application specific integrated circuit,ASIC)以及可程式化邏輯裝置(programmable logic device,PLD)。硬體元件的例子亦可包括數位訊號處理器(digital signal processor,DSP)、場域可程式化閘陣列(field programmable gate array,FPGA)、記憶體單元、邏輯閘、暫存器、半導體裝置、晶片、微晶片及晶片組等。軟體元件的例子可包括軟體組件、程式、應用、電腦程式、應用程式、系統程式、軟體開發程式、機器程式、作業系統軟體、中間軟體、韌體、軟體模組、常式、次常式及函數。軟體元件的例子亦可包括方法、程序、軟體介面、應用程式介面(application program interface,API)、指令集、計算代碼、電腦代碼、代碼片段、電腦代碼片段、字詞、值、符號或其任意組合。判斷是否利用硬體元件及/或軟體元件實作實施例可如針對給定實施方式所期望根據任意數目的因素(例如,所需的計算速率、功率等級、耐熱性、處理循環預算、輸入資料速率、輸出資料速率、記憶體資源、資料匯流排速度以及其他設計或效能約束)而變化。
作為例子,控制系統112可包括各種硬體輸出,所述輸出可實施為用於控制系統100的其他組件的訊號,可輸出在使用者介面上,或以其他方式輸出。在一些例子中,硬體輸出可被控制系統112採用作為輸入以控制系統100的組件,如以下將詳細闡述。表I包括根據本揭露的一些實施例的例示性硬體輸出的列表。在此例子中,可輸出溫度(例如,安瓿104的溫度)、以及氣體壓力、前驅物濃度及健康狀況監測(參考訊號)。
表I
可週期性地、間歇性地、同步地或彼此分離(在時間上)地收集該些輸出。
現在轉向表II。表II示出了根據本揭露的一些實施例的一組操作或功能,其中所述功能可由控制系統112實行,其中該些功能在以下的論述中進行詳細闡述。
表II
現在轉向圖1B,圖1B示出了對控制系統112的另一種繪示。在各種實施例中,控制系統112可包括處理器150,例如已知類型的微處理器、專用半導體處理器晶片、通用半導體處理器晶片或類似裝置。控制系統112可更包括耦合至處理器150的記憶體或記憶體單元160,其中記憶體單元160含有通量控制常式162,如以下闡述。通量控制常式162可在處理器150上運行以控制系統100中的前驅物通量或前驅物流,如下詳細闡述。在一些實施例中,通量控制常式162可包括壽命終止處理器164、偏移處理器(excursion processor)166、阻塞偵測處理器(clog-detection processor)168、溫度控制處理器170以及通量計算處理器172,其中參照以下論述的實施例闡述了該些處理器的實施方式。
記憶體單元160可包括製品。在一個實施例中,記憶體單元160可包括任意非暫時性電腦可讀取媒體或機器可讀取媒體,例如光學儲存器、磁性儲存器或半導體儲存器。所述儲存器媒體可儲存各種類型的電腦可執行指令以實作本文中闡述的一或多個邏輯流程。電腦可讀取或機器可讀取儲存媒體的例子可包括任意能夠儲存電子資料的有形媒體,包括揮發性記憶體或非揮發性記憶體,可移除或不可移除記憶體、可抹除或不可抹除記憶體、可寫或可重寫記憶體等。電腦可執行指令的例子可包括任意適當類型的代碼,例如源代碼、編譯代碼(compiled code)、直譯代碼(interpreted code)、可執行代碼、靜態代碼、動態代碼、物件導向代碼、視覺代碼等。所述實施例並非僅限於此上下文中。
記憶體單元160可包括系統資料庫180,系統資料庫180包括用於操作系統100的參數。例示性參數包括例如基線(baseline)安瓿側面溫度以及基線安瓿底部溫度,其中該些參數可被設定為用於待被執行的控制操作(例如,用於待被執行的溫度補償)的起始點。其他經受控制的參數可包括流動速率以及沉積時間。可採用額外的參數(所述參數亦可被儲存於系統資料庫180中)來指定界限以確保製程的溫度保持處於安全範圍內。該些參數中存在安瓿側面溫度最小值、安瓿側面溫度最大值、安瓿底部溫度最小值、安瓿底部溫度最大值、熱室溫度以及前驅物降解溫度。
現在轉向圖1C,圖1C示出了根據本揭露的另一些實施例用於化學沉積的系統118。系統118涉及使用多個前驅物,所述前驅物一般作為氣體物質被提供至被稱為沉積室110的處理室。系統118可以與系統100類似的方式運作,同時系統118包括用於第一前驅物的第一安瓿104A以及用於第二前驅物的第二安瓿104B。控制系統112可根據在以下論述中詳細闡述的原理發揮獨立地控制第一安瓿104A及第二安瓿104B的作用。此種配置有利於控制兩種不同的前驅物,其中不同的前驅物可用於在CVD或ALD製程中形成不同的冷凝物質。在其他實施例中,例如系統100或系統118等系統可與氣流設備耦合,所述氣流設備用於將氣體前驅物(例如,NH3 或H2 )自氣體源供應至沉積室。因此,CVD或ALD系統可採用氣體源來遞送氣體前驅物,而根據本文中闡述的實施例至少一種其他前驅物在主動控制下自安瓿進行遞送。
在各種實施例中,感測器總成108可配置有任意適當的組件用於監測前驅物,包括電磁輻射、聲學訊號等等。所述實施例並非僅限於此上下文中。感測器總成108可藉由量測經由感測器總成108傳輸的恰當訊號的訊號強度的變化而確定前驅物通量或濃度,如以下詳細闡述。轉向圖2A及圖2B,圖2A及圖2B示出了根據本揭露的一些實施例的感測器總成108的操作原理。感測器總成108可包括光源120(例如,紅外光源、可見光源或紫外光源)以及面向光源120的偵測器122。偵測器122可為適合用於光源120的輻射源類型的任意偵測器。感測器總成108可更包括被示出為胞元124的室,其中胞元124被配置成在前驅物126自安瓿104行進至沉積室110時接收前驅物126並傳導前驅物126。當前驅物126不存在於胞元124中時,如在圖2A中所示,偵測器122可登記諸如背景訊號130等訊號。背景訊號130表示隨來自光源120的輻射的波長而變化的透射(偵測)強度。在一些實施例中,背景訊號130可為無特徵的,例如圖3A中所示。當前驅物126存在於胞元124中時,前驅物可吸收由光源120發出的輻射,其中偵測器122登記前驅物訊號134。為闡釋清晰起見,前驅物訊號134被示出為表現出峰值136,同時前驅物訊號134可包括多重特徵,所述特徵在一些實施例中包括多個峰值。應注意,相對於背景訊號130,在前驅物訊號134中,胞元124中的前驅物126的存在可能減小所偵測輻射的整體強度。如以下將詳細闡述,在各種實施例中,採用在多個場合上記錄背景訊號130以及監測前驅物訊號134以控制沉積製程的操作。
如在圖3A及圖3B中進一步示出,感測器總成亦可收集參考訊號132,其中參考訊號132指示偵測器122的偵測器效能隨時間的相對變化。參考訊號132的強度的變化可指示偵測器122的效能的劣化。藉由在各種場合處收集參考訊號132並結合量測背景訊號130及前驅物訊號134,可準確地確定前驅物126隨時間而變化的量。具體而言,前驅物126的光吸收可與胞元124中的前驅物126的局部壓力成正比。因此,可採用物理建模來基於對前驅物訊號134的重覆量測計算多個場合處的前驅物通量,從而有利於更佳地控制涉及前驅物126的化學沉積製程。除量測前驅物通量以外,亦可量測胞元124中的胞元壓力,例如總壓力。
現在轉向圖4,圖4示出了對根據本揭露的實施例的控制系統112的各種輸出的圖形繪示。曲線402示出安瓿104隨時間變化的溫度。在此例子中,溫度隨時間的變化相對恆定。在沉積製程期間,藉由加熱安瓿104以產生前驅物的較高局部壓力,溫度的變化可導致前驅物通量的變化。
曲線406表示偵測器的參考強度,所述參考強度例如指示偵測器在量測的時間週期上持續發揮相同的作用。可藉由將在某一時間所取得的參考強度值與當前時間的參考強度進行比較而確定感測器的健康狀況。因此,若參考強度的值隨時間大大劣化,則此劣化可被視為指示感測器的不良健康狀況。曲線408表示室中隨時間變化的壓力,而曲線404表示前驅物隨時間變化的濃度。如圖所示,以一系列濃度脈衝412遞送前驅物,從而產生相應脈衝的壓力。
現在轉向圖5,圖5示出了曲線502,曲線502表示經由系統運輸的前驅物通量,例如經由感測器總成108傳導的前驅物的通量。根據本揭露的各種實施例,執行基於物理的計算以確定前驅物通量,其中所述計算不需要在感測器(例如,偵測器122)處或在用於將前驅物自安瓿傳導至沉積室的氣體管線內具有恆定的壓力或溫度。在圖5所示的例子中,曲線502表現出一系列脈衝506,所述一系列脈衝表示由前驅物的脈衝產生的前驅物通量中的脈衝。曲線504表示前驅物隨時間的積分通量,所述積分通量表示脈衝506的總和。曲線504可指示在時間上的任意給定場合處遞送至沉積室的前驅物的量。感測器總成(例如,感測器總成108)可在化學沉積製程期間保持在操作狀態中,因此任一脈衝506皆被記錄,其中給定場合處的積分通量表示直至所述點所記錄的所有通量脈衝的總和。
儘管圖4及圖5所示的例子是有關於前驅物通量監測,但在其他實施例中,可監測副產物或二次前驅物。舉例而言,在一些化學系統中,前驅物可在運輸期間分解,其中所述前驅物以及分解的副產物可穿過偵測器胞元。因此,藉由添加額外的偵測器,因此會存在前驅物偵測器、副產物偵測器以及健康狀況偵測器,可使用此種偵測器系統來確定前驅物對副產物(precursor vs byproduct)的相對流動。
確定前驅物通量以及積分前驅物通量可用於監測、表徵或控制根據本揭露的各種實施例的沉積製程。圖6A、圖6B、圖6C及圖6D為示出積分通量或相關實體與系統(例如,系統100)的某一實驗或操作參數之間的關係的例示性曲線圖。所示資料表示利用(3,3-二甲基-1-丁炔)六羰基二鈷(CCTBA)前驅物沉積鈷。此化學系統僅為例示性的,且在其他實施例中,可使用其他金屬有機或鹵素物質來沉積鈷或其他金屬。
在圖6A中,示出了針對在如圖所示的沉積時間視窗上收集到的量測值,積分通量與沉積時間之間的關係。利用如上所述的感測器總成確定積分通量。如圖所示,積分通量示出與沉積時間的良好線性擬合(linear fit)。圖6B繪示載氣流動速率與積分通量之間的關係,再次示出在100標準毫升/分鐘(sccm)與400 sccm之間的載體流動速率上的線性行為。圖6C繪示安瓿底部溫度與積分通量之間的關係,再次示出在32 C與38 C之間的溫度範圍上的線性行為。
在圖6D中,示出了沉積物隨通量變化的量測厚度,其示出了兩個不同單元(α及β)的線性關係。朝向右側的資料表示朝向安瓿壽命的開端收集的資料,而隨著安瓿前驅物材料被消耗發生通量及沉積厚度的減小。
因此,在圖6A至圖6D中所示的資料示出化學沉積系統中的積分通量(例如,鈷)對包括沉積時間、載體流動速率及安瓿溫度在內的參數的敏感度。在其他實施例中,可對到達處理室的任意其他流的稀釋流(dilution flow)、沉積步驟的數目或室壓力進行調變以控制前驅物通量。
在一些實施例中,可使用關於前驅物通量的資訊來動態地控制沉積製程,例如達成製程穩定性且防止或制止沉積製程中的漂移(drift)。圖7示出針對用於沉積TaN的原子層沉積系統,隨時間變化的積分前驅物通量行為。菱形符號指示對於多達大約4500個晶圓而言,在一系列沉積期間未執行溫度調整時隨時間變化的積分前驅物通量。由水平虛線示出了下限及上限。如圖所示,在未調整溫度時的前驅物通量直至大約處理1500個晶圓的場合大致保持在上限與下限之間,且在更高的晶圓數目處大量降低至低於下限。鑑於上限及下限可表示目標操作範圍,所述結果示出未經補償的前驅物流無法使製程在1500個晶圓以上保持在目標操作範圍內。三角形符號表示根據本揭露的實施例,在一系列沉積期間執行溫度調整時隨時間變化的積分前驅物通量。在此組資料中,可根據以下詳細闡述的程序調整前驅物安瓿的溫度。結果,積分通量保持在整個所量測的範圍上(直至3500個晶圓)。
現在轉向圖8,圖8示出了根據本揭露的實施例的製程流程800。如上所述且如在圖中所指示,可藉由處理器實作製程流程800。應注意,一些操作可藉由多於一個處理器進行執行。在方塊802處,針對給定的沉積製程設定積分前驅物通量。可建立設定點,其中積分通量將基於利用根據上述實施例的感測器總成量測前驅物而確定。在各種實施例中,可設定或監測多個參數。舉例而言,可設定基線安瓿側面溫度以及基線安瓿底部溫度作為待被執行的溫度補償的起始點。可採用額外的參數來指定界限以確保製程的溫度保持處於安全範圍內。該些參數中存在安瓿側面溫度最小值、安瓿側面溫度最大值、安瓿底部溫度最小值、安瓿底部溫度最大值、熱室溫度以及前驅物降解溫度。
在方塊804處,根據給定的沉積製程處理基板或晶圓。流程繼續進行至方塊806,在方塊806中計算前驅物的積分室通量(integrated chamber flux),如例如在曲線504處所表示。在方塊808處,檢查感測器(例如,偵測器122)以查看是否正在作出正確的讀數且基線讀數是否正確。若確定出感測器需要調整,則流程繼續進行至方塊810,在方塊810處在一種模式中,向使用者發送訊號指示感測器需要調整,而在另一種模式中,自動地執行對感測器的調整。所述流程然後返回至方塊804。若在方塊808處感測器不需要調整,則所述流程繼續進行至方塊812,在方塊812處,相對於固定的控制界限檢查來自方塊806的積分前驅物通量。若前驅物通量指示製程處於控制之下或位於控制界限內,則所述流程繼續進行至方塊814,在方塊814處不對安瓿溫度進行調整。所述流程然後返回至方塊804,在方塊804處對晶圓進行處理而不對前驅物的安瓿溫度進行調整。
在一些實施例中,如在製程流程800中例示,可詳細說明兩組界限,例如故障界限(fault limit)及警示界限(warning limit)。當超出警示界限時,此種狀況觸發溫度更新。故障界限較寬,其中當超出故障界限時,此種狀況指示系統中某些事物已發生改變(並非在安瓿使用壽命上預期的逐漸漂移),且需要進行額外的動作。
在製程流程800中,若在方塊812處偵測到故障狀況(故障帶狀況),則所述流程繼續進行至方塊816,在方塊816處檢查安瓿閒置時間。若第一晶圓正在被處理,則所述流程然後返回至方塊814。若第一晶圓未正被處理,則所述流程繼續進行至方塊818,在方塊818處執行故障偵測及分類。所述流程然後繼續進行至方塊820,在方塊820處發送通知訊號以通知使用者已偵測到偏移。所述流程然後可繼續進行至方塊814。在不同的實施方式中,在處理經由方塊804繼續時可停止處理或通知使用者。
若在方塊812處確定了警示帶狀況,則所述流程繼續進行至方塊822,在方塊822處執行誤差計算€。
在不同的實施例中,警示界限及故障界限可由使用者指定或作為另一選擇可在軟體常式中自動計算。在一些例子中,所述界限表示自樣本集的平均值的給定數目的標準偏差。
具體而言,在方塊822處的誤差計算可涉及基於感測器雜訊及厚度敏感性(thickness sensitivity)以實驗方式確定的控制界限。所計算的誤差值可基於自控制上限(upper control limit,UCL)或控制下限(lower control limit,LCL)減去積分通量。所述流程然後繼續進行至方塊824。
在方塊824處,確定溫度增量DT。在一個實施例中,可基於DT = P*€ + D *d €/dt +I* ʃ €/dt計算溫度增量,其中P、I及D為比例增益、積分增益及微分增益。在一個實例中,P、D及I可為自調諧實驗(tuning experiment)以實驗方式進行確定。所述流程然後繼續進行至方塊826。
在方塊826處,將溫度增量DT四捨五入至最近的位準,例如至最近的0.5℃。所述流程然後繼續進行至方塊828,在方塊828處針對安瓿的側面溫度及底部溫度計算新的設定點,其中TK =TK-1 +DT,其中TK 為在時間k處的溫度,且Tk-1 為前一溫度設定點。所述流程然後繼續進行至方塊830。
在方塊830處,相對於含有前驅物的安瓿的當前溫度界限檢查在方塊828處確定的設定點。若在方塊830處設定點處於界限內,則所述流程繼續進行至方塊832。該些界限可包括上述安瓿側面溫度最小值、安瓿側面溫度最大值、安瓿底部溫度最小值、安瓿底部溫度最大值、熱室溫度以及前驅物降解溫度。
在方塊832處,基於在方塊828處確定的新的設定點而更新安瓿溫度設定點。所述流程然後繼續進行至方塊834以等待前驅物通量穩定化,且然後返回至方塊804。
若在方塊830處設定點不處於所述界限內,則所述流程繼續進行至方塊836,在方塊836處檢查前驅物安瓿的壽命終止。在方塊836處,若確定出安瓿處於安瓿壽命終止狀況下,則所述流程繼續進行至方塊838,在方塊838處發送訊號以通知使用者進行預防性維護。所述流程然後繼續進行至方塊840,在方塊840處基於最保守的界限重新計算溫度增量。最保守的界限可表示可應用的最大溫度的最低值或可應用的最小溫度的最高值。所述流程然後返回至方塊828。若在方塊836處確定出安瓿未到達壽命終止,則所述流程直接繼續進行至方塊840。可基於當溫度補償不再能夠將沉積製程保持在可接受的製程狀況內時確定壽命終止。
現在轉向圖9A,圖9A示出了根據本揭露的額外實施例的製程流程900。製程流程900可由阻塞偵測處理器168實作,且可用於判斷在用於化學沉積的遞送系統內是否存在阻塞以及阻塞位置。在圖9B中以方塊形式示出了包括前驅物安瓿932及感測器總成934的模型遞送系統930。轉向製程流程900,在方塊902處,判斷在遞送前驅物的氣體管線中的轉換器壓力(transducer pressure)是否太高。可就在氣體管線中的載體質量流控制器(carrier mass flow controller)的下游量測轉換器壓力。可以統計數據方式確定「太高」的指示。可採用一組「良好」配方來確定所預期的平均值及標準偏差(Σ),其中使用近似3Σ的偏差來判斷壓力是否為高(取決於樣本大小及可接受的信賴水準)。若判斷為否,則所述流程繼續進行至方塊904,指示未偵測到氣體管線中的阻塞。若在方塊902處轉換器壓力為高,則所述流程繼續進行至方塊906,在方塊906處,判斷感測器總成934壓力是否太高。若判斷為是,則所述流程繼續進行至方塊908,在方塊908處發送訊號指示在感測器總成934的下游偵測到阻塞。若在感測器總成934中壓力並非太高,則所述流程繼續進行至方塊910。
在方塊910處,判斷轉換器壓力在旁路模式中是否為高,如由圖9B中的位置4所示。若判斷為否,則所述流程繼續進行至方塊912,在方塊912處判斷前驅物通量是否為低。可藉由量測感測器總成934處的前驅物通量而判斷前驅物通量是否為低。在具體的例子中,利用包括平均值及標準偏差的統計學方式將所量測的前驅物通量與「良好」資料進行比較。若在方塊912處前驅物通量不低,則所述流程繼續進行至方塊914,在方塊914處發送指示在前驅物安瓿932入口處存在阻塞的訊號,如由位置2所示。若在方塊912處確定前驅物通量為低,則所述流程繼續進行至方塊916,在方塊916處,發送訊號以指示在前驅物安瓿932出口處存在阻塞,如由位置3指示。
若在方塊910處確定出轉換器壓力在旁路模式中為高,則所述流程繼續進行至方塊918,在方塊918處判斷前驅物通量是否為低。若判斷為否,則所述流程繼續進行至方塊920,在方塊920處,產生指示在前驅物安瓿932入口的上游存在阻塞的訊號,如由位置1指示。若判斷為是,則所述流程繼續進行至方塊922,在方塊922處發送指示在前驅物安瓿932出口與感測器總成934之間存在阻塞的訊號,如由位置4指示。
概言之,本發明實施例提供能夠在化學沉積系統的操作期間確定前驅物通量、能夠即時確定前驅物通量中的此類變化、能夠即時動態調整操作參數(例如,安瓿溫度)的優點,以將前驅物流保持在可接受的界限內。其他優點包括能夠確定或預測前驅物安瓿的壽命終止,因此在無法進行修正以將前驅物流保持在界限內之前可不需要進行替換。另一些優點包括能夠確定在前驅物遞送系統的多個不同位置中存在阻塞。
本揭露的範圍不受本文中所述的具體實施例的限制。確切而言,藉由以上說明及附圖,除本文中闡述的該些內容以外,本揭露的其他各種實施例以及對本揭露的其他各種修改將對此項技術中具有通常知識者而言為顯而易見的。因此,此類其他實施例及修改旨在落於本揭露的範圍內。此外,已在本文中在特定實施方式的上下文中在特定環境下出於特定目的闡述了本揭露。此項技術中具有通常知識者將認識到本揭露的有用性並非僅限於此,且本揭露可在任意數目的環境下出於任意數目的目的有利地實作。因此,以下闡述的申請專利範圍將根據如在本文中闡述的本揭露的整個寬度及精神進行解釋。
1、2、3、4、5‧‧‧位置
100‧‧‧系統
104‧‧‧安瓿
104A‧‧‧第一安瓿
104B‧‧‧第二安瓿
106‧‧‧熱室
108‧‧‧感測器總成
110‧‧‧沉積室
112‧‧‧控制系統
114‧‧‧遞送系統
118‧‧‧系統
120‧‧‧光源
122‧‧‧偵測器
124‧‧‧胞元
126‧‧‧前驅物
130‧‧‧背景訊號
132‧‧‧參考訊號
134‧‧‧前驅物訊號
150‧‧‧處理器
160‧‧‧記憶體單元
162‧‧‧通量控制常式
164‧‧‧壽命終止處理器
166‧‧‧偏移處理器
168‧‧‧阻塞偵測處理器
170‧‧‧溫度控制處理器
172‧‧‧通量計算處理器
180‧‧‧系統資料庫
402、404、406、408‧‧‧曲線
412‧‧‧濃度脈衝
502‧‧‧曲線
504‧‧‧曲線
506‧‧‧脈衝
800‧‧‧製程流程
802、804、806、808、810、812、814、816、818、820、822、824、826、828、830、832、834、836、838、840‧‧‧方塊
900‧‧‧製程流程
902、904、906、908、910、912、914、916、918、920、922‧‧‧方塊
930‧‧‧模型遞送系統
932‧‧‧前驅物安瓿
934‧‧‧感測器總成
圖1A示出根據本揭露的實施例用於進行化學沉積的系統。
圖1B示出圖1A所示系統的控制系統的一個實施例。
圖1C示出根據本揭露的實施例用於進行化學沉積的另一系統。
圖2A至圖2B示出根據本揭露的實施例的感測器總成的操作。
圖3A及圖3B示出由圖2A所示的感測器總成收集到的例示性訊號。
圖4示出對根據本揭露的實施例的控制系統的各種輸出的圖形繪示。
圖5為繪示根據一些實施例隨時間變化的前驅物通量及積分通量(integrated flux)的複合曲線圖。
圖6A、圖6B、圖6C及圖6D為根據本揭露的實施例,示出積分通量或相關實體與系統的操作參數之間的關係的例示性曲線圖。
圖7示出根據本揭露的實施例,在存在及不存在溫度補償的情況下隨時間變化的積分前驅物通量行為。
圖8呈現根據本揭露的實施例的例示性製程流程。
圖9A呈現根據本揭露的實施例的例示性製程流程。
圖9B呈現根據本揭露的實施例的模型系統。
圖式未必按比例繪製。圖式僅為代表圖,且並非旨在描繪本揭露的具體參數。圖式旨在繪示本揭露的例示性實施例,且因此不被視為在範圍上具有限制性。在圖式中,相同的編號代表相同的元件。
此外,為說明清晰起見,可省略或不按比例示出在一些圖中的某些元件。為說明清晰起見,剖視圖可呈「切片」或「近視」剖視圖的形式,省略原本在「真實」剖視圖中可見的某些背景線。此外,為清晰起見,在某些圖式中可省略一些參考編號。

Claims (15)

  1. 一種設備,包括: 處理器;以及 記憶體單元,耦合至所述處理器,包括通量控制常式,所述通量控制常式在所述處理器上運行以監測前驅物流,所述通量控制常式包括: 通量計算處理器,用以: 基於自用以遞送前驅物的氣體遞送系統的胞元接收的所偵測光強度的變化而確定前驅物通量值。
  2. 如申請專利範圍第1項所述的設備,所述通量計算處理器藉由以下方式來確定所述前驅物通量值: 接收在所述胞元中在第一場合處產生的第一參考訊號,所述第一參考訊號指示偵測器在所述第一場合處的偵測器效能,所述偵測器偵測來自光源的光強度; 接收在所述第一場合處產生的背景訊號,所述背景訊號由所述偵測器產生; 繼所述第一場合後,接收在第二場合處產生的第二參考訊號,所述第二參考訊號指示所述偵測器在所述第二場合處的所述偵測器效能;以及 當所述前驅物在所述胞元中流動時,繼所述第一場合後,接收在第三場合處產生的前驅物訊號。
  3. 如申請專利範圍第1項所述的設備,所述通量計算處理器藉由確定在多個場合處的所述前驅物通量值而計算所述前驅物的積分通量。
  4. 如申請專利範圍第2項所述的設備,所述通量控制常式更包括溫度控制處理器,所述溫度控制處理器用以: 基於所述前驅物通量值確定警示帶狀況;以及 基於所述警示帶狀況調整安瓿的溫度。
  5. 如申請專利範圍第4項所述的設備,所述溫度控制處理器藉由以下方式調整所述溫度: 確定溫度調整DT,其中DT = P*€ + D *d €/dt +I* ʃ €/dt, 其中P、I及D為比例增益、積分增益及微分增益,且其中€為基於所述安瓿的當前溫度界限的誤差。
  6. 如申請專利範圍第4項所述的設備,所述溫度控制處理器藉由以下方式調整所述溫度: 基於所述前驅物通量值確定誤差值; 基於所述誤差值確定溫度調整DT; 計算待被應用至所述安瓿的一組新的溫度設定點;以及 當所述一組新的溫度設定點處於一組預定溫度界限內時,應用所述一組新的溫度設定點來控制所述安瓿的加熱。
  7. 如申請專利範圍第6項所述的設備,所述通量控制常式更包括壽命終止處理器,所述壽命終止處理器用以: 當所述一組新的溫度設定點不處於所述一組預定溫度界限內時,檢查安瓿壽命終止狀況; 當尚未滿足所述安瓿壽命終止狀況時,基於最保守的界限重新計算DT以產生保守DT;以及 基於所述保守DT計算待被應用至所述安瓿的所述一組新的溫度設定點。
  8. 如申請專利範圍第1項所述的設備,所述通量控制常式更包括偏移處理器,所述偏移處理器用以: 基於所述前驅物通量值確定故障狀況;以及 當在所述故障狀況期間被處理的基板不是第一基板時,發送偏移的通知訊號。
  9. 如申請專利範圍第1項所述的設備,所述通量控制常式更包括阻塞偵測處理器,所述阻塞偵測處理器用以: 接收所述胞元的胞元壓力讀數; 接收所述前驅物通量值;以及 基於所述胞元壓力讀數及所述前驅物通量值確定阻塞位置。
  10. 一種控制前驅物流的方法,包括: 經由氣體遞送系統提供前驅物流; 量測所述氣體遞送系統的胞元中由所述前驅物流導致的所偵測光強度的變化;以及 基於所述所偵測光強度的變化而確定前驅物通量值。
  11. 如申請專利範圍第10項所述的方法,其中所述胞元設置於含有所述前驅物的安瓿的下游。
  12. 如申請專利範圍第10項所述的方法,其中所述變化的量測包括: 接收在所述胞元中在第一場合處的第一參考訊號,所述第一參考訊號指示偵測器在所述第一場合處的偵測器效能,所述偵測器偵測來自光源的光強度; 接收在所述第一場合處的背景訊號,所述背景訊號由所述偵測器產生; 繼所述第一場合後,接收在第二場合處的第二參考訊號,所述第二參考訊號指示所述偵測器在所述第二場合處的所述偵測器效能;以及 當所述前驅物在所述胞元中流動且由所述光源產生光時,繼所述第一場合後,接收在第三場合處來自所述偵測器的前驅物訊號。
  13. 如申請專利範圍第10項所述的方法,其中提供所述前驅物流包括在所述前驅物的多個場合處提供多個脈衝,所述方法更包括:基於確定在所述多個場合處的所述前驅物通量值而計算所述前驅物的積分通量。
  14. 如申請專利範圍第10項所述的方法,更包括: 基於所述前驅物通量值確定故障狀況;以及 當在所述故障狀況期間被處理的基板不是第一基板時,發送偏移的通知訊號。
  15. 一種用於控制前驅物流的設備,包括: 源,用於輸出前驅物; 感測器總成,以通訊方式耦合至所述源,所述感測器總成包括: 胞元,耦合至所述源以接收並傳導所述前驅物; 光源,設置於所述胞元的第一側上以將光傳輸至所述胞元中;以及 偵測器,設置於所述胞元的與所述光源相對的第二側上以偵測經由所述胞元傳輸的光;以及 控制系統,所述控制系統被配置成基於在所述前驅物流經所述胞元期間自所述胞元接收的所偵測光強度的變化而確定前驅物通量值。
TW107147764A 2017-12-29 2018-12-28 化學沉積製程的前驅物控制技術 TWI771550B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762611645P 2017-12-29 2017-12-29
US62/611,645 2017-12-29
US15/946,483 US10822699B2 (en) 2017-12-29 2018-04-05 Techniques for controlling precursors in chemical deposition processes
US15/946,483 2018-04-05

Publications (2)

Publication Number Publication Date
TW201934798A true TW201934798A (zh) 2019-09-01
TWI771550B TWI771550B (zh) 2022-07-21

Family

ID=67058049

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107147764A TWI771550B (zh) 2017-12-29 2018-12-28 化學沉積製程的前驅物控制技術

Country Status (6)

Country Link
US (3) US10822699B2 (zh)
JP (2) JP7300448B2 (zh)
KR (2) KR102577081B1 (zh)
CN (2) CN117403215A (zh)
TW (1) TWI771550B (zh)
WO (1) WO2019133620A1 (zh)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11718912B2 (en) 2019-07-30 2023-08-08 Applied Materials, Inc. Methods and apparatus for calibrating concentration sensors for precursor delivery
US11513108B2 (en) 2020-01-14 2022-11-29 Mks Instruments, Inc. Method and apparatus for pulse gas delivery with concentration measurement
JP2022038365A (ja) * 2020-08-26 2022-03-10 株式会社堀場エステック 原料気化システム、及び、これに用いられる濃度制御モジュール
KR20240016198A (ko) * 2022-07-28 2024-02-06 에이에스엠 아이피 홀딩 비.브이. 반도체 제조 모니터링 프로세스

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3299102B2 (ja) * 1995-01-31 2002-07-08 株式会社堀場製作所 半導体特殊ガス用赤外線ガス分析計
US5652431A (en) 1995-10-06 1997-07-29 The United States Of America As Represented By The Secretary Of The Navy In-situ monitoring and feedback control of metalorganic precursor delivery
US5963840A (en) * 1996-11-13 1999-10-05 Applied Materials, Inc. Methods for depositing premetal dielectric layer at sub-atmospheric and high temperature conditions
JP4213331B2 (ja) 1999-06-22 2009-01-21 東京エレクトロン株式会社 有機金属気相成長方法及び有機金属気相成長装置
JP2001131845A (ja) 1999-10-27 2001-05-15 Tsudakoma Corp パイル経糸の張力制御方法
JP3905678B2 (ja) 2000-02-28 2007-04-18 株式会社堀場製作所 薄膜堆積方法とその装置および薄膜堆積方法に用いるftirガス分析計並びに薄膜堆積方法に用いる混合ガス供給装置
US20030078396A1 (en) * 2000-03-01 2003-04-24 Corixa Corporation Compositions and methods for the detection, diagnosis and therapy of hematological malignancies
US6620670B2 (en) * 2002-01-18 2003-09-16 Applied Materials, Inc. Process conditions and precursors for atomic layer deposition (ALD) of AL2O3
US6772072B2 (en) 2002-07-22 2004-08-03 Applied Materials, Inc. Method and apparatus for monitoring solid precursor delivery
US20050095859A1 (en) 2003-11-03 2005-05-05 Applied Materials, Inc. Precursor delivery system with rate control
US7735452B2 (en) 2005-07-08 2010-06-15 Mks Instruments, Inc. Sensor for pulsed deposition monitoring and control
US7897217B2 (en) * 2005-11-18 2011-03-01 Tokyo Electron Limited Method and system for performing plasma enhanced atomic layer deposition
US8133194B2 (en) * 2006-02-22 2012-03-13 Henry Ford Health System System and method for delivery of regional citrate anticoagulation to extracorporeal blood circuits
US7858522B2 (en) * 2006-03-29 2010-12-28 Tokyo Electron Limited Method for reducing carbon monoxide poisoning in a thin film deposition system
US7340377B2 (en) * 2006-03-31 2008-03-04 Tokyo Electron Limited Monitoring a single-wafer processing system
US20120009694A1 (en) * 2010-07-12 2012-01-12 National Institute Of Standards And Technology Apparatus and method for monitoring precursor flux
US9157730B2 (en) * 2012-10-26 2015-10-13 Applied Materials, Inc. PECVD process
JP6538300B2 (ja) * 2012-11-08 2019-07-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 感受性基材上にフィルムを蒸着するための方法
US8940646B1 (en) * 2013-07-12 2015-01-27 Lam Research Corporation Sequential precursor dosing in an ALD multi-station/batch reactor
US9287437B2 (en) * 2014-02-06 2016-03-15 Tsmc Solar Ltd. Apparatus and method for monitoring the process of fabricating solar cells
US9964332B2 (en) * 2014-03-27 2018-05-08 Lam Research Corporation Systems and methods for bulk vaporization of precursor

Also Published As

Publication number Publication date
US10822699B2 (en) 2020-11-03
KR102577081B1 (ko) 2023-09-11
CN111566792A (zh) 2020-08-21
CN117403215A (zh) 2024-01-16
TWI771550B (zh) 2022-07-21
JP2021508768A (ja) 2021-03-11
KR20230132622A (ko) 2023-09-15
JP2023123606A (ja) 2023-09-05
US20230323540A1 (en) 2023-10-12
CN111566792B (zh) 2023-11-03
US20190203358A1 (en) 2019-07-04
KR20200095569A (ko) 2020-08-10
WO2019133620A1 (en) 2019-07-04
US20200399758A1 (en) 2020-12-24
JP7300448B2 (ja) 2023-06-29
US11718914B2 (en) 2023-08-08

Similar Documents

Publication Publication Date Title
TW201934798A (zh) 化學沉積製程的前驅物控制技術
US10510567B2 (en) Integrated substrate temperature measurement on high temperature ceramic heater
US10222810B2 (en) Methods for monitoring a flow controller coupled to a process chamber
KR101998577B1 (ko) 기판 처리 장치, 감시 프로그램 및 반도체 장치의 제조 방법
KR102187959B1 (ko) 과도 가스 흐름의 계측 방법
KR102203557B1 (ko) 배기 시스템 및 이것을 사용한 기판 처리 장치
CN107870197B (zh) 气流处理控制系统及使用晶体微天平的方法
US20180223434A1 (en) Vapor growth apparatus, and vapor growth method
JP2021508768A5 (ja) 前駆体の流れを制御するための装置及び方法
US11718912B2 (en) Methods and apparatus for calibrating concentration sensors for precursor delivery
JP2019137877A (ja) 蒸着装置及び蒸着方法
US20090088909A1 (en) Batch processing apparatus for processing work pieces
TWI729274B (zh) 成膜裝置與成膜方法
TW201410910A (zh) 補償濃度不確定性之方法
Wright et al. Errors in rate-of-rise gas flow measurements from flow work
JP2006185959A (ja) 成膜装置及び成膜方法
US20140041754A1 (en) Gas filling device of wafer carrier with function of monitoring gas property at gas discharge end
EP4347918A1 (en) A system and method for mass flow measurement and control of process gases in a carrier stream using one or more quartz crystal microbalance sensors
JP2008288420A (ja) 半導体製造装置およびそのクリーニング方法