TW201919128A - 半導體裝置的製作方法 - Google Patents

半導體裝置的製作方法 Download PDF

Info

Publication number
TW201919128A
TW201919128A TW107123688A TW107123688A TW201919128A TW 201919128 A TW201919128 A TW 201919128A TW 107123688 A TW107123688 A TW 107123688A TW 107123688 A TW107123688 A TW 107123688A TW 201919128 A TW201919128 A TW 201919128A
Authority
TW
Taiwan
Prior art keywords
layer
substrate
etch
hard mask
etching
Prior art date
Application number
TW107123688A
Other languages
English (en)
Inventor
黃世鈞
葉雅雯
沈育佃
賴建文
林緯良
張雅惠
嚴永松
林進祥
劉如淦
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201919128A publication Critical patent/TW201919128A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • H01L21/31055Planarisation of the insulating layers involving a dielectric removal step the removal being a chemical etching step, e.g. dry etching
    • H01L21/31056Planarisation of the insulating layers involving a dielectric removal step the removal being a chemical etching step, e.g. dry etching the removal being a selective chemical etching step, e.g. selective dry etching through a mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

半導體裝置的製作方法包括形成硬遮罩層於基板上。多層光阻形成於硬遮罩層上。蝕刻多層光阻,形成多個開口於多層光阻中,以露出部份硬遮罩層。依角度方向性地提供離子至多層光阻,使離子主要接觸多層光阻中的開口側壁而非硬遮罩層。在一實施例中,藉由依角度導向的蝕刻離子,可方向性地蝕刻多層光阻,且蝕刻離子主要接觸多層光阻中的開口側壁而非硬遮罩層。在另一實施例中,藉由依角度導向的佈植離子,可方向性地佈植多層光阻,且佈植離子主要接觸多層光阻中的開口側壁而非硬遮罩層。

Description

半導體裝置的製作方法
本發明實施例關於半導體裝置,更特別關於對基板進行的方向性製程。
半導體積體電路產業已經歷快速成長。積體電路材料與設計的技術進步縮小裝置尺寸,其中積體電路的幾何尺寸如結構尺寸及間距縮小。然而縮小結構尺寸與間距常導致用於形成積體電路的光阻結構崩潰。
隨著結構尺寸縮小,積體電路的複雜度增加。雙鑲嵌內連線結構包含平坦的內連線結構與多個內連線層,其增加裝置整合的複雜度。低介電常數的介電材料用於搭配銅雙鑲嵌內連線結構。一些低介電常數材料為孔洞狀,因此難以適當地控制蝕刻製程,特別是在雙鑲嵌結構與其形成製程。
在形成幾何尺寸縮小且複雜度增加的進階積體電路時,亟需改良移除層狀物與材料中的製程、材料、以及結構。
本發明一實施例提供之半導體裝置的製作方法,其中半導體裝置位於基板上,且基板具有多層光阻於硬遮罩層上,包括:蝕刻多層光阻,形成多個開口於多層光阻中,以露 出部份硬遮罩層;以及依角度方向性地提供多個離子至多層光阻,使離子主要接觸多層光阻中的開口其側壁而非硬遮罩層。
100、400、600、800‧‧‧方法
110、120、130、140、410、420、430、440、450、610、620、810、820、830‧‧‧步驟
202、702、902‧‧‧基板
204‧‧‧硬遮罩層
205‧‧‧多層光阻
206‧‧‧底層
208‧‧‧中間層
210‧‧‧頂層
212、218、226‧‧‧開口
302、502、712、932‧‧‧角度
704、914‧‧‧第一區
705、707、915、917‧‧‧厚度
706、916‧‧‧第二區
710‧‧‧抗反射塗層
904‧‧‧介電層
906‧‧‧中間介電層
908‧‧‧高介電常數層
910‧‧‧光阻層
920‧‧‧反向材料
第1圖係一些實施例中,採用方向性製程移除形成於基板上的層狀物或材料的方法。
第2A至2C圖係一些實施例中,以第1或3圖的方法對基板進行製程的圖式。
第3圖係一些實施例中,對基板進行方向性蝕刻的圖式。
第4圖係一些實施例中,採用方向性製程移除形成於基板上的層狀物或材料的另一方法。
第5A圖係一些實施例中,對基板進行方向性佈植的圖式。
第5B圖係一些實施例中,對基板進行灰化的圖式。
第6圖係一些實施例中,採用方向性製程移除形成於基板上的層狀物或材料的又一方法。
第7A至7D圖係一些實施例中,以第6圖之方法對基板進行製程的圖式。
第8圖係一些實施例中,採用方向性製程移除形成於基板上的層狀物或材料的再一方法。
第9A至9C圖係一些實施例中,以第8圖之方法對基板進行製程的圖式。
下述揭露內容提供許多不同實施例或實例以實施本發明的不同結構。下述特定構件與排列的實施例係用以簡化 本發明而非侷限本發明。舉例來說,形成第一構件於第二構件上的敘述包含兩者直接接觸,或兩者之間隔有其他額外構件而非直接接觸。此外,本發明的多個實例可採用重複標號及/或符號使說明簡化及明確,但這些重複不代表多種實施例中相同標號的元件之間具有相同的對應關係。
此外,空間性的相對用語如「下方」、「其下」、「較下方」、「上方」、「較上方」、或類似用語可用於簡化說明某一元件與另一元件在圖示中的相對關係。空間性的相對用語可延伸至以其他方向使用之元件,而非侷限於圖示方向。元件亦可轉動90°或其他角度,因此方向性用語僅用以說明圖示中的方向。
第1圖係採用方向性製程,移除形成於基板上的層狀物或材料的方法100之一實施例。基板可為半導體基板如矽、鍺、矽鍺、碳化矽、砷化鎵、砷化銦、磷化銦、及/或其他合適材料。基板可為非半導體基板如玻璃、氧化矽、石英、鋁、藍寶石、氮化鋁、及/或其他合適材料。基板可為絕緣層上矽基板。基板可包含多個層狀物(比如導電層、半導體層、絕緣層、或其他合適層狀物)及/或結構(比如攙雜區或井、源極/汲極區、隔離區、淺溝槽隔離結構、閘極結構、內連線線路、通孔、或其他合適結構)形成於基板之中及/或之上。多個層狀物及/或結構用於形成半導體裝置與積體電路。基板亦可包含後續形成於基板之中及/或之上的材料,如方法的方塊圖與本發明實施例的其他圖式所示。
第2A圖係以第1圖之方法100對基板202進行製程 的一實施例之圖式。基板202包含一或多個層狀物及/或結構形成其中,其由形成於基板202上的硬遮罩層204所保護。硬遮罩層204可定義圖案,其於後續製程中將轉移至基板202。硬遮罩層204之組成可為金屬層、金屬氧化物層、金屬氮化物層(如氮化鈦層)、氮化物層(如氮氧化矽層)、氧化物層(如氧化矽層)、或上述之多層結構。硬遮罩層204的沉積方法可為化學氣相沉積如低壓化學氣相沉積或電漿增強化學氣相沉積、原子層沉積、或物理氣相沉積。硬遮罩層204可沉積至任何合適厚度,比如介於約200Å至約1400Å之間。
硬遮罩層204的功用之一為沉積於低介電常數的介電層上,使低介電常數介電層中的圖案化線路與通孔維持完整(或避免其圖案崩塌)。硬遮罩層204的另一功用為提供關鍵尺寸的線寬控制以及通孔的覆蓋對準。硬遮罩層204的功用之一為幫助達到小結構尺寸,比如40nm或更小的結構尺寸。硬遮罩層204的功用之一為提供高蝕刻選擇性,以幫助轉移圖案至硬遮罩層。
在方法100的步驟110中,形成多層光阻205於硬遮罩層204上。多層光阻205包含底層206、形成於底層206上的中間層208、以及形成於中間層208上的頂層210。接著圖案化(比如曝光與顯影)頂層210,以形成多個開口212於頂層210中。
如第2A圖所示,頂層210包含光阻層。採用多層光阻且頂層210包含光阻層的功用之一,係蝕刻光阻層下的層狀物所產生的胺毒化光阻層的現象降低。
多層光阻205的實施例之一包含碳氫氧材料組成 的底層206、碳氫氧化矽材料組成的中間層208、以及第二碳氫氧材料與光敏單元(如光酸產生劑及/或光鹼產生劑)組成的頂層210。在另一實施例中,多層光阻205包含富矽氧化物及/或碳氧化矽組成的底層206、矽為主材料(如氮化矽、氮氧化矽、及/或氧化矽)組成的中間層208、以及光阻層組成的頂層210。光阻材料可包含聚甲基丙烯酸甲酯、聚甲基戊二醯亞胺、矽氧烷聚合物、酚醛樹脂(DNQ/Novolac)、SU-8光阻、其他合適的正型光阻材料、或其他合適的負型光阻材料。
在光微影製程中,被入射射線撞擊的光阻區其化學性質改變的方式,取決於所用的光阻型態。舉例來說,光阻層可為合適的正型光阻或合適的負型光阻。當正型光阻材料曝光至射線(一般為紫外線)時,其將轉變為可溶於顯影劑中。當負型光阻材料曝光至射線(一般為紫外線)時,其將轉變為不溶於顯影劑中。
多層光阻205的層狀物其沉積方法可為旋轉塗佈、化學氣相沉積、原子層沉積、蒸鍍、或任何合適的沉積技術。多層光阻205的層狀物可沉積至任何合適厚度如介於50Å至20000Å之間,端視需填入的結構與所需的微影製程而定。此外,可對多層光阻205的一或多層進行烘烤製程,比如移除沉積採用的溶劑之軟烘烤製程,及/或提升黏著性及/或曝光誘導的交聯之曝光後烘烤製程。
多層光阻205其每一層的材料選擇取決於所需的微影製程。在其他實施例中,多層光阻的任何一或多層可包含光敏單元。應理解的是在其他實施例中,可省略多層光阻205 的一或多層,或提供額外層作為多層光阻205的一部份。雖然第2A圖所示的多層光阻205為三層光阻,但多層光阻可為四層光阻、五層光阻、六層光阻、或超過六層的光阻。
在方法100的步驟120中,經由頂層210的開口移除中間層208。如第2B圖所示,頂層210作為蝕刻製程的遮罩,可在形成開口218於中間層208中時避免蝕刻部份的中間層208。蝕刻製程可關於電漿製程如感應耦合電漿、平行板電漿、離子束蝕刻、或反應性離子束蝕刻電漿製程。蝕刻製程包含蝕刻氣體如氧氣、氮氣、氬氣、六氟乙烷、四氟化碳、氟仿、二氟化碳、八氟丙烷、八氟環丁烷、六氟化硫、三氟化氮、氯氣、氯化氫、三氟化硼、其他合適的反應性氣體、或上述之組合。蝕刻製程可為非等向蝕刻,其形成中間層208中的開口218其垂直側壁。舉例來說,可在蝕刻時施加偏壓至基板,及/或可將離子束蝕刻或反應性離子束蝕刻中的蝕刻離子垂直地導向基板,以達非等向蝕刻。其他蝕刻製程參數包含電漿源功率、蝕刻腔室壓力、蝕刻劑氣體流速、基板溫度、與其他合適的製程參數。蝕刻製程亦可移除頂層210。
在方法100的步驟130中,可經由中間層208的開口218蝕刻底層206。如第2C圖所示,中間層208作為蝕刻製程的遮罩,可在形成開口226於底層206中時避免蝕刻部份的底層206。蝕刻製程採用的蝕刻化學品,其對底層206的蝕刻選擇性可大於對中間層208。由於蝕刻製程對底層206的蝕刻選擇性,可保留部份的中間層208。蝕刻製程可關於電漿製程如感應耦合電漿、平行板電漿、離子束蝕刻、或反應性離子束蝕刻電漿 製程。蝕刻製程包含蝕刻氣體如氧氣、氮氣、氬氣、六氟乙烷、四氟化碳、氟仿、二氟化碳、八氟丙烷、八氟環丁烷、六氟化硫、三氟化氮、氯氣、氯化氫、三氟化硼、其他合適的反應性氣體、或上述之組合。蝕刻製程可為非等向蝕刻,其形成底層206中的開口226其垂直側壁。
在方法100的步驟140中,進行方向性蝕刻以移除中間層208。如第3圖所示,方向性蝕刻時依角度302導向蝕刻離子,使底層206提供遮蔭(shadowing)效應以降低蝕刻離子撞擊硬遮罩層204的數量。舉例來說,角度302可介於2度至75度之間。方向性蝕刻的角度302可依底層206中的開口226其深寬比(深度對寬度的比例)而定。若深寬比高,則方向性蝕刻時可依小的角度302(比如介於5度至30度之間)導向蝕刻離子。若深寬比低,則方向性蝕刻時可依大的角度302(比如介於30度至60度之間)導向蝕刻離子。
可採用離子束蝕刻或反應性離子束蝕刻製程進行方向性蝕刻。適於進行離子束蝕刻或反應性離子束蝕刻製程中的方向性蝕刻之蝕刻腔室之一,為來自Veeco儀器公司(總部位於Plainview,NY)的NEXUS離子束蝕刻系統。離子束蝕刻製程可包含鈍氣如氬氣,以產生平行(collimated)且可導向基板的離子。反應性離子束蝕刻製程可包含搭配或不搭配鈍氣的反應性氣體。反應性的蝕刻劑氣體包含但不限於氧、六氟乙烷、四氟化碳、氟仿、二氟化碳、八氟丙烷、八氟環丁烷、六氟化硫、三氟化氮、氯氣、氯化氫、三氯化硼、其他合適的反應性氣體、或上述之組合。
藉由傾斜基板202相對於離子源(如平行離子束源)的角度,可控制離子束蝕刻製程或反應性離子束蝕刻製程中離子的角度302。基板可相對於離子源旋轉,使沿著z軸的角度302導向的蝕刻離子可均勻地蝕刻基板202。
在這些實施例中,方向性蝕刻可移除中間層,且可減少對硬遮罩層204的傷害。由於底層206的遮蔭效應,依角度302導向的蝕刻離子主要撞擊中間層208與底層206而非硬遮罩層204。用於移除中間層208的乾式或濕式非方向性蝕刻製程,可輕易損傷硬遮罩層204。舉例來說,中間層208可包括含矽的光阻層,而硬遮罩層204可包括含矽的硬遮罩層。如此一來,中間層208與硬遮罩層204之間的蝕刻對比或選擇性差,造成非等向蝕刻中間層208時損傷硬遮罩層。在非等向蝕刻中間層208時,依角度302導向蝕刻離子,且底層206提供遮蔭效應以降低蝕刻離子碰撞硬遮罩層204的蝕刻離子量,以減少損傷硬遮罩層204。即使蝕刻化學品對中間層208與硬遮罩層204均具有蝕刻性,方向性蝕刻仍可讓中間層208對硬遮罩層204具有高蝕刻選擇性。在移除中間層208後,底層206可用於圖案化硬遮罩層204及/或形成積體電路製程中的額外結構。
在方法100中,可在相同腔室中臨場(in-situ)進行一或多個步驟。舉例來說,蝕刻中間層208的步驟120,以及蝕刻底層206的步驟130可在相同腔室中進行。舉例來說,自步驟120中的蝕刻步驟轉換至步驟130中的蝕刻步驟時,可改變化學品及或其他蝕刻製程條件(比如電漿源功率、蝕刻腔室壓力、蝕刻劑氣體流速、及/或基板偏壓)。在另一例子中,步驟130 中底層的蝕刻製程以及步驟140中的方向性蝕刻,可臨場進行於相同腔室中,其可由依0度的角度導向的蝕刻離子進行第一蝕刻,並由依大於0度的角度302導向的蝕刻離子進行第二蝕刻。
第4圖係採用方向性製程移除形成於基板上的層狀物或材料的方法400之另一實施例。第2A圖亦顯示以第4圖之方法400對基板202進行製程的一實施例。
在方法400的步驟410中,形成多層光阻205於硬遮罩層204上。多層光阻205包含底層206、形成於底層206上的中間層208、以及形成於中間層208上的頂層210。圖案化(如曝光與顯影)頂層210,可形成多個開口212於頂層210中。
在方法400的步驟420中,可經由頂層210的開口212蝕刻中間層208。同樣如第2B圖所示,頂層210作為蝕刻製程的遮罩,可在形成開口218於中間層208中時避免蝕刻部份的中間層208。蝕刻製程可關於電漿製程如感應耦合電漿、平行板電漿、離子束蝕刻、或反應性離子束蝕刻電漿製程。蝕刻製程包含蝕刻氣體如氧氣、氮氣、氬氣、六氟乙烷、四氟化碳、氟仿、二氟化碳、八氟丙烷、八氟環丁烷、六氟化硫、三氟化氮、氯氣、氯化氫、三氟化硼、其他合適的反應性氣體、或上述之組合。蝕刻製程可為非等向蝕刻,其形成中間層208中的開口218其垂直側壁。蝕刻製程亦可移除頂層210。
在方法400的步驟430中,經由中間層208的開口蝕刻底層206。同樣如第2C圖所示,中間層208作為蝕刻製程中的遮罩,可在形成開口226於底層206中時避免蝕刻部份的底層 206。蝕刻製程採用的蝕刻化學品,其對底層206的蝕刻選擇性可大於對中間層208。由於蝕刻製程對底層206的蝕刻選擇性,可保留部份的中間層208。蝕刻製程可關於電漿製程如感應耦合電漿、平行板電漿、離子束蝕刻、或反應性離子束蝕刻電漿製程。蝕刻製程包含蝕刻氣體如氧氣、氮氣、氬氣、六氟乙烷、四氟化碳、氟仿、二氟化碳、八氟丙烷、八氟環丁烷、六氟化硫、三氟化氮、氯氣、氯化氫、三氟化硼、其他合適的反應性氣體、或上述之組合。蝕刻製程可為非等向蝕刻,其形成底層206中的開口226其垂直側壁。
在步驟440中,進行方向性佈植。如第5A圖所示,方向性佈植時依角度502導向佈植離子,使中間層208提供遮蔭效應以降低佈植離子撞擊底層206與硬遮罩層204的數量。舉例來說,角度502可介於2度至75度之間。方向性佈植的角度502可依中間層208中的開口218其深寬比(深度對寬度的比例)而定。若深寬比高,則方向性佈植時可依小的角度502(比如介於5度至30度之間)導向佈植離子。若深寬比低,則方向性佈植時可依大的角度502(比如介於30度至60度之間)導向佈植離子。由於中間層208的遮蔭效應,只有少量甚至沒有佈植離子可撞擊底層206。如此一來,底層206的材料特性不變。在對硬遮罩層204進行後續製程時,底層206可作為遮罩。
藉由可傾斜與旋轉基板使入射的摻質種類達到預定角度的離子佈植設備,可實施方向性佈植。合適的方向性佈植腔室之一為來自Axcelis科技公司(總部位於Becerly,MA)的Purion佈植腔室。方向性佈植可包含摻質的佈植種類,比如硼、 砷、磷、三氟化硼、銻化銦、砷化銦、及/或非摻質的佈植種類如鍺、矽、碳、氮、或上述之組合。離子佈植的電壓(能量)取決於所需的佈植深度與摻雜密度。在這些實施例中,佈植電壓可介於約500eV至1MeV之間。
方向性佈植時的離子其角度502,其控制方法可為傾斜基板202相對於離子佈植源的角度。基板202可相對於佈植離子源旋轉,以均勻地佈植中間層208。在其他實施例中,可藉由施加至離子佈植離子的電場控制方向性佈植時的離子其角度502。舉例來說,可依正的角度502偏向離子一段時間,並依負的角度502偏向離子一段時間,以一致地佈植中間層208。在一實施例中,方向性佈植時可自條狀離子束提供佈植離子。可相對於基板202掃描條狀離子束,比如在電場中使條狀離子束偏離或相對地移動基板。在其他實施例中,可自導向基板202其整個表面的基體離子束,提供方向性佈植時的佈植離子。
方向性佈植可改變中間層208的性質。舉例來說,方向性佈植可軟化中間層208,或使中間層208易於蝕刻。在方向性佈植製程中,可控制與調整佈植離子的入射角,使佈植離子接觸中間層208而非底層208與硬遮罩層204。
在步驟450中,進行輕蝕刻(mild etch)移除中間層208,以形成第5B圖所示的基板202。舉例來說,進行輕蝕刻灰化製程可使中間層208暴露至氧氣電漿,以將碳組成的中間層208轉變成二氧化碳的乾蝕刻副產物。在另一例中,可進行輕濕式蝕刻剝除製程以移除中間層208,其採用硫酸與雙氧水的溶液將碳組成的中間層208轉變成二氧化碳的濕蝕刻副產物。
輕蝕刻可移除中間層208,並減少對硬遮罩層204的損傷。由於步驟440在方向性佈植時的中間層208具有遮蔭效應,依角度502導向的佈植離子主要撞擊中間層208而非底層206與硬遮罩層204。用以移除未佈植的中間層208之乾式或濕式蝕刻製程,易於損傷硬遮罩層204。舉例來說,中間層208可包括含矽光阻層,而硬遮罩層204可包括含矽硬遮罩層。如此一來,未進行方向性佈植的中間層208與硬遮罩層204之間的蝕刻對比或選擇性差,造成移除中間層208的步驟會損傷硬遮罩層。在方向性佈植中間層208時,步驟440依角度502導向佈植離子,因此中間層208可提供遮蔭效應以降低撞擊底層206與硬遮罩層204的佈植離子數量。方向性佈植可軟化中間層208或改變中間層208的特性,以提供中間層208對硬遮罩層204的高蝕刻選擇性。在步驟450的輕蝕刻中,可移除軟化的中間層208,並減少損傷硬遮罩層204。在這些實施例中,由於未佈植底層206與硬遮罩層204,因此中間層206與硬遮罩層204可抵抗步驟450中的輕蝕刻。在移除中間層208之後,底層206可用於圖案化硬遮罩層204及/或形成積體電路製程中的額外結構。
第6圖係採用方向性製程,移除形成於基板上的層狀物或材料之方法600的又一實施例。第7A圖係採用第6圖之方法600對基板702進行製程的一實施例之圖式。在步驟610中,沉積抗反射塗層710於基板702上。基板702包含第一區704與第二區706,以提供底層或抗反射塗層710的不同微負載。舉例來說,第一區704可包含高密度的結構(如孔洞、通孔、溝槽、或開口),而第二區706可包含低密度的結構(如孔洞、通孔、溝槽、 或開口)。在另一例中,第一區704可具有較大寬度的一或多個結構(如一或多個孔洞、通孔、或溝槽),其寬度大於第二區706中的結構寬度。第一區704與第二區706上的抗反射塗層710之不同微負載,導致抗反射塗層710具有不同形貌,其於第一區704上的厚度705小於第二區706上的厚度707。抗反射塗層710的一致性受到其下的結構形貌影響。抗反射塗層710可為有機層如聚合物層,或無機層如氮氧化矽層。抗反射塗層710的沉積方法可為旋轉塗佈、化學氣相沉積、原子層沉積、蒸鍍、或任何合適的沉積技術。抗反射塗層可為底抗反射塗層,其可用於減少光微影製程時的反射。
在步驟620中,對抗反射塗層710進行方向性蝕刻,以自基板702的上表面移除抗反射塗層,如第7B圖所示。方向性蝕刻對第一區704上較小厚度705的抗反射塗層710之移除速率,大於對第二區706上較大厚度707的抗反射塗層710之移除速率。如第7B與7C圖所示,可控制方向性蝕刻時的蝕刻離子其角度712,使第一區704中的結構開口與第二區706中的結構開口提供遮蔭效應,以限制到達結構底部的蝕刻離子數量。方向性蝕刻的角度712可依結構的開口其深寬比(深度對寬度的比例)而定。舉例來說,角度712可介於2度至75度之間。方向性蝕刻的角度712可依到達結構中的蝕刻離子的所需深度而定。在這些實施例中,角度712可介於10度至60度之間。
可採用離子束蝕刻或反應性離子束蝕刻製程進行方向性蝕刻。離子束蝕刻製程可包含鈍氣如氬氣,以產生平行且可導向基板的離子。反應性離子束蝕刻製程可包含搭配或未 搭配鈍氣的反應性氣體。反應性的蝕刻劑氣體包含但不限於氧、六氟乙烷、四氟化碳、氟仿、二氟化碳、八氟丙烷、八氟環丁烷、六氟化硫、三氟化氮、氯氣、氯化氫、三氯化硼、其他合適的反應性氣體、或上述之組合。藉由傾斜基板702相對於離子束(如平行離子束源)的角度,可控制離子束蝕刻製程或反應性離子束蝕刻製程時的離子其角度712。基板可相對於離子源旋轉,使沿著z軸的角度712導向的蝕刻離子可均勻地蝕刻基板702。
抗反射塗層710具有不一致的負載及不一致的形貌,但方向性蝕刻可讓第一區704的結構與第二區706的結構中的抗反射塗層蝕刻至相同高度,如第7D圖所示。方法600在移除填入結構的層狀物時,不需因額外光罩增加成本及製程複雜度,即可解決負載問題與形貌問題。
第8圖係採用方向性製程移除形成於基板上的層狀物或材料之方法800的再一實施例。第9A圖係以第8圖之方法800對基板902進行製程的一實施例之圖式。舉例來說,介電層904可為化學氣相沉積、原子層沉積、或搭配其他前驅物或承載氣體之可流動的四乙氧矽烷所形成的氧化矽層。在介電層904上,可形成及圖案化層間介電物或中間介電層906。高介電常數層908(如氧化鈦層)可形成於中間介電層906上。
光阻層910如底抗反射塗層,可形成於高介電常數層908上。基板902包含第一區914與第二區916,其具有光阻層910的不同微負載。舉例來說,第一區914可包含高密度的結構(如孔洞、通孔、溝槽、或開口),而第二區916可包含低密度的 結構(如孔洞、通孔、溝槽、或開口)。在另一例中,第一區914可具有較大寬度的一或多個結構(如一或多個溝槽),其寬度大於第二區916中的結構寬度。第一區914與第二區916上的光阻層910之不同微負載,導致光阻層910具有不同形貌,其於第一區914上的厚度915小於第二區916上的厚度917。光阻層910經圖案化以形成多個開口。反向材料920沉積於圖案化的光阻層910上。由於圖案化的光阻層910在第一區914上的厚度915與在第二區916上的厚度917不同,因此基板902上的反向材料920具有不一致的形貌。
在步驟810中,回蝕刻反向材料920以保留反相材料的多種部份於基板902的第一區914與第二區916上,如第9B圖所示。蝕刻製程可關於電漿製程如感應耦合電漿、平行板電漿、離子束蝕刻、或反應性離子束蝕刻電漿製程。蝕刻製程包含蝕刻氣體如氧氣、氮氣、氬氣、六氟乙烷、四氟化碳、氟仿、二氟化碳、八氟丙烷、八氟環丁烷、六氟化硫、三氟化氮、氯氣、氯化氫、三氟化硼、其他合適的反應性氣體、或上述之組合。回蝕刻製程亦可移除光阻層910以形成第9B圖的基板902,其中反向材料920保留於基板902的上表面上以及基板902的結構中。
在步驟820中,可視情況進行灰化製程,以移除光阻層910。上述步驟可形成第9B圖所示的基板902,其中反向材料920保留於基板902的上表面上與基板902的結構中。舉例來說,灰化製程可包括將光阻層910暴露至氧氣電漿。
在步驟830中,對反向材料920進行方向性蝕刻, 以自基板902的上表面移除反向材料920,如第9C圖所示。控制方向性蝕刻時的蝕刻離子其角度932,可讓第一區914中結構的開口與第二區916中結構的開口提供遮蔭效應,以限制到達結構底部的蝕刻離子數量。方向性蝕刻的角度932可取決於結構的開口深寬比(深度對寬度的比例)。舉例來說,角度932可介於2度至75度之間。方向性蝕刻的角度932可依蝕刻離子佈植至結構中的所需深度而定。在這些實施例中,角度932可介於10度至60度之間。
可採用離子束蝕刻或反應性離子束蝕刻製程進行方向性蝕刻。離子束蝕刻製程可包含鈍氣如氬氣,以產生平行且可導向基板的離子。反應性離子束蝕刻製程可包含搭配或不搭配鈍氣的反應性氣體。反應性的蝕刻劑氣體包含但不限於氧、六氟乙烷、四氟化碳、氟仿、二氟化碳、八氟丙烷、八氟環丁烷、六氟化硫、三氟化氮、氯氣、氯化氫、三氯化硼、其他合適的反應性氣體、或上述之組合。藉由傾斜基板902相對於離子源(如平行離子束源)的角度,可控制離子束蝕刻製程或反應性離子束蝕刻製程中離子的角度932。基板可相對於離子源旋轉,使沿著z軸的角度932導向的蝕刻離子可均勻地蝕刻基板902。雖然光阻層910的高度不一致導致反向材料920的形貌不一致,但方向性蝕刻可讓第一區914的結構與第二區916的結構中的反向材料蝕刻至相同高度。
本發明實施例採用方向性製程如方向性蝕刻與方向性佈植,以利用固有的遮蔭效應使基板上或基板的結構(如孔洞、通孔、溝槽、開口、或類似物)中的層狀物或材料被移 除時具有一致性。方向性蝕刻或方向性佈植加蝕刻,可用以移除材料而不損傷其下的層狀物。方向性蝕刻或方向性佈植加蝕刻,可用以移除基板上多種形貌的材料,而不需採用額外光罩及/或圖案化步驟之成本。在方向性製程時藉由結構固有的遮蔭效應,可控制z方向的蝕刻輪廓,以增進半導體製程與整合穩定度。舉例來說,在切割金屬製程中具有不同負載的光阻,其可經由方向性製程達到蝕刻一致性。
其他方向性製程技術亦可用於本發明的實施例,比如方向性硬化(比如採用快速熱退火燈的加熱腔室)、方向性沉積(比如採用平行物理氣相沉積的濺鍍腔室)、或其他方向性製程技術。
一實施例提供半導體裝置的製作方法,包括形成硬遮罩層於基板上。多層光阻形成於硬遮罩層上。蝕刻多層光阻,形成多個開口於多層光阻中,以露出部份硬遮罩層。依角度方向性地提供多個離子至多層光阻,使離子主要接觸多層光阻中的開口側壁而非硬遮罩層。方向性地提供離子的角度,可取決於開口的深寬比。
在一實施例中,依角度導向蝕刻離子,以方向性地蝕刻多層光阻。蝕刻離子主要接觸多層光阻中的開口側壁而非硬遮罩層。方向性蝕刻製程的一例包括離子束蝕刻或其他合適的蝕刻製程。
在另一實施例中,半導體裝置的製作方法包括形成硬遮罩層於基板上。形成多層光阻於硬遮罩層上。蝕刻多層光阻,形成多個開口於多層光阻中,以露出部份硬遮罩層。依 角度導向佈植離子以方向性地佈植多層光阻,使佈植離子主要接觸多層光阻中的開口側壁而非硬遮罩層。
在又一實施例中,半導體裝置的製作方法包括沉積抗反射塗層於基板上。基板包括具有多個結構的第一區與具有多個結構的第二區。抗反射塗層在第一區與第二區上具有不同厚度。方向性蝕刻抗反射塗層,使第一區的結構與第二區的結構中的抗反射塗層蝕刻至相同高度。舉例來說,方向性蝕刻抗反射塗層的步驟包括依角度導向蝕刻離子至抗反射塗層。
在另一實施例中,半導體裝置的製作方法包括回蝕刻基板上的反向材料。基板包括具有多個結構的第一區與具有多個結構的第二區。方向性蝕刻反向材料,使第一區的結構與第二區的結構中的反向材料蝕刻至相同高度。
在一實施例中,半導體裝置的結構包括犧牲結構形成於基板上。犧牲結構包括多層光阻、抗反射塗層、反向材料、或其他合適結構。多個開口形成於基板或犧牲結構中。多個開口提供遮蔭效應,以限制依角度導向的離子到達開口底部。
一實施例提供半導體裝置的製作方法,其中半導體裝置位於基板上,且該基板具有多層光阻於硬遮罩層上,包括:蝕刻多層光阻,形成多個開口於多層光阻中,以露出部份硬遮罩層;以及依角度方向性地提供多個離子至多層光阻,使該些離子主要接觸該多層光阻中的開口其側壁而非硬遮罩層。
在一實施例中,上述方法的開口提供遮蔭效應以限制方向性地提供的離子接觸硬遮罩層。
在一實施例中,上述方法中方向性地提供離子的步驟為方向性蝕刻製程。
在一實施例中,上述方法的多層光阻包括底層、形成於底層上的中間層、與形成於中間層上的頂光阻層,其中中間層與硬遮罩層對方向性蝕刻製程的蝕刻化學品具有類似的蝕刻選擇性。
在一實施例中,上述方法更包括圖案化頂光阻層,以形成頂光阻層遮罩;以及經由頂光阻層遮罩蝕刻中間層,以形成中間層遮罩。
在一實施例中,上述方法蝕刻多層光阻以形成多個開口於多層光阻中的步驟,包括經由中間層遮罩蝕刻底層,以形成多個開口於底層中;且方向性蝕刻製程移除中間層遮罩。
在一實施例中,上述方法的方向性蝕刻製程包括導向蝕刻離子,使蝕刻離子主要接觸中間層與底層的側壁而非硬遮罩層。
在一實施例中,上述方法中方向性地提供離子之步驟為方向性佈植製程。
在一實施例中,上述方法蝕刻多層光阻以形成多個開口於多層光阻中的步驟,包括經由中間層遮罩蝕刻底層,以形成多個開口於底層中;且方向性佈植製程包括導向佈植離子,使佈植離子主要接觸中間層遮罩的側壁而非底層與硬遮罩層。
在一實施例中,上述方法蝕刻多層光阻以形成多 個開口於多層光阻中的步驟,包括經由中間層遮罩蝕刻底層,以形成多個開口於底層中;且方向性佈植製程軟化中間層遮罩。
在一實施例中,上述方法更包括軟性蝕刻多層光阻以移除中間層遮罩。
在一實施例中,半導體裝置的製作方法包括沉積抗反射塗層於基板上。基板包括具有多個結構的第一區與具有多個結構的第二區。抗反射塗層在第一區與第二區上具有不同厚度。方向性蝕刻抗反射塗層,使第一區的結構與第二區的結構中的抗反射塗層蝕刻至相同高度,其中方向性蝕刻抗反射塗層的步驟包括依角度導向蝕刻離子至基板。
在一實施例中,上述方法的多個結構提供遮蔭效應,以限制蝕刻離子到達第一區的結構底部與第二區的結構底部。
在一實施例中,上述方法中第一區內的結構數目大於第二區內的結構數目。
在一實施例中,上述方法的第一區內的結構之多個開口與第二區內的結構之多個開口,提供遮蔭效應至方向性蝕刻的蝕刻離子。
在一實施例中,上述方法的抗反射塗層包括底抗反射塗層。
在一實施例中,半導體裝置的製作方法包括回蝕刻基板上的反向材料。基板包括具有多個結構的第一區與具有多個結構的第二區。方向性蝕刻反向材料,使第一區的結構與 第二區的結構中的反向材料蝕刻至相同高度。方向性蝕刻包括依角度導向蝕刻離子至基板。
在一實施例中,上述方法之多個結構提供遮蔭效應,以限制蝕刻離子到達第一區的結構底部與第二區的結構底部。
在一實施例中,上述方法之反向材料形成於圖案化的光阻層上。
在一實施例中,上述方法在回蝕刻反向材料之後,更包括灰化圖案化的光阻層。
本發明已以數個實施例揭露如上,以利本技術領域中具有通常知識者理解本發明。本技術領域中具有通常知識者可採用本發明為基礎,設計或調整其他製程與結構,用以實施實施例的相同目的,及/或達到實施例的相同優點。本技術領域中具有通常知識者應理解上述等效置換並未偏離本發明之精神與範疇,並可在未偏離本發明之精神與範疇下進行這些不同的改變、置換、與調整。

Claims (1)

  1. 一種半導體裝置的製作方法,其中該半導體裝置位於一基板上,且該基板具有一多層光阻於一硬遮罩層上,包括:蝕刻該多層光阻,形成多個開口於該多層光阻中,以露出部份該硬遮罩層;以及依一角度方向性地提供多個離子至該多層光阻,使該些離子主要接觸該多層光阻中的該些開口其側壁而非該硬遮罩層。
TW107123688A 2017-11-14 2018-07-09 半導體裝置的製作方法 TW201919128A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/812,750 US10354874B2 (en) 2017-11-14 2017-11-14 Directional processing to remove a layer or a material formed over a substrate
US15/812,750 2017-11-14

Publications (1)

Publication Number Publication Date
TW201919128A true TW201919128A (zh) 2019-05-16

Family

ID=66432372

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107123688A TW201919128A (zh) 2017-11-14 2018-07-09 半導體裝置的製作方法

Country Status (3)

Country Link
US (2) US10354874B2 (zh)
CN (1) CN109786219A (zh)
TW (1) TW201919128A (zh)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11171089B2 (en) 2018-10-31 2021-11-09 Taiwan Semiconductor Manufacturing Company, Ltd. Line space, routing and patterning methodology
US10840333B2 (en) * 2018-10-31 2020-11-17 Taiwan Semiconductor Manufacturing Company Limited Semiconductor arrangement and method of manufacture
US11796922B2 (en) * 2019-09-30 2023-10-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing semiconductor devices
US11454820B2 (en) * 2019-10-17 2022-09-27 Taiwan Semiconductor Manufacturing Co., Ltd. Multifunctional collimator for contact image sensors
US11448891B2 (en) 2019-10-17 2022-09-20 Taiwan Semiconductor Manufacturing Co., Ltd. Multifunctional collimator for contact image sensors
CN113097056A (zh) * 2019-12-23 2021-07-09 中芯国际集成电路制造(上海)有限公司 半导体器件的形成方法
CN111564410B (zh) * 2020-05-18 2023-08-11 南京诚芯集成电路技术研究院有限公司 一种提高后段金属线通孔的工艺窗口的方法
JP2022146813A (ja) * 2021-03-22 2022-10-05 キオクシア株式会社 半導体装置の製造方法およびイオンビーム照射装置
US11942532B2 (en) * 2021-08-30 2024-03-26 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistor and method of forming the same

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5190887A (en) * 1991-12-30 1993-03-02 Intel Corporation Method of making electrically erasable and electrically programmable memory cell with extended cycling endurance
US7279269B2 (en) * 2003-12-12 2007-10-09 Headway Technologies, Inc. CPP head with parasitic shunting reduction
US8912097B2 (en) 2009-08-20 2014-12-16 Varian Semiconductor Equipment Associates, Inc. Method and system for patterning a substrate
US8673788B2 (en) 2010-07-28 2014-03-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a layer on a semiconductor substrate having a plurality of trenches
TWI492298B (zh) * 2011-08-26 2015-07-11 Applied Materials Inc 雙重圖案化蝕刻製程
US9159581B2 (en) * 2012-11-27 2015-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making a semiconductor device using a bottom antireflective coating (BARC) layer
US9146469B2 (en) 2013-03-14 2015-09-29 Taiwan Semiconductor Manufacturing Company, Ltd. Middle layer composition for trilayer patterning stack
US9530674B2 (en) * 2013-10-02 2016-12-27 Applied Materials, Inc. Method and system for three-dimensional (3D) structure fill
US9159561B2 (en) 2013-12-26 2015-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method for overcoming broken line and photoresist scum issues in tri-layer photoresist patterning
US9337316B2 (en) 2014-05-05 2016-05-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method for FinFET device
US9536748B2 (en) * 2014-10-21 2017-01-03 Lam Research Corporation Use of ion beam etching to generate gate-all-around structure
CN106298500B (zh) * 2015-06-02 2020-07-21 联华电子股份有限公司 降低微负载效应的蚀刻方法
US9761488B2 (en) 2015-07-17 2017-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method for cleaning via of interconnect structure of semiconductor device structure
KR20180082851A (ko) * 2017-01-11 2018-07-19 삼성전자주식회사 반도체 소자의 패턴 형성 방법 및 이를 이용한 반도체 소자의 제조 방법
US10553354B2 (en) * 2017-03-10 2020-02-04 International Business Machines Corporation Method of manufacturing inductor with ferromagnetic cores
US11127593B2 (en) * 2018-05-18 2021-09-21 Varian Semiconductor Equipment Associates, Inc. Techniques and apparatus for elongation patterning using angled ion beams

Also Published As

Publication number Publication date
CN109786219A (zh) 2019-05-21
US20190341254A1 (en) 2019-11-07
US11289332B2 (en) 2022-03-29
US20190148145A1 (en) 2019-05-16
US10354874B2 (en) 2019-07-16

Similar Documents

Publication Publication Date Title
TW201919128A (zh) 半導體裝置的製作方法
US6753264B2 (en) Method of controlling striations and CD loss in contact oxide etch
KR102296810B1 (ko) 블록 공중합체의 직접 자기 조립을 사용하는 자기 정렬 패턴화
US8119531B1 (en) Mask and etch process for pattern assembly
TW201727360A (zh) 形成圖案的方法
KR20110076637A (ko) 홀 패턴 제조 방법
TW202011455A (zh) 半導體結構的製作方法
TW201923834A (zh) 半導體結構的形成方法
US10901317B2 (en) Extreme ultraviolet (EUV) lithography patterning methods utilizing EUV resist hardening
US9268228B2 (en) Techniques for patterning a substrate
KR101692119B1 (ko) 개선된 에칭 공정
KR100299515B1 (ko) 반도체 소자의 제조방법
US20220392771A1 (en) Oblique Deposition and Etch Processes
KR20080029638A (ko) 반도체 소자의 제조방법
KR20020043961A (ko) 반도체소자의 미세패턴 제조방법
KR100641483B1 (ko) 반도체 소자의 다마신 패턴 형성 방법
KR100298180B1 (ko) 반도체소자의콘택홀형성방법
JPH1140547A (ja) 半導体装置の製造方法
JP2004140415A (ja) 半導体装置の製造方法
KR20090068589A (ko) 반도체 소자의 패턴 형성 방법
TW201626501A (zh) 介層窗製程用的溝塡處理方法
KR20090027023A (ko) 반도체 소자의 형성 방법
KR20090016935A (ko) 반도체 소자의 패턴 제조 방법