TW201911390A - 半導體裝置的形成方法 - Google Patents

半導體裝置的形成方法 Download PDF

Info

Publication number
TW201911390A
TW201911390A TW106139476A TW106139476A TW201911390A TW 201911390 A TW201911390 A TW 201911390A TW 106139476 A TW106139476 A TW 106139476A TW 106139476 A TW106139476 A TW 106139476A TW 201911390 A TW201911390 A TW 201911390A
Authority
TW
Taiwan
Prior art keywords
layer
dielectric layer
fluorine
gate
gate dielectric
Prior art date
Application number
TW106139476A
Other languages
English (en)
Inventor
邱詩航
吳仲強
李家慶
李達元
蘇慶煌
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201911390A publication Critical patent/TW201911390A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28176Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation with a treatment, e.g. annealing, after the formation of the definitive gate conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/225Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a solid phase, e.g. a doped oxide layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28185Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation with a treatment, e.g. annealing, after the formation of the gate insulator and before the formation of the definitive gate conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66234Bipolar junction transistors [BJT]
    • H01L29/66325Bipolar junction transistors [BJT] controlled by field-effect, e.g. insulated gate bipolar transistors [IGBT]
    • H01L29/66333Vertical insulated gate bipolar transistors
    • H01L29/66348Vertical insulated gate bipolar transistors with a recessed gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66492Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a pocket or a lightly doped drain selectively formed at the side of the gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7855Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with at least two independent gates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Composite Materials (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Materials Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

半導體裝置的形成方法包含在半導體鰭上形成虛設閘極結構,在虛設閘極結構的相對兩側上形成介電層,以及移除虛設閘極結構,以在介電層中形成凹陷。此方法更包含在凹陷的側壁和底部上依序形成閘極介電層和至少一導電層,以及用含氟的化學物質處理閘極介電層和上述至少一導電層。

Description

半導體裝置的形成方法
本發明實施例係有關於半導體裝置製造技術,且特別有關於鰭式場效電晶體之半導體裝置及其形成方法。
由於各種電子元件(例如:電晶體、二極體、電阻器、電容器等)的積體密度(integration density)不斷的提升,半導體產業已經歷快速成長。在大多數情況下,積體密度的提升來自於最小部件(feature)尺寸的不斷縮減,其使得更多的元件能被整合至指定的面積內。
鰭式場效電晶體(Fin Field-Effect Transistor,FinFET)裝置越來越常用於積體電路之中。鰭式場效電晶體裝置具有三維結構,其包含半導體鰭自基底突出。閘極結構配置為控制鰭式場效電晶體裝置的導電通道中之電荷載體的流動,且閘極結構圍繞半導體鰭。舉例而言,在三閘極(tri-gate)鰭式場效電晶體裝置中,閘極結構圍繞半導體鰭的三個面,藉此在半導體鰭的三個面上形成導電通道。
根據一些實施例,半導體裝置的形成方法包含在半導體鰭上形成虛設閘極結構,在虛設閘極結構的相對兩側上形成介電層,移除虛設閘極結構,以在介電層中形成凹陷,在 凹陷的側壁和底部上依序形成閘極介電層和至少一導電層,以及用含氟(F)的化學物質處理閘極介電層和上述至少一導電層。
根據一些實施例,鰭式場效電晶體(Fin Field-Effect Transistor,FinFET)的形成方法包含提供基底,其具有鰭突出於隔離結構的上表面上方,且隔離結構設置於鰭的相對兩側上,在鰭上形成第一閘極結構,形成層間介電層圍繞第一閘極結構,且層間介電層露出第一閘極結構的上表面,移除第一閘極結構,以在層間介電層中形成凹陷,以及在凹陷內形成層堆疊,其中形成層堆疊包含在凹陷內順應地形成高介電常數介電層,在高介電常數介電層上順應地形成導電蓋層,及在導電蓋層上順應地形成導電的阻障層。此方法更包含使用包含氟的化學物質對層堆疊執行表面處理製程,其中表面處理製程驅使氟進入高介電常數介電層,以及在表面處理製程之後,執行熱退火製程。
根據又一些實施例,半導體裝置的形成方法包含形成介電層,用至少一導電層覆蓋介電層,在上述至少一導電層上供應含氟前驅物,以及驅使含氟前驅物的氟進入介電層。
30‧‧‧鰭式場效電晶體
32‧‧‧基底
34‧‧‧隔離區
36‧‧‧鰭
40‧‧‧閘極電極
42、44‧‧‧源極/汲極區
50‧‧‧基底
52‧‧‧墊氧化物層
56‧‧‧墊氮化物層
58‧‧‧遮罩
60‧‧‧半導體條
61‧‧‧溝槽
62‧‧‧隔離區
64‧‧‧鰭(半導體鰭)
65‧‧‧輕摻雜汲極區
66‧‧‧虛設閘極介電層
68‧‧‧虛設閘極層
70‧‧‧遮罩層
72‧‧‧第一閘極閘隔物
75‧‧‧虛設閘極結構
80‧‧‧磊晶源極/汲極區
82‧‧‧區域(矽化物區)
84‧‧‧前驅物(化學物質、含氟氣體、含氟電漿)
86‧‧‧第二閘極間隔物
87‧‧‧閘極間隔物
88‧‧‧膜
90‧‧‧第一層間介電層
91、93‧‧‧接觸開口
92‧‧‧凹陷
94‧‧‧閘極介電層
95‧‧‧第二層間介電層
96‧‧‧蓋層
97‧‧‧閘極結構(取代閘極)
98、104‧‧‧阻障層
99‧‧‧閘極電極
100‧‧‧鰭式場效電晶體裝置
102‧‧‧接觸件
108‧‧‧晶種層
110‧‧‧導電材料
121‧‧‧層堆疊
510、610‧‧‧熱退火製程
1000‧‧‧方法
1010、1020、1030、1040、1050‧‧‧步驟
A-A、B-B、C-C‧‧‧剖面
為了更完整的理解本發明實施例及其優點,以下配合所附圖式作詳細說明。
第1圖為鰭式場效電晶體(FinFET)的透視示意圖。
第2至20圖為根據一些實施例之鰭式場效電晶體裝置在各個製造階段的剖面示意圖。
第21圖為根據一些實施例說明半導體裝置的形成方法之流程圖。
以下內容提供許多不同實施例或範例,用於實現本發明實施例的不同部件(feature)。以下描述各部件及其排列方式的具體範例,以簡化本發明實施例。當然,這些僅僅是範例,而非意圖限制本發明實施例。例如,在以下描述中提及在第二部件上方或其上形成第一部件,其可包含第一部件和第二部件以直接接觸的方式形成的實施例,並且也可包含在第一部件和第二部件之間形成額外的部件,使得第一部件和第二部件不直接接觸的實施例。此外,本發明實施例可在各個範例中重複參考標號及/或字母。此重複是為了簡單和清楚的目的,其本身並非用於指定所討論的各個實施例及/或配置之間的關係。
再者,為了容易描述,在此可以使用例如“在...底下”、“在...下方”、“下”、“在...上方”、“上”等空間相關用語,以描述如圖所示的一個元件或部件與另一個(或另一些)元件或部件之間的關係。除了圖中所示的方位外,空間相關用語可涵蓋裝置在使用或操作中的不同方位。裝置可以採用其他方位定向(旋轉90度或在其他方位上),並且在此使用的空間相關描述可以同樣地作出相應的解釋。
本發明實施例在形成半導體裝置的背景下進行討論,且特別是在改善鰭式場效電晶體裝置的閘極氧化物的可靠度之背景下進行討論,。然而,本發明所屬技術領域中具有通 常知識者可輕易理解的是,本發明實施例所揭露的方法可用於其它裝置或應用中,例如平面式(planar)裝置。
第1圖繪示說明鰭式場效電晶體(FinFET)30的一範例之透視示意圖。鰭式場效電晶體30包含具有鰭36的基底32。基底32具有形成在其上的隔離區34,且鰭36從相鄰的隔離區34之間突出於隔離區34之上。閘極介電層38沿著鰭36的側壁且在鰭36的頂面上,且閘極電極40在閘極介電層38上。源極/汲極區42和44在鰭36中,且位於閘極介電層38和閘極電極40的相對兩側。第1圖更繪示在後續圖式中所使用的參考剖面。剖面B-B沿鰭式場效電晶體30的閘極電極40的縱軸延伸。剖面C-C平行於剖面B-B,且跨過源極/汲極區42。剖面A-A垂直於剖面B-B且沿著鰭36的縱軸,並且在例如源極/汲極區42和44之間的電流方向上。為了清楚明暸,後續圖式參照這些參考剖面。
第2至20圖繪示根據一些實施例之鰭式場效電晶體裝置100在各個製造階段的剖面示意圖。除了多個鰭以外,鰭式場效電晶體裝置100類似於第1圖的鰭式場效電晶體30。第2至5圖繪示鰭式場效電晶體裝置100沿著剖面B-B的剖面示意圖,且第6至20圖繪示沿著剖面A-A的剖面示意圖。
第2圖繪示基底50的剖面示意圖。基底50可為半導體基底,例如整體半導體(bulk semiconductor)、絕緣體上的半導體(semiconductor-on-insulator,SOI)基底或類似基底,且基底50可被摻雜(例如,用P型或N型的摻雜物)或不摻雜。基底50可為晶圓,例如矽晶圓。一般而言,絕緣體上的半導體(SOI)基底包含一層半導體材料形成在絕緣層上。絕緣層例如可為埋 藏氧化物(buried oxide,BOX)層、氧化矽層或類似絕緣層。在基底上提供絕緣層,基底通常為矽或玻璃基底。也可使用其它基底,例如多層或梯度基底(gradient substrate)。在一些實施例中,基底50的半導體材料可包含矽;鍺;化合物半導體,其包含碳化矽(silicon carbide)、砷化鎵(gallium arsenic)、磷化鎵(gallim phosphide)、磷化銦(indium phosphide)、砷化銦(indium arsenide)和/或銻化銦(indium antimonide);合金半導體,其包含SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP和/或GaInAsP;或前述之組合。
基底50可包含積體電路裝置(未繪示)。如本發明所屬技術領域中具有通常知識者所了解的,可於基底50中和/或上形成各式各樣的積體電路裝置,例如電晶體(transistor)、二極體(diode)、電容器(capacitor)、電阻器(resistor)、類似的裝置或前述之組合,以產生用於鰭式場效電晶體之結構和功能上的設計需求。可使用任何合適的方法形成積體電路裝置。
參閱第3圖,使用例如光微影(photolithography)和蝕刻技術將第2圖所示的基底50圖案化。舉例而言,於基底50上形成遮罩層,遮罩層例如為墊氧化物(pad oxide)層52和上方的墊氮化物層56。墊氧化物層52可為薄膜,其包含例如使用熱氧化製程形成的氧化矽。墊氧化物層52可作為基底50和上方的墊氮化物層56之間的黏著層,且可作為蝕刻墊氮化層56的蝕刻停止層(etch stop layer)。在一些實施例中,墊氮化物層56由氮化矽(silicon nitride)、氮氧化矽(silicon oxynitride)、碳化矽(silicon carbide)、氮碳化矽(silicon carbonnitride)、類似材料 或前述之組合形成,且例如可使用低壓化學氣相沉積(low-pressure chemical vapor deposition,LPCVD)或電漿增強化學氣相沉積(plasma enhanced chemical vapor deposition,PECVD)形成墊氮化物層56。
可使用光微影技術將遮罩層圖案化。一般而言,光微影技術使用光阻材料(未繪示),並將光阻材料沉積、照輻射(曝光)和顯影,以移除部分的光阻材料。剩餘的光阻材料保護底下的材料,例如在此範例中的遮罩層,使其免於受到後續的製程步驟例如蝕刻的傷害。在此範例中,使用光阻材料將墊氧化物層52和墊氮化物層56圖案化,以形成圖案化的遮罩58,如第3圖所繪示。
圖案化的遮罩58接著用來將基底50露出的部分圖案化,以形成溝槽61,藉此在相鄰的溝槽61之間定義出半導體條(strips)60,如第3圖所繪示。在一些實施例中,藉由在基底50中蝕刻出溝槽來形成半導體條60,其使用例如反應性離子蝕刻(reactive ion etch,RIE)、中性束蝕刻(neutral beam etch,NBE)、類似蝕刻或前述之組合,。蝕刻可為異向性。在一些實施例中,溝槽61可為彼此平行的條狀(從頂部看)且緊密地與彼此隔開。在一些實施例中,溝槽61可為連續的且圍繞半導體條60。在半導體條60形成之後,可藉由蝕刻或任何合適的方法移除圖案化的遮罩58。
第4圖繪示在相鄰的半導體條60之間形成絕緣材料,以形成隔離區62。絕緣材料可為氧化物例如氧化矽、氮化物、類似材料或前述之組合,且可藉由高密度電漿化學氣相沉 積(high density plasma chemical vapor deposition,HDP-CVD)、可流動的化學氣相沉積(flowable CVD,FCVD)(例如:在遠距電漿系統中以化學氣相沉積為基礎的材料沉積,且之後硬化使沉積材料轉變為另一材料,例如氧化物)、類似沉積或前述之組合來形成。可使用其它絕緣材料和/或其它形成製程。在說明的實施例中,絕緣材料為利用可流動的化學氣相沉積(FCVD)製程形成的氧化矽。一旦形成絕緣材料,就可執行退火製程。平坦化製程,例如化學機械研磨(chemical mechanical polish,CMP),可移除任何多餘的絕緣材料(和圖案化的遮罩58,如果有的話),且形成共平面(未繪示)之隔離區62的頂面和半導體條60的頂面。
在一些實施例中,隔離區62包含襯墊(liner),例如襯墊氧化物(未繪示),其位於隔離區62和基底50/半導體條60之間的界面。在一些實施例中,形成襯墊氧化物,以減少在基底50和隔離區62之間的界面處的結晶缺陷。類似地,襯墊氧化物也可用於減少在半導體條60和隔離區62之間的界面處的結晶缺陷。襯墊氧化物(例如氧化矽)可為熱氧化物,其透過將基底50的表層熱氧化而形成,儘管其它合適的方法也可用於形成襯墊氧化物。
接著,將隔離區62凹陷,以形成淺溝槽隔離(shallow trench isolation,STI)區。將隔離區62凹陷,使得半導體條60的上部從相鄰的隔離區62之間突出,並形成半導體鰭64(亦稱為鰭64)。隔離區62的頂面可具有平坦表面(如圖所示)、外凸表面、內凹表面(例如碟狀)或前述之組合。藉由合適 的蝕刻,隔離區62的頂面可形成為平坦、外凸和/或內凹。可使用可接受的蝕刻製程,例如對隔離區62的材料有選擇性的蝕刻,將隔離區62凹陷。例如,可使用化學氧化物移除,其利用CERTAS®蝕刻或Applied Materials SICONI設備或稀釋氫氟酸(diluted hydrofluoric acid,dHF)。
第2至4圖繪示形成鰭64的實施例,但可在各種不同製程中形成鰭。在一些範例中,可在基底的頂面上形成介電層;可穿過介電層蝕刻出溝槽;可在溝槽中磊晶成長同質磊晶結構;以及可將介電層凹陷,使得同質磊晶結構從介電層突出,以形成鰭。在另一些示範例中,可使用異質磊晶結構用於鰭。例如,可將半導體條凹陷,以及在凹陷處中磊晶成長與半導體條不同的材料。
在又一些範例中,可在基底的頂面上形成介電層;可穿過介電層蝕刻出溝槽;可使用與基底不同的材料在溝槽中磊晶成長異質磊晶結構;以及可將介電層凹陷,使得異質磊晶結構從介電層突出,以形成鰭。
在一些磊晶成長同質磊晶或異質磊晶結構的實施例中,成長的材料可在成長過程中進行原位(in situ)摻雜,其可免除之前或後續的植入,儘管原位和植入摻雜可一起使用。再者,在NMOS區中磊晶成長與PMOS區的材料不同的材料可能是有好處的。在各種實施例中,鰭可包含矽鍺(silicon germanium)(SixGe1-x,其中x可介於大約0和1之間)、碳化矽、純或大致上純的鍺、第III-V族化合物半導體、第II-VI族化合物半導體或類似材料。例如,用於形成第III-V族化合物半導體 的可用材料包含,但不限於,InAs、AlAs、GaAs、InP、GaN、InGaAs、InAlAs、GaSb、AlSb、AlP、GaP及類似材料。
第5圖繪示在半導體鰭64上形成虛設閘極結構75。在一些實施例中,虛設閘極結構75包含虛設閘極介電層66和虛設閘極層68。虛設閘極結構75可更包含遮罩層70。為了形成虛設閘極結構75,在半導體鰭64和隔離區62上形成虛設閘極介電層66。虛設閘極介電層66例如可為氧化矽、氮化矽、多層的前述材料、或類似材料,且可根據可接受的技術沉積或熱成長虛設閘極介電層66。在一些實施例中,虛設閘極介電層66可為高介電常數介電材料,且在這些實施例中,虛設閘極介電層66可具有大於約7.0的介電常數值,且可包含Hf、Al、Zr、La、Mg、Ba、Ti、Pb的金屬氧化物或矽酸鹽、多層的前述材料和前述之組合。虛設閘極介電層66的形成方法可包含分子束沉積(molecular-beam deposition,MBD)、原子層沉積(atomic layer deposition,ALD)、電漿增強化學氣相沉積(PECVD)和類似方法。
在虛設閘極介電層66上形成虛設閘極層68,且在虛設閘極層68上形成遮罩層70。虛設閘極層68可沉積於虛設閘極介電層66上,然後例如藉由化學機械研磨(CMP)將虛設閘極層68平坦化。遮罩層70可沉積於虛設閘極層68上。虛設閘極層68可由例如多晶矽形成,儘管其它材料也可使用。在一些實施例中,虛設閘極層68可包含含金屬的材料,例如TiN、TaN、TaC、Co、Ru、Al、前述之組合或多層的前述材料。遮罩層70可由例如氮化矽或類似材料形成。
在這些層(例如虛設閘極介電層66、虛設閘極層68和遮罩層70)形成之後,使用可接受的光微影和蝕刻技術將遮罩層70圖案化,以形成圖案化的遮罩層70。然後藉由可接受的蝕刻技術,可將遮罩層70的圖案轉移至虛設閘極層68和虛設閘極介電層66,以分別形成圖案化的虛設閘極層68和圖案化的虛設閘極介電層66。虛設閘極層68和虛設閘極介電層66覆蓋半導體鰭64各自的通道。虛設閘極層68也可具有縱向方向,其大致上垂直於各自的半導體鰭64的縱向方向。
第6至20圖繪示沿剖面A-A(沿鰭的縱軸),鰭式場效電晶體裝置100的進一步製程的剖面示意圖。如第6圖所繪示,在鰭64中形成輕摻雜汲極(lightly doped drain,LDD)區65。可藉由電漿摻雜製程形成輕摻雜汲極區65。電漿摻雜製程可將N型或P型雜質植入鰭64中,以形成輕摻雜汲極區65。在一些實施例中,輕摻雜汲極區65鄰接鰭式場效電晶體裝置100的通道區。部分的輕摻雜汲極區65可延伸至虛設閘極層68底下,且進入鰭式場效電晶體裝置100的通道區。第6圖繪示的輕摻雜汲極區65的範例並未限定本發明實施例。輕摻雜汲極區65也可能有其它配置、形狀和形成方法,並且這些都包含在本發明實施例的範圍內。舉例而言,可在第一閘極間隔物72形成之後,形成輕摻雜汲極區65。
繼續參閱第6圖,在形成輕摻雜汲極區65之後,在虛設閘極結構上形成閘極間隔物87。閘極間隔物87可包含第一閘極間隔物72和第二閘極間隔物86。在第6圖的範例中,在虛設閘極層68的相對兩側壁和虛設閘極介電層66的相對兩側壁 上形成第一閘極間隔物72。第一閘極間隔物72也可延伸至半導體鰭64的上表面和隔離區62的上表面(見第5圖)上。如第6圖所示,在第一閘極間隔物72上形成第二閘極間隔物86。第一閘極間隔物72可由氮化物,例如氮化矽、氮氧化矽(silicon oxynitride)、碳化矽(silicon carbide)、碳氮化矽(silicon carbonitride)、類似材料或前述之組合形成,且可使用例如熱氧化、化學氣相沉積(CVD)或其它合適合沉積製程而形成。第二閘極間隔物86可由氮化矽、碳氮化矽(SiCN)、前述之組合或類似材料,使用合適的沉積方法形成。
在一些示範的實施例中,藉由先在鰭式場效電晶體裝置100上順應性地沉積第一閘極間隔物72,然後在沉積的第一閘極間隔物72上順應性地沉積第二閘極間隔物86,以形成閘極間隔物87。如本發明所屬技術領域中具有通常知識者所理解的,在本發明實施例中,順應的(conformal)(或順應性地(conformally))意思為在製程變化(process variation)中是順應的(或順應性地)。舉例而言,順應的第一閘極間隔物72的水平部分和垂直部分可具有大致上相同的厚度,垂直部分的垂直厚度和水平部分的水平厚度的差值小於,例如水平厚度的20%。接著,執行異向性蝕刻製程,例如乾式蝕刻製程,以移除第二閘極間隔物86的第一部分,其位於鰭式場效電晶體裝置100的上表面(例如半導體鰭64的上表面)上,且保留第二閘極閘隔物86的第二部分沿著虛設閘極結構的側壁設置。在異向性蝕刻製程之後,保留第二閘極間隔物86的第二部分形成第二閘極間隔物86。異向性蝕刻製程亦移除部分的第一閘極間隔物72,其位 於第二閘極間隔物86的側壁之外,且第一閘極間隔物72的剩餘部分形成第一閘極間隔物72。
第6圖所示的第一閘極間隔物72和第二閘極間隔物86的形狀和形成方法僅為範例,而非限定本發明實施例,且其它形狀和形成方法也有可能。舉例而言,第二閘極間隔物86可在形成磊晶源極/汲極區80(見第7圖)之後形成。在一些實施例中,在第7圖所示的磊晶源極/汲極區80的磊晶製程之前,在第一閘極間隔物72上形成虛設閘極間隔物,且在磊晶源極/汲極區80形成之後,移除虛設閘極間隔物並用第二閘極間隔物86取代。
接著,如第7圖所繪示,形成磊晶源極/汲極區80。磊晶源極/汲極區80的形成是藉由蝕刻鰭64以形成凹陷,並且使用合適的方法在凹陷中磊晶成長材料,合適的方法例如金屬有機化學氣相沉積(metal-organic CVD,MOCVD)、分子束磊晶(molecular beam epitaxy,MBE)、液相磊晶(liquid phase epitaxy,LPE)、氣相磊晶(vapor phase epitaxy,VPE)、選擇性磊晶成長(selective epitaxial growth,SEG)、類似方法或前述之組合。在磊晶成長磊晶源極/汲極區80之後,可藉由合適的方法,例如蝕刻,移除遮罩層70。
如第7圖所示,磊晶源極/汲極區80可具有從鰭64的各自表面升起的表面(例如升起高過鰭64之未凹陷部分),且可具有小切面(facet)。在一些實施例中,相鄰的鰭64之磊晶源極/汲極區80可合併在一起,以形成連續的磊晶源極/汲極區80。在一些實施例中,相鄰的鰭64之磊晶源極/汲極區80不會 合併在一起,且維持分開的磊晶源極/汲極區80。在產生的鰭式場效電晶體為N型鰭式場效電晶體的一些示範實施例中,磊晶源極/汲極區80包含碳化矽(SiC)、磷化矽(SiP)、摻雜磷的碳化矽(SiCP)或類似材料。在產生的鰭式場效電晶體為P型鰭式場效電晶體的另一些示範實施例中,磊晶源極/汲極區80包含SiGe和P型雜質,例如硼或銦。
可將摻雜物植入磊晶源極/汲極區80,以形成源極/汲極區80,之後執行退火。植入製程可包含形成遮罩及將遮罩圖案化,遮罩例如為光阻,以覆蓋鰭式場效電晶體被保護而免於植入製程的區域。磊晶源極/汲極區80的雜質(例如摻雜物)濃度可在約10E19cm-3至約10E21cm-3的範圍內。在一些實施例中,在成長期間可對磊晶源極/汲極區80進行原位(in situ)摻雜。
接著,如第8圖所繪示,在第7圖所示的結構上形成第一層間介電層(interlayer dielectric,ILD)90,且執行閘極後(gate-last)製程(有時稱為取代閘極(replacement gate)製程)。在閘極後製程中,虛設閘極層68和虛設閘極介電層66(見第7圖)被視為虛設結構,且會被移除並用主動閘極和主動閘極介電層取代,這些可統稱為取代閘極。
在一些實施例中,第一層間介電層90由介電材料形成,例如磷矽酸鹽玻璃(phosphosilicate glass、PSG)、硼矽酸鹽玻璃(borosilicate glass,BSG)、摻雜硼的磷矽酸鹽玻璃(boron-doped phosphosilicate Glass,BPSG)、無摻雜的矽酸鹽玻璃(undoped silicate glass,USG)或類似材料,並且可藉由合 適的方法,例如化學氣相沉積(CVD)、電漿增強化學氣相沉積(PECVD)或可流動的化學氣相沉積(FCVD)來沉積介電材料。可執行平坦化製程,例如化學機械研磨(CMP)製程,以將第一層間介電層90的頂面平坦化,使得第一層間介電層90的頂面與虛設閘極層68(見第7圖)的頂面齊平。因此,在一些實施例中,於化學機械研磨製程之後,露出虛設閘極層68的頂面。
根據一些實施例,在蝕刻步驟中移除虛設閘極層68和在虛設閘極層68正下方的虛設閘極介電層66,使得凹陷92在每一個鰭64中形成。每一個凹陷92露出各別鰭64的通道區。每一個通道區設置於相鄰的一對磊晶源極/汲極區80之間。在移除虛設閘極結構的期間,當蝕刻虛設閘極層68時,虛設閘極介電層66可作為蝕刻停止層(etch stop layer)。在移除虛設閘極層68之後,可接著移除虛設閘極介電層66。
接著,在第9圖中,在鰭式場效電晶體裝置100上依續形成閘極介電層94、蓋層96和阻障層98。如第9圖所示,在凹陷92中和第一層間介電層90的頂面上順應性地沉積閘極介電層94。在閘極介電層94上順應性地形成蓋層96和阻障層98,其中蓋層96在閘極介電層94和阻障層98之間。蓋層96可作為鰭式場效電晶體裝置100的功函數層(work function layer),且阻障層98可作為鰭式場效電晶體裝置100的蝕刻停止層。在下文中,閘極介電層94、蓋層96和阻障層98可統稱為層堆疊121。
根據一些實施例,閘極介電層94包含氧化矽、氮化矽或多層的前述材料。在另一些實施例中,閘極介電層94包 含高介電常數介電材料,且在這些實施例中,閘極介電層94可具有大於約7.0的介電常數值,且可包含Hf、Al、Zr、La、Mg、Ba、Ti、Pb的金屬氧化物或矽酸鹽,和前述之組合。閘極介電層94的形成方法可包含分子束沉積(MBD)、原子層沉積(ALD)、電漿增強化學氣相沉積(PECVD)和類似方法。在一些示範的實施例中,閘極介電層94為包含HfO2的高介電常數介電材料,且藉由合適的方法形成,例如原子層沉積(ALD)。在一些實施例中,閘極介電層94為高介電常數介電材料,且在閘極介電層94和鰭64之間形成氧化矽層(例如SiO2)。可藉由將鰭64的材料氧化或藉由任何合適的沉積方法,例如化學氣相沉積(CVD)、物理氣相沉積(PVD)或原子層沉積(ALD),形成氧化矽層。
接著,在閘極介電層94上順應性地形成蓋層96。蓋層96包含任何適用於功函數層的材料。閘極結構97(見第15圖)所包含的示範P型功函數金屬包含TiN、TaN、Ru、Mo、Al、WN、ZrSi2、MoSi2、TaSi2、NiSi2、WN、其它合適的P型功函數金屬或前述之組合。閘極結構97所包含的示範N型功函數金屬包含Ti、Ag、TaAl、TaAlC、TiAlN、TaC、TaCN、TaSiN、Mn、Zr、其它合適的N型功函數金屬或前述之組合。功函數值與功函數層的材料組成有關,因此選擇第一功函數層的材料,以調整其功函數值,使得在各別的區域形成的裝置達到目標的臨界電壓(threshold voltage,Vt)。可藉由化學氣相沉積(CVD)、物理氣相沉積(PVD)、原子層沉積(ALD)和/或其它合適的製程沉積功函數層。
接著,在蓋層96上順應性地形成阻障層98。阻障層98可包含導電材料,例如氮化鈦(titanium nitride),儘管其它材料也可替代使用,例如氮化鉭(tantalum nitride)、鈦、鉭或類似材料。可使用化學氣相沉積(CVD)製程形成阻障層98,例如電漿增強化學氣相沉積(PECVD)。然而,也可替代地使用其它替代製程,例如濺鍍(supttering)或金屬有機化學氣相沉積(MOCVD)、原子層沉積(ALD)。在一些實施例中,阻障層98和蓋層96包含不同的材料,以在阻障層98和蓋層96之間提供蝕刻選擇性。在一些示範的性實施例中,蓋層96包含TiN且阻障層98包含TaN,並且可使用合適的沉積方法,例如原子層沉積(ALD),形成蓋層96和阻障層98。
接著,如第10至11圖所繪示,使用含氟的化學物質(也可稱為含氟前驅物)藉由表面處理製程處理層堆疊121,以將閘極介電層94摻雜氟,且後續執行熱退火製程,以進一步增加閘極介電層94的摻雜物(例如氟)之濃度。特別是,第10圖繪示表面處理製程包含浸泡(soak)製程的實施例,其中層堆疊121浸泡於前驅物84中,其可為含氟氣體或含氟電漿,且第11圖繪示在浸泡製程後,執行熱退火製程。第12和13圖繪示表面處理製程對閘極介電層94進行摻雜的實施例,其藉由使用含氟前驅物,在層堆疊121上沉積薄膜88(見第12圖),且熱退火製程(見第13圖)更驅使摻雜物(例如氟)進入閘極介電層94。表面處理製程和熱退火製程的細節在下文討論。
根據一些實施例,表面處理製程驅使氟(例如氟自由基(fluorine radical))從層堆疊121的上表面(例如阻障層98的 上表面)進入閘極介電層94,有效地將閘極介電層94摻雜氟,且增加閘極介電層94中的氟濃度。在一些實施例中,用氟摻雜閘極介電層94可改善鰭式場效電晶體裝置100的時依性介電層崩潰(time-dependent dielectric breakdown,TDDB)表現。
在金屬氧化物半導體場效電晶體(metal-oxide-semiconductor field-effect transistor,MOSFET)中,時依性介電層崩潰(TDDB)為失效機制,在此閘極氧化物崩潰為長時間應用相對低的電場的結果(與立即的崩潰相反,其由強的電場造成)。當金屬氧化物半導體場效電晶體在接近或超出其特定操作電壓操作時,由於電子穿隧電流(electron tunneling current)形成通過閘極氧化物到基底的導電路徑而造成崩潰。
根據一些實施例,表面處理製程包含讓層堆疊121受到含氟的化學物質(例如化學物質84)影響。化學物質(例如化學物質84)的分子組成可以用MFx表示,其中F代表氟,M代表另一合適的元素,且x代表F與M的分子比值。在一些實施例中,元素M為金屬,例如鎢(W)、鉬(Mo)、鈦(Ti)、鐵(Fe)、鎳(Ni)、鈷(Co)、鉻(Cr)、銅(Cu)、鋁(Al)、錳(Mn)、矽(Si)、鈣(Ca)、鋯(Zr)、鈮(Nb)、鉿(Hf)、鉭(Ta)、鉛(Pb)或類似金屬。在其它實施例中,元素M可為非金屬,例如氮(N)、碳(C)、硫(S)、氯(Cl)或類似非金屬。化學物質84的範例可包含六氟化鎢(WF6)、三氟化氮(NF3)、六氟化鉬(MoF6)、FeF2、FeF3、NiF2、CoF2、CrF2、CrF3、CuF、MoF3、TiF3、TiF4、AlF3、SiF4、MnF2、ZrF4、NbF5、HfF4、TaF5、NaF、KF、LiF、MgF2、CaF2、 BaF2、ZnF2、PbF2、CF4、C2F6、SF6、C3F8或CHF3
現在參閱第10圖,在一些實施例中,表面處理製程為熱製程,其使用含氟氣體作為化學物質84。舉例而言,可使用WF6、FeF2、FeF3、NiF2、CoF2、CrF2、CrF3、CuF、MoF3、TiF3、TiF4、AlF3、SiF4、MnF2、ZrF4、NbF5、HfF4、TaF5、NaF、KF、LiF、MgF2、CaF2、BaF2、ZnF2、PbF2、CF4、C2F6、SF6、C3F8或CHF3氣體作為化學物質84。如第10圖所示,供應含氟氣體84與阻障層98的表面接觸。可用載體氣體運送含氟氣體84,載體氣體可為惰性氣體,例如N2、Ar、He、類似氣體或前述之組合。在一些實施例中,熱製程的能量(例如熱能量)打斷F和元素M之間的鍵結(例如,使用WF6作為化學物質84時,F和W之間的鍵結),並且產生氟的自由基。作為範例,WF6的分解可用以下化學方程式(1)表示。
WF6→W+6F (1)
在熱製程之後,可在阻障層98上形成包含元素M(例如鎢(W))的層(未繪示)。熱製程驅使氟的自由基進入層堆疊121並朝向閘極介電層94。在一些實施例中,藉由熱製程驅使氟的自由基進入閘極介電層94。氟的自由基可補償在閘極介電層94和相鄰的介電層(例如第一層間介電層90和/或第一閘極間隔物72)之間的界面處的捕陷態(trap state),藉此減少在界面處的捕陷態密度(Dit)。
可調整表面處理製程的參數,例如溫度和/或表面處理製程的持續時間、化學物質84的流速,以達到在閘極介電層94中的氟的目標濃度。舉例而言,低溫(例如低於200℃)和/ 或短持續時間(例如短於30秒)可能無法驅使足夠的氟進入閘極介電層94來達到目標氟濃度。另一方面,高溫(例如高於650℃)和/或長持續時間(例如超過於30秒)可驅使氟通過閘極介電層94且進入相鄰的介電層(例如第一層間介電層90或第一閘極間隔物72)。
在一些示範的實施例中,使用WF6氣體在約200℃和約650℃之間的溫度執行熱製程。WF6的流速可在約5標準立方公分每分鐘(standard cubic centimeter per minute,sccm)和約10000sccm之間,例如1000sccm。載體氣體的流速可在約5sccm和約10000sccm之間,例如6000sccm。熱製程的壓力可在約0.5torr和約300torr之間,例如20torr,且熱製程的持續時間可在約0.1秒和約300秒之間,例如100秒。
繼續參閱第10圖,在一些實施例中,表面處理製程為電漿製程,其使用含氟電漿作為化學物質84。舉例而言,可將NF3氣體活化成電漿,並作為化學物質84。可用載體氣體運送化學物質(含氟電漿)84,載體氣體可為惰性氣體,例如N2、Ar、He、類似氣體或前述之組合。如第10圖所示,供應化學物質(含氟電漿)84與阻障層98的表面接觸。電漿製程的能量(例如放電能量)打斷F和元素M(例如,F和N)之間的鍵結,並且產生氟的自由基。舉例而言,NF3電漿轟擊阻障層98的表面,因此打斷F和N之間的鍵結,且引發許多化學反應。NF3電漿的分解由以下化學方程式(2)和(3)說明。
NF3→NF++2F (2)
NF3→NF2 ++F (3)
來自化學物質84之分解的元素M(例如N)可形成副產物,其可從鰭式場效電晶體裝置100所在的沉積腔(未繪示)中抽出(例如用幫浦),或是可在阻障層98上形成包含元素M的層(未繪示)。電漿製程的能量驅使氟的自由基進入層堆疊121朝向閘極介電層94。在一些實施例中,藉由電漿製程驅使氟的自由基進入閘極介電層94。
在一些示範的實施例中,使用NF3氣體的電漿在溫度約20℃和約400℃之間執行電漿製程。電漿製程的能量在約5伏特(volt)和約10000volt之間,例如500volt。NF3的流速可在約10sccm和約5000sccm之間,例如200sccm。載體氣體的流速可在約5sccm和約10000sccm之間,例如3000sccm。電漿製程的壓力在約0.5托(torr)和約300torr之間,例如15torr,且電漿製程的持續時間可在約0.1秒和約300秒之間,例如100秒。
接著,如第11圖所繪示,在表面處理製程(例如熱製程或電漿製程)之後,可執行選擇性的熱退火製程510,以進一步驅使層堆疊121所含的氟(例如,蓋層96和阻障層98中的氟自由基)進入閘極介電層94。在一些示範的實施例中,在溫度約400℃和約700℃之間,例如550℃,執行熱退火製程510,且持續時間在約0.1秒和約300秒之間,例如30秒。在熱退火製程510後,氟的濃度範圍達到在1E15/cm2和1E17/cm2之間。
現在參閱第12和13圖。第12和13圖分別地繪示表面處理製程的另一些實施例和後續的熱退火製程。如第12圖所繪示,表面處理製程包含使用含氟前驅物(未繪示)在阻障層98 上形成膜88。舉例而言,可使用六氟化鎢(WF6)或六氟化鉬(MoF6)作為含氟前驅物,以形成膜88。其它可用於形成膜88的含氟前驅物包含FeF2、FeF3、NiF2、CoF2、CrF2、CrF3、CuF、MoF3、TiF3、TiF4、AlF3、SiF4、MnF2、ZrF4、NbF5、HfF4、TaF5、NaF、KF、LiF、MgF2、CaF2、BaF2、ZnF2和PbF2。可藉由載體氣體運送前驅物,載體氣體可為惰性氣體,例如N2、Ar、He、類似氣體或前述之組合。可使用合適的沉積方法,例如原子層沉積(ALD)、物理氣相沉積(PVD)、化學氣相沉積(CVD)、類似沉積或前述之組合,以形成膜88。
在一些實施例中,含氟前驅物(例如WF6或MoF6)在沉積製程的期間分解,藉此打斷前驅物的F和元素M(例如W或Mo)之間的鍵結。在前驅物分解之後,前驅物的元素M形成膜88,且在分解之後,沉積製程的能量(例如熱能量)驅使氟進入層堆疊121朝向閘極介電層94。舉例而言,當在沉積製程中使用WF6或MoF6作為前驅物時,膜88可包含鎢(W)層或鉬(Mo)層。膜88也可含有氟和少量的未分解前驅物。在一些實施例中,於沉積製程期間驅使氟進入閘極介電層94。
在一些示範的實施例中,表面處理製程包含使用含氟前驅物在約200℃和約500℃之間的溫度下,例如350℃形成膜88。前驅物的流速可在約10sccm和約5000sccm之間,例如500sccm。載體氣體的流速可在約5sccm和約10000sccm之間,例如3000sccm。沉積製程用於形成膜88的壓力可在約0.5torr和約300torr之間,例如20torr。膜88的厚度可在約3Å和約200Å之間,例如100Å,儘管其它尺寸也有可能。
接著,如第13圖所繪示,在第12圖的表面處理製程之後,可執行選擇性的熱退火製程610,以進一步驅使層堆疊121所含的氟(例如蓋層96和阻障層98中的氟自由基)進入閘極介電層94。在一些示範的實施例中,熱退火製程610在溫度約400℃和約700℃之間,例如550℃執行,且持續時間在約0.1秒和約300秒之間,例如30秒。在熱退火製程610後,氟的濃度範圍達到在1E15/cm2和1E17/cm2之間。
如前文所討論,表面處理製程(例如熱製程、電漿製程或膜沉積製程)可在阻障層98的表面上形成包含元素M(例如W、Mo)的層(未繪示)。在一些實施例中,在填充凹陷92之前,藉由合適的製程,例如蝕刻,移除這層元素M。在另一些實施例中,未移除包含元素M的層。作為替代地,包含元素M的層保留在阻障層98上,且用來作為部分的功函數層,以調整鰭式場效電晶體裝置100的臨界電壓Vt。為了簡化,包含元素M的層(如果沒移除)未繪示於後續的圖式中。
表面處理製程的實施例具有許多好處。隨著半導體裝置的尺寸在先進製程節點(advanced processing node)中愈變愈小,由於例如鰭和/或閘極結構太過靠近,可用於摻雜鰭式場效電晶體裝置的閘極介電層的離子植入製程的植入角度受到限制。因此,離子植入製程可能無法摻雜閘極介電層的某些區域,使其達到目標摻雜濃度。本發明實施例提供各種非破壞性和有效的方法來摻雜閘極介電層。因此,鰭式場效電晶體裝置100的時依性介電層崩潰(TDDB)表現得以改善。在揭露的表面處理製程中所使用的製程步驟,例如浸泡製程、膜沉積和 蝕刻,其在半導體製造中為成熟的製程步驟,且可輕易地使用。此外,在表面處理製程中使用的原材料為低成本的材料,因此允許低成本的表面處理製程。再者,揭露的表面處理製程可輕易地與現有的取代閘極(replacement gate)製程整合。舉例而言,在此揭露的表面處理製程不會改變所形成的金屬閘極膜堆疊,因此確保與現有的製程流程有良好的相容性。
接著,如第14至20圖所繪示,在第10至13圖所示的表面處理製程之後,繼續執行製程。參閱第14圖,閘極電極99沉積於阻障層98之上,且填充凹陷92的剩餘部分。閘極電極99可由含金屬的材料製成,例如Cu、Al、W、類似材料、前述之組合或多層之前述,且可藉由例如電鍍(electroplating)、無電解電鍍(electroless plating)、物理氣相沉積(PVD)、化學氣相沉積(CVD)或其它合適的方法形成。
接著,如第15圖所繪示,可執行平坦化製程,例如化學機械研磨,以移除閘極介電層94、蓋層96、阻障層98和閘極電極99的材料之多餘部分,多餘部分在第一層間介電層90的頂面上。閘極電極99、阻障層98、蓋層96和閘極介電層94的材料所產生的剩餘部分因此而形成所產生的鰭式場效電晶體裝置100的取代閘極97。
接著,在第16圖中,沉積第二層間介電層95於第一層間介電層90之上。在一些實施例中,第二層間介電層95為可流動的膜,其藉由可流動的化學氣相沉積(CVD)法形成。在一些實施例中,第二層間介電層95由介電材料形成,例如磷矽酸鹽玻璃(PSG)、硼矽酸鹽玻璃(BSG)、摻雜硼的磷矽酸鹽玻璃 (BPSG)、無摻雜的矽酸鹽玻璃(USG)或類似材料,且可藉由任何合適的方法沉積,例如化學氣相沉積(CVD)和電漿增強化學氣相沉積(PECVD)。用於接觸件102(見第20圖)的接觸開口91和93穿過第一層間介電層90和/或第二層間介電層95形成。舉例而言,接觸開口91穿過第二層間介電層95形成,且露出取代閘極97,且接觸開口93穿過第一層間介電層90和第二層間介電層95形成,且露出磊晶源極/汲極區80。在單一剖面圖中繪示接觸開口91/93作為範例,接觸開口91/93可在不同的剖面圖中。
接著,在第17圖中,在第二層間介電層95上形成阻障層104。在一些實施例中,阻障層104在第二層間介電層95上順應性地形成,且襯墊於接觸開口91/93的側壁和底部。阻障層104可包含導電材料,例如鈦(Ti)、氮化鈦(TiN)、鉭(Ta)、氮化鉭(TaN)或類似材料,且可使用化學氣相沉積(CVD)形成,例如電漿增強化學氣相沉積(PECVD)。然而,其它替代製程也可使用,例如濺鍍或金屬有機化學氣相沉積(MOCVD)、物理氣相沉積(PVD)、原子層沉積(ALD)。
在一些實施例中,在磊晶源極/汲極區80上方,例如在磊晶源極/汲極區80和阻障層104之間,形成矽化物區82。可在形成阻障層104之前,形成矽化物區82,儘管也可在形成阻障層104的製程期間形成矽化物區82。矽化物區82的形成可藉由在磊晶源極/汲極區80上先沉積能與半導體材料(例如矽、鍺)反應的金屬來形成矽化物(silicide)或鍺化物(germanide)區,前述金屬例如為鎳、鈷、鈦、鉭、鉑、鎢、其它貴重金屬(noble metal)、其它難熔金屬(refractory metal)、稀土金屬(rare earth metal)或前述之合金,,然後執行熱退火製程,以形成矽化物區82。然後移除沉積的金屬之未反應的部分,例如藉由蝕刻製程。儘管區域82稱為矽化物區,區域82亦可為鍺化物區或矽鍺化物(silicon germanide)區(例如含有矽和鍺的區域)。
接著,如第18圖所繪示,在阻障層104上形成晶種層(seed layer)108。可藉由物理氣相沉積(PVD)、原子層沉積(ALD)或化學氣相沉積(CVD)來沉積晶種層108,且晶種層108可由鎢、銅或銅合金形成,儘管其它合適的方法和材料也可替代使用。
一旦已經形成晶種層108,如第19圖所繪示,可形成導電材料110至晶種層108上,導電材料110填充且過度填充接觸開口91/93。導電材料110可包含鎢,儘管其它合適的材料也可替代使用,例如鋁、銅、氮化鵭(tungsten nitride)、釕(ruthenium)、銀、金、銠(rhodium)、鉬、鎳、鈷、鎘(cadmium)、鋅、前述之合金、前述之組合和類似材料。也可使用任何合適的沉積方法和迴焊(reflow)形成導電材料110,沉積方法例如為物理氣相沉積(PVD)、化學氣相沉積(CVD)、原子層沉積(ALD)、電鍍(plating)(例如電解電鍍(electroplating))。
參閱第20圖,一旦已填充接觸開口91/93,可透過平坦化製程,例如化學機械研磨(CMP),移除接觸開口91/93之外多餘的阻障層104、晶種層108和導電材料110,儘管也可使用任何合適的移除製程。因此,在接觸開口91/93中形成接觸件(插塞)102。
在此揭露的實施例有可能有各種變化和修改。舉 例而言,可結合前文所討論的表面處理製程的各種實施例,以進一步改善閘極介電層94中的氟濃度。作為範例,參考第10圖,可執行前文所討論的使用含氟氣體(例如WF6)的浸泡製程,接著參考第12圖,可執行前文所討論的膜沉積製程,然後可執行如第13圖所討論的熱退火製程,以進一步驅使氟進入閘極介電層94。其它變化是有可能的。舉例而言,可執行第10至11圖所繪示的製程,然後可接著執行第12至13圖所繪示的製程。因此,第10至14圖可說明表面處理製程的另一些實施例。本發明的實施例係討論使用氟作為閘極介電層94的摻雜物。在使用除了氟以外的元素作為摻雜物以改善閘極介電層94的時依性介電層崩潰(TDBB)表現的實施例中,可修改表面處理製程,使其使用含有除了氟以外的摻雜物之化學物質或前驅物。這些和其它對本發明實施例的修改是有可能的,且完全包含在本發明實施例的範圍內。
第21圖說明根據一些實施例之製造半導體裝置的方法1000的流程圖。應理解的是,第21圖所示的實施例方法僅為許多可能的實施例方法中的一個範例。本發明所屬技術領域中具有通常知識者可理解許多變化、替代和修改。舉例而言,可以增加、移除、取代,重排和重複第21圖中說明的各種步驟。
參閱第21圖,在步驟1010,在半導體鰭上形成虛設閘極結構。在步驟1020,在虛設閘極結構的相對兩側上形成介電層。在步驟1030,移除虛設閘極結構,以在介電層中形成凹陷。在步驟1040,在凹陷的側壁和底部上依序形成閘極介電層和至少一導電層。在步驟1050,用含氟(F)的化學物質處理 閘極介電層和前述至少一導電層
本發明實施例可達成許多優點。在本發明實施例中揭露的表面處理製程提供各種非破壞性和有效的方法來摻雜閘極介電層。因此,改善了半導體裝置的時依性介電層崩潰(TDDB)表現。在揭露的表面處理製程中所使用的製程步驟,例如浸泡製程、膜沉積和蝕刻,皆為成熟的半導體製程步驟,且容易使用。在表面處理製程中所使用的原材料為低成本的材料。再者,所揭露的表面處理製程能輕易地與現有的取代閘極製程整合。舉例而言,使用在此揭露的方法所形成的金屬閘極膜堆疊不會有改變,因此確保與現有的製程流程有良好的相容性。
在一些實施例中,半導體裝置的形成方法包含在半導體鰭上形成虛設閘極結構,在虛設閘極結構的相對兩側形成介電層,以及移除虛設閘極結構,以在介電層中形成凹陷。此方法還包含在凹陷的側壁和底部上依序形成閘極介電層和至少一導電層,以及用含氟(F)的化學物質處理閘極介電層和上述至少一層導電層。
在一些實施例中,其中形成閘極介電層和上述至少一導電層包含在凹陷的側壁和底部上形成閘極介電層,在閘極介電層上形成蓋層,蓋層包含第一導電材料,以及在蓋層上形成阻障層,阻障層包含不同於第一導電材料的第二導電材料。
在一些實施例中,其中閘極介電層包含高介電常數(high-K)介電材料。
在一些實施例中,其中蓋層包含氮化鈦(TiN),且阻障層包含氮化鉭(TaN)。
在一些實施例中,其中閘極介電層的處理驅使氟進入閘極介電層。
在一些實施例中,其中閘極介電層的處理包含使用六氟化鎢(WF6)執行熱製程。
在一些實施例中,其中閘極介電層的處理包含使用三氟化氮(NF3)執行電漿製程。
在一些實施例中,其中閘極介電層的處理包含使用含氟前驅物在上述至少一導電層上形成膜。
在一些實施例中,其中含氟前驅物包含六氟化鎢(WF6)或六氟化鉬(MoF6)。
在一些實施例中,前述方法更包含在處理閘極介電層之後,執行熱退火製程。
在一些實施例中,其中閘極介電層的處理包含使閘極介電層和上述至少一導電層受到包含氟的氣體或包含氟的電漿影響,使用包含氟的前驅物在上述至少一導電層上沉積膜,以及在沉積膜之後,執行熱退火製程。
在一些實施例中,前述方法更包含在處理閘極介電層之後,使用導電材料填充凹陷。
在一些實施例中,鰭式場效電晶體(Fin Field-Effect Transistor,FinFET)的形成方法包含提供基底,其具有鰭突出於隔離結構的上表面上方,且隔離結構設置於鰭的相對兩側,在鰭上形成第一閘極結構,形成層間介電層圍繞第 一閘極結構,且層間介電層露出第一閘極結構的上表面,以及移除第一閘極結構,以在層間介電層中形成凹陷。此方法還包含在凹陷中形成層堆疊,其中形成層堆疊包含在凹陷中順應地形成高介電常數介電層,在高介電常數介電層上順應地形成導電蓋層,和在導電蓋層上順應地形成導電的阻障層。此方法更包含使用包含氟的化學物質對層堆疊執行表面處理製程,其中表面處理製程驅使氟進入高介電常數介電層,以及在表面處理製程之後,執行熱退火製程。
在一些實施例中,其中執行表面處理製程包含將層堆疊浸泡於包含氟的氣體中,將層堆疊浸泡於包含氟的電漿中,或使用含氟前驅物在導電的阻障層上沉積膜。
在一些實施例中,其中執行表面處理製程包含將層堆疊浸泡於含氟氣體或含氟電漿中,以及於前述浸泡之後,使用含氟前驅物在導電的阻障層上沉積膜。
在一些實施例中,前述方法更包含在熱退火製程之後移除膜,以及用導電材料填充凹陷。
在一些實施例中,半導體裝置的形成方法包含形成介電層,用至少一導電層覆蓋介電層,在上述至少一導電層上供應含氟前驅物,以及驅使含氟前驅物的氟進入介電層。
在一些實例中,其中含氟前驅物為含氟氣體或含氟電漿。
在一些實施例中,其中供應含氟前驅物將膜沉積於上述至少一導電層上。
在一些實施例中,其中介電材料包含高介電常數 介電材料,且其中驅使含氟前驅物的氟進入介電層包含至少一熱驅入製程(thermal drive-in process)。
以上概述了數個實施例的部件,使得在本發明所屬技術領域中具有通常知識者可以更加理解本發明實施例的各方面。在本發明所屬技術領域中具有通常知識者應該理解,他們可以容易地使用本發明實施例作為基礎,來設計或修改用於實施與在此所介紹實施例具有相同的目的及/或達到相同優點的其他製程和結構。在本發明所屬技術領域中具有通常知識者也應該理解,這些等效的構造並不背離本發明的精神和範圍,並且在不背離本發明的精神和範圍的情況下,在此可以做出各種改變、取代或其他選擇。因此,本發明之保護範圍當視後附之申請專利範圍所界定為準。

Claims (1)

  1. 一種半導體裝置的形成方法,包括:在一半導體鰭上形成一虛設閘極結構;在該虛設閘極結構的相對兩側形成一介電層;移除該虛設閘極結構,以在該介電層中形成一凹陷;在該凹陷的側壁和一底部上依序形成一閘極介電層和至少一導電層;以及用一含氟的化學物質處理該閘極介電層和該至少一導電層。
TW106139476A 2017-07-31 2017-11-15 半導體裝置的形成方法 TW201911390A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762539214P 2017-07-31 2017-07-31
US62/539,214 2017-07-31
US15/705,034 US10276690B2 (en) 2017-07-31 2017-09-14 Semiconductor device and method
US15/705,034 2017-09-14

Publications (1)

Publication Number Publication Date
TW201911390A true TW201911390A (zh) 2019-03-16

Family

ID=65039073

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106139476A TW201911390A (zh) 2017-07-31 2017-11-15 半導體裝置的形成方法

Country Status (4)

Country Link
US (2) US10276690B2 (zh)
KR (1) KR102010665B1 (zh)
CN (1) CN109326515A (zh)
TW (1) TW201911390A (zh)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112563127B (zh) * 2019-09-26 2023-10-31 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
DE102020100099A1 (de) * 2019-09-30 2021-04-01 Taiwan Semiconductor Manufacturing Co., Ltd. Gatestrukturen in halbleitervorrichtungen
US11756832B2 (en) * 2019-09-30 2023-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Gate structures in semiconductor devices
US11387344B2 (en) * 2020-02-27 2022-07-12 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device having a doped work-function layer
US11784052B2 (en) * 2020-05-28 2023-10-10 Taiwan Semiconductor Manufacturing Co., Ltd. Dipole-engineered high-k gate dielectric and method forming same
US11430893B2 (en) * 2020-07-10 2022-08-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US11437474B2 (en) 2020-08-17 2022-09-06 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structures in transistors and method of forming same
US11610982B2 (en) * 2020-09-15 2023-03-21 Taiwan Semiconductor Manufacturing Co., Ltd. Void elimination for gap-filling in high-aspect ratio trenches
US20230038744A1 (en) * 2021-08-06 2023-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Chemical vapor deposition for uniform tungsten growth
US11581416B1 (en) * 2021-08-19 2023-02-14 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structures in semiconductor devices
US11908702B2 (en) 2021-08-19 2024-02-20 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structures in semiconductor devices
US20230066477A1 (en) * 2021-08-31 2023-03-02 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structures in transistors and method of forming same

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6191463B1 (en) 1997-07-15 2001-02-20 Kabushiki Kaisha Toshiba Apparatus and method of improving an insulating film on a semiconductor device
US20080054361A1 (en) * 2006-08-30 2008-03-06 Infineon Technologies Ag Method and apparatus for reducing flicker noise in a semiconductor device
US8487378B2 (en) 2011-01-21 2013-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Non-uniform channel junction-less transistor
US8887106B2 (en) 2011-12-28 2014-11-11 Taiwan Semiconductor Manufacturing Company, Ltd. Method of generating a bias-adjusted layout design of a conductive feature and method of generating a simulation model of a predefined fabrication process
US8729634B2 (en) 2012-06-15 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with high mobility and strain channel
CN103681276B (zh) * 2012-09-18 2016-08-31 中芯国际集成电路制造(上海)有限公司 金属栅极、mos晶体管及cmos结构分别的形成方法
KR101934829B1 (ko) 2012-10-23 2019-03-18 삼성전자 주식회사 반도체 장치 및 반도체 장치의 제조 방법
US8826213B1 (en) 2013-03-11 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Parasitic capacitance extraction for FinFETs
US8943455B2 (en) 2013-03-12 2015-01-27 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for layout verification for polysilicon cell edge structures in FinFET standard cells
CN104347503A (zh) * 2013-07-30 2015-02-11 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制造方法
US9196475B2 (en) * 2014-04-16 2015-11-24 GlobalFoundries, Inc. Methods for fabricating integrated circuits including fluorine incorporation
KR20150144192A (ko) * 2014-06-16 2015-12-24 삼성전자주식회사 반도체 장치 및 그 제조 방법
KR102264542B1 (ko) * 2014-08-04 2021-06-14 삼성전자주식회사 반도체 장치 제조 방법
US9812577B2 (en) * 2014-09-05 2017-11-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and fabricating method thereof
US9548372B2 (en) * 2015-01-29 2017-01-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with tunable work function
US9947540B2 (en) * 2015-07-31 2018-04-17 Taiwan Semiconductor Manufacturing Company, Ltd. Pre-deposition treatment and atomic layer deposition (ALD) process and structures formed thereby
JP6850096B2 (ja) * 2015-09-24 2021-03-31 株式会社半導体エネルギー研究所 半導体装置の作製方法及び電子機器の作製方法
CN106601606B (zh) * 2015-10-19 2019-09-20 中芯国际集成电路制造(上海)有限公司 Nmos器件、半导体装置及其制造方法
US9799745B2 (en) 2015-10-20 2017-10-24 Taiwan Semiconductor Manufacturing Co., Ltd. Atomic layer deposition methods and structures thereof
US9502307B1 (en) 2015-11-20 2016-11-22 International Business Machines Corporation Forming a semiconductor structure for reduced negative bias temperature instability
CN106847685A (zh) * 2015-12-07 2017-06-13 中芯国际集成电路制造(上海)有限公司 高k金属栅晶体管的形成方法
US20170222026A1 (en) * 2016-02-03 2017-08-03 United Microelectronics Corp. Method of fabricating fin field effect transistor
EP3244447A1 (en) 2016-05-11 2017-11-15 IMEC vzw Method for forming a gate structure and a semiconductor device
US9812358B1 (en) * 2016-09-14 2017-11-07 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET structures and methods of forming the same
US10134873B2 (en) * 2016-11-18 2018-11-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device gate structure and method of fabricating thereof

Also Published As

Publication number Publication date
US10847637B2 (en) 2020-11-24
US10276690B2 (en) 2019-04-30
KR20190013403A (ko) 2019-02-11
US20190259861A1 (en) 2019-08-22
KR102010665B1 (ko) 2019-08-13
US20190035916A1 (en) 2019-01-31
CN109326515A (zh) 2019-02-12

Similar Documents

Publication Publication Date Title
US10847637B2 (en) Semiconductor device and method
US11495501B2 (en) Fin field-effect transistor devices and methods of forming the same
US11901183B2 (en) Fin field-effect transistor device and method of forming the same
US11688648B2 (en) Gate structure of a semiconductor device and method of forming same
KR102261355B1 (ko) 핀 전계 효과 트랜지스터 디바이스 및 이의 형성 방법
CN111261519B (zh) 鳍式场效应晶体管器件及其形成方法
US10811253B2 (en) Methods of fabricating semiconductor devices having crystalline high-K gate dielectric layer
US11342454B2 (en) Semiconductor device and method
US10867860B2 (en) Methods of forming FinFET device
US11610982B2 (en) Void elimination for gap-filling in high-aspect ratio trenches
US11978801B2 (en) Fin field-effect transistor device and method of forming the same
KR102568605B1 (ko) 트랜지스터 게이트 구조물 및 이의 형성 방법
TWI789761B (zh) 半導體元件與其製造方法
US20220293742A1 (en) Fin field-effect transistor device and method
US20230103483A1 (en) Fin field-effect transistor device and method of forming the same
US20240136191A1 (en) Fin field-effect transistor device and method of forming the same
US20230238271A1 (en) Semiconductor Device and Method