TW201812847A - 使用具有多種材料之一層的基板圖案化方法 - Google Patents

使用具有多種材料之一層的基板圖案化方法 Download PDF

Info

Publication number
TW201812847A
TW201812847A TW106116566A TW106116566A TW201812847A TW 201812847 A TW201812847 A TW 201812847A TW 106116566 A TW106116566 A TW 106116566A TW 106116566 A TW106116566 A TW 106116566A TW 201812847 A TW201812847 A TW 201812847A
Authority
TW
Taiwan
Prior art keywords
layer
substrate
etching
patterning
sidewall spacers
Prior art date
Application number
TW106116566A
Other languages
English (en)
Other versions
TWI657484B (zh
Inventor
尼哈爾 莫漢蒂
安德魯 W 梅茨
安東 J 德維利耶
安潔莉 D 萊利
高明輝
披特 拜歐錫
Original Assignee
東京威力科創股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 東京威力科創股份有限公司 filed Critical 東京威力科創股份有限公司
Publication of TW201812847A publication Critical patent/TW201812847A/zh
Application granted granted Critical
Publication of TWI657484B publication Critical patent/TWI657484B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0335Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by their behaviour during the process, e.g. soluble masks, redeposited masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Medicines That Contain Protein Lipid Enzymes And Other Medicines (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

本文中的技術達成無需蝕刻用腐蝕性氣體之堆疊材料與複數本質材料的整合。該等技術達成用於自對準圖案縮減的多線層,其中可將所有覆層或本質或材料限制於含矽材料及有機材料。如此之技術針對自對準區塊利用與完全非腐蝕性蝕刻相容的堆疊而達成了用於5nm產線後段凹槽圖案化的自對準區塊整合。實施例包含基於材料類型、及/或材料高度、及蝕刻速率,利用相同材料但不同高度的複數線來提供對於若干線其中一者的蝕刻選擇性。

Description

使用具有多種材料之一層的基板圖案化方法
本揭露內容相關於基板處理,且更尤其相關於用於包含半導體晶圓圖案化之基板圖案化的技術。 [相關申請案之交互參考]
本申請案主張2016年5月23日申請、名為 「Method for Patterning a Substrate Using a Layer with Multiple Materials」之美國臨時專利申請案第62/340,279號的權利,其係整體併入於此,以供參考。
微影製程中縮減線寬的方法歷來已涉及使用較大數值孔徑(NA, numerical aperture) 的光學元件、較短的曝光波長、或非空氣介面媒體(例如,水浸)。當習知微影製程的解析度已接近理論上的極限時,製造者已開始轉向雙重圖案化(DP, double-patterning) 方法,以克服光學上的限制。
在材料處理方法(如光微影) 中,產生圖案化層包含施加一輻射敏感性材料(例如,光阻)薄層至基板的上表面。將該輻射敏感性材料轉變成起伏圖案,其可用作將圖案轉移至基板上之下方層中的蝕刻遮罩。輻射敏感性材料的圖案化通常涉及使用例如光微影系統而透過倍縮遮罩(及相關光學元件) 將光化輻射曝光至輻射敏感性材料上。然後,該曝光之後可為利用顯影溶劑移除輻射敏感性材料的經照射區域(在正光阻的情形中)、或未經照射區域(在負光阻的情形中)。此遮罩層可包含複數子層。
將輻射或光之圖案曝光至基板上的習知微影技術具有諸多挑戰,其限制所曝光之特徵部的尺寸、並限制所曝光之複數特徵部之間的節距或間距。緩解曝光限制的一習知技術係使用雙重圖案化方法之技術,從而相較於目前利用習知微影技術之可能情形,容許更小節距下之更小特徵部的圖案化。
半導體技術正持續向更小的特徵部尺寸進步,包含14奈米、7 nm、5 nm、及更小的特徵部尺寸。如此之特徵部尺寸的持續減小(各種元件係由該等特徵部製成) 對用來形成該等特徵部之技術的要求越來越高。「節距」的概念可用以描述該等特徵部的尺寸。節距為兩相鄰的重複特徵部中之兩相同的點之間的距離。半節距則為一陣列中之相同特徵部之間距離的一半。
節距減小技術 (通常有些錯誤,但習慣上仍稱為「節距倍增」(pitch multiplication),例如「節距雙重化」等) 可將光微影的能力擴展超越特徵部尺寸限制(光學解析度限制)。亦即,習知的節距倍增某一因數 (更準確而言,節距減小、或節距密度的倍增)涉及將目標節距減小一特定因數。與193nm浸入式微影一起使用的雙重圖案化技術習知上視為使22nm或更小節點圖案化的最有前景的技術之一。值得注意的是,自對準雙重圖案化 (SADP, self-aligned double patterning) 已建立成為節距密度雙重化製程,且已用於NAND快閃記憶體裝置的大量製造中。此外,重複SADP步驟兩次作為節距四重化,可獲得極精細的解析度。
雖然存在若干的圖案化技術來增加圖案密度或節距密度,但習知的圖案化技術卻遭遇解析度不佳或蝕刻特徵部表面粗糙的問題。因此,習知技術無法提供極小尺寸(20nm及更小) 所期望之均勻度及保真度的程度。可靠的微影技術可產生具有約80nm之節距的特徵部。然而,習知及新興的設計規格期望製作具有小於約20nm或10nm之臨界尺寸的特徵部。此外,利用節距密度雙重化及四重化技術可產生次解析度線,但在該等線之間製作切口或連接部則具有挑戰性,尤其是因為如此之切口所需的節距及尺寸遠小於習知光微影系統的能力所及。
為維持節距縮放,在節點7及更進一步的情形中,產線後段(BEOL, back-end-of-line) 凹槽圖案化需要次36nm節距。儘管如此小節距的圖案化可能具有挑戰性,但其仍可藉由包含EUV 13.5nm微影自對準雙重圖案化(SADP)、193nm自對準四重圖案化(SAQP, self-aligned quadruple patterning) 的諸多方法達成,或者經由定向自組裝(DSA, directed self-assembly) 達成。凹槽圖案化製程中的關鍵步驟係區域選擇性區塊化處理,其中無數的線被切割成鏈狀或線端等,以形成最終期望的凹槽佈局。當節距越來越小,如此之區塊圖案的重疊要求超越了微影工具的能力所及,這可能阻止BEOL中持續的節距縮放。
本文中所揭露之技術提供節距減小(增加節距/特徵部密度)的方法,其用以產生高解析度特徵部,且亦用以在次解析度特徵部的節距上進行切割。本文中的技術達成了整合不需蝕刻用腐蝕性氣體的堆疊材料與不同「本質」材料。實施例可包含可將所有覆層或本質或材料(不同的耐蝕刻性) 限制於含矽材料及有機材料。如此之技術針對自對準區塊利用與完全非腐蝕性蝕刻相容的堆疊而達成了用於5nm產線後段凹槽圖案化的自對準區塊整合。自對準區塊化消除了直接微影/區塊圖案之難以處理的重疊要求,且將微影挑戰替換為蝕刻選擇性挑戰。具有不同本質之複數線(具有不同耐蝕刻性的材料) 的多線層係用以進一步縮窄或減小微影圖案中的開口。具有不同本質的複數線在本文中可利用同一材料之高度不同的複數線而達成。
當然,如本文中所描述之不同步驟的討論順序已為明確起見而呈現。通常,該等步驟可以任何適當的順序執行。此外,儘管本文中不同特徵、技術、配置等的每一者可能在本揭露內容的不同位置處討論,但其意圖在於概念的每一者可彼此獨立或彼此相結合而實施。據此,本發明可以許多不同的方式加以實施及審視。
應注意,本發明內容章節並不具體說明本揭露內容或所請發明的每一實施例及/或漸增新穎實施態樣。反而,本發明內容章節僅提供不同實施例的初步討論、以及相對於習知技術之新穎性的對應點。就本發明及實施例的額外細節及/或可能觀點而言,讀者被導引至如以下進一步討論之本揭露內容的實施方式章節及對應圖式。
本文中所揭露之技術提供節距減小(增加節距/特徵部密度) 的方法,用以產生高解析度特徵部,且亦用以在次解析度特徵部的節距上進行切割。本文中的技術達成了整合不需蝕刻用腐蝕性氣體的堆疊材料與複數本質材料。實施例可包含可將全部覆層或本質或材料(具有不同耐蝕刻性的材料) 限制於含矽材料及有機材料。如此之技術針對自對準區塊利用與完全非腐蝕性蝕刻相容的堆疊而達成了用於5nm產線後段凹槽圖案化的自對準區塊整合。就一些製造製程而言,期望限制腐蝕性蝕刻,因為腐蝕性蝕刻可能導致缺陷。用於蝕刻之例示性非腐蝕性化學成分包括不含氯、溴、或碘的成分或化合物。自對準區塊是指本發明人所發明、利用不同材料的複數線來實現次解析度圖案化的技術。具有解析度上(或較大)之開口的蝕刻遮罩係形成於如此之多線層之上或之下,然後特定材料線的一或更多者受選擇性蝕刻,以進一步縮窄或縮減由蝕刻遮罩所定義者。
一實施例包含圖案化基板的方法。圖1A~18A及1B~18B說明例示性流程。現在參照圖1A及1B,設置基板105,其具有定位於目標層107上的心軸層115。應注意,可將一或更多膜層定位於心軸層115於目標層107之間。光阻心軸遮罩或蝕刻遮罩103係形成於心軸層115上 (心軸將由該心軸層115形成)。應注意,光阻心軸遮罩可包含任何額外的中間層,例如平坦化層、抗反射塗佈層等,且因此蝕刻遮罩103本身可不與心軸層115直接接觸。在該等及後續圖式中,標有「A」的圖式描繪正受處理之例示性基板部分的橫剖面側視圖,而標有「B」的圖式描繪同一基板部分的俯視圖,且點劃線顯示對應之橫剖面側視圖切割之處。應注意,為方便辨識材料,俯視圖使用與橫剖面圖中類似的材料剖面線,即使俯視圖不描繪橫剖面而是顯示頂部表面亦然。
使用蝕刻遮罩103、利用例如方向性或非等向性蝕刻使心軸110形成於基板的目標層上。心軸110係由第一材料組成,而目標層係由第三材料組成。圖2A及2B說明例示性結果。
接下來,使側壁間隔件121形成於心軸110上。側壁間隔件可藉由在基板105上沉積保形膜120而形成 。圖3A及3B顯示如此之保形沉積的例示性結果。應注意,如此之膜層係沉積成在水平及垂直表面二者上皆具有大致上均勻的厚度。移除心軸110之頂部表面以上之保形膜的部分,而留下心軸110之頂部表面以下的保形膜,使得側壁間隔件121形成在心軸110的垂直側壁上,且使得保形膜覆蓋介於相鄰側壁間隔件之間的目標層107。此保形膜係由第二材料組成。
有諸多技術來移除保形膜的頂部表面。在一替代方案中,填充材料130係沉積於基板上。填充材料填充由保形膜定義的凹槽,且通常具有材料之過度覆蓋部。圖4A及4B說明例示性結果。然後可執行化學機械拋光 (CMP, chemical-mechanical polishing) 步驟,其移除心軸110之頂部表面以上的保形膜材料及填充材料130。圖5A及5B顯示例示性結果。應注意,基板係被平坦化至心軸110的頂部表面,而在由側壁間隔件121所定義的凹槽中留下填充材料130的栓塞部。
用以移除保形膜之頂部表面的替代技術係以蝕刻為基礎。可執行填充材料130的部分蝕刻,以露出保形膜120的頂部表面。例示性結果係說明於圖6A及6B中。例如,基於時間或終點偵測,可執行基於電漿的乾式蝕刻。若填充材料130具有溶解度偏移(可顯影)的能力,則另一選項係濕式蝕刻,其係利用填充材料130之頂部部分的擴散限制溶解度偏移。露出保形膜120的頂部表面後,可執行間隔件露出蝕刻,以部分地蝕刻保形膜,直到露出心軸110的頂部表面。這留下心軸110上的側壁間隔件121。保形膜材料仍覆蓋介於側壁間隔件121之間的目標層107,因為填充材料130之栓塞部阻止此底部部分被蝕刻。例示性結果係在圖7A及7B中說明。在完成此間隔件露出蝕刻之後,如圖8A及8B中所示,可移除其餘的填充材料130。然後已產生多線層,但間隔件材料的一部分覆蓋目標層107,而不是開放的或以不同材料覆蓋的。
現在參考圖9A及9B,第一蝕刻遮罩141係形成於基板上。填充材料131可在形成蝕刻遮罩之前沉積於基板上,以作為平坦化層。第一蝕刻遮罩141定義用以露出第一材料(心軸110) 及第二材料(側壁間隔件121) 二者之複數區域的複數開口。第一材料及第二材料具有相對於彼此不同的耐蝕刻性。這意指就一或更多特定的蝕刻化學成分而言,可選擇性蝕刻該等材料的其中一者,而不蝕刻另一材料,這意指相較於一材料,另一材料不發生蝕刻、或例如藉由具有四分之一或更慢的蝕刻速率而被蝕掉非實質的量。儘管從通過蝕刻遮罩的頂部視角僅見兩類型的材料,但因為側壁間隔件與側壁間隔件之間的保形膜的不同高度,故如此之高度差異效果上提供了三材料線,使得蝕刻遮罩141可藉由用於蝕刻位置選擇之三條交替材料線而進一步被縮窄,而僅需要基於蝕刻化學成分的兩類型材料。該兩材料皆可選擇成能夠利用非腐蝕性蝕刻化學成分進行蝕刻。
在蝕刻遮罩141定位於基板上的情況下,例如藉由方向性蝕刻可移除填充材料131的露出部分。移除填充材料131的該部分使心軸110及側壁間隔件121露出。圖10A及10B顯示此步驟之後的基板。
執行第一蝕刻製程,其選擇性地蝕刻第二材料的露出部分,直到覆蓋相鄰側壁間隔件121之間之目標層107的保形膜被移除,而側壁間隔件121保留於基板上。例示性結果係在圖11A及11B中說明。應注意,目標層107的一部分現在從上方可見,這意指該等部分現在係露出來的。亦應注意,此第一蝕刻製程降低了側壁間隔件121的高度。然後如圖12A及12B中所示,可移除填充材料131及蝕刻遮罩141。
現在參考圖13A及13B,第二蝕刻遮罩142係形成於基板上。填充材料132可在形成蝕刻遮罩前沉積於基板上。第二蝕刻遮罩142定義用以露出第一材料(心軸110) 及第二材料(側壁間隔件121) 二者之複數區域的複數開口。第一材料及第二材料具有相對於彼此不同的耐蝕刻性。
在蝕刻遮罩142定位於基板上的情況下,例如藉由方向性蝕刻可移除填充材料132的露出部分。移除填充材料132的此部分使心軸110及側壁間隔件121露出。圖14A及14B顯示此步驟之後的基板。
執行第二蝕刻製程,其選擇性地蝕刻第一材料的露出部分,直到露出的心軸110被移除。例示性結果係在圖15A及15B中說明。應注意,目標層107的一部分現在從上方可見,這意指該等部分現在係露出來的。然後如圖16A及16B中所示,可移除填充材料132及蝕刻遮罩142。
心軸的其餘部分、側壁間隔件、及覆蓋介於相鄰側壁間隔件之間之目標層的保形膜一起形成組合起伏圖案,該組合起伏圖案可用作蝕刻遮罩,以轉移圖案至目標層107或其他記憶層中。圖17A及17B說明蝕刻目標層107之露出部分的結果。圖18A及18B則顯示完成圖案轉移後的圖案化目標層107。據此,可產生次解析度特徵部,且其係在無腐蝕性蝕刻的情況下形成。
圖19A~32A及19B~32B說明另一例示性實施例。現在參考圖19A及19B,設置基板105,其具有定位於目標層107上的心軸層115。應注意,一或更多膜層可沉積於心軸層115及目標層107之間。光阻心軸遮罩或蝕刻遮罩103係形成於心軸層上。
然後,心軸110係形成於基板的目標層107上。心軸係由第一材料組成,而目標層係由第三材料組成。第一材料膜116覆蓋介於複數心軸之間的目標層,使得心軸110的頂部表面在高度上相較於第一材料膜的頂部表面更高。如此之高度差異至少係第一材料膜116之厚度的兩倍。這係在圖20A及20B中說明。如此之心軸可藉由部分地蝕穿第一材料層、而不完全地蝕穿該第一材料層而形成。作為形成心軸110之製程的一部分,如此之部分蝕刻實質上在目標層上留下相對薄厚度的第一材料。
然後,如圖21A及21B中所示,保形膜120可沉積於基板上。可執行間隔件露出蝕刻,以形成如圖22A及22B中所示的側壁間隔件121。側壁間隔件121係由第二材料組成。側壁間隔件121在彼此之間定義開放空間,其使得第一材料膜116露出來。
如圖23A及23B,基板可利用填充材料131而平坦化,且然後具有第一蝕刻遮罩141形成於其上。可蝕刻露出的填充材料131,以露出如圖24A及24B中所示的多線層。接下來,可選地,可蝕刻露出的第一材料膜116,直到目標層107露出來。應注意,露出的心軸亦將被蝕刻與第一材料膜相同的量/速率,且因此該等露出的心軸在高度上將降低,但仍具有足夠的高度來覆蓋基板。圖25A及25B顯示例示性結果。如圖26A及26B中所示,可移除其餘的填充材料131及蝕刻遮罩材料。
如圖27A及27B,基板可利用填充材料132而平坦化,且然後具有第二蝕刻遮罩142形成於其上。可蝕刻露出的填充材料132,以露出如圖28A及28B中所示的多線層。接下來,可選地,可蝕刻露出的心軸110,直到目標層107露出來。圖29A及29B顯示例示性結果。如圖30A及30B中所示,可移除其餘的填充材料132及蝕刻遮罩材料。
心軸的其餘部分、側壁間隔件、及第一材料膜一起形成組合起伏圖案,該組合起伏圖案可用作蝕刻遮罩,以轉移圖案至目標層107或其他記憶層中。圖31A及31B說明蝕刻目標層107之露出部分的結果。圖32A及32B則顯示完成圖案轉移後的圖案化目標層107。
圖33A~46A及33B~46B說明另一例示性實施例。現在參考圖33A及33B,設置基板105,其具有定位於目標層107上的心軸層115。光阻心軸遮罩或蝕刻遮罩103係形成於該心軸層上。心軸層115可在目標層107上形成為三層式堆疊。該三層式堆疊包含底部層111、中間層112、及上部層113,且每一層係沉積為水平且均質的覆層。上部層113係由第一材料組成、中間層112係由第五材料組成、底部層111係由第六材料組成、且目標層107係由第三材料組成。
然後,藉由使用蝕刻遮罩103、以及執行將心軸圖案蝕刻至上部層113中直到露出中間層112為止的第一蝕刻製程,心軸110係形成於基板的目標層107上,且係由第一材料組成。中間層具有相較於上部層不同的耐蝕刻性,使得中間層提供形成心軸之蝕刻製程用的蝕刻停止層。圖34A及34B顯示例示性結果。
然後,如圖35A及35B中所示,保形膜120可沉積於基板上。可執行間隔件露出蝕刻,以形成如圖36A及36B中所示的側壁間隔件121。側壁間隔件121係由第二材料組成。側壁間隔件在彼此之間定義使底部層111露出來的開放區域。應注意,可利用不同的蝕刻化學成分來蝕刻保形膜120及中間層112。或者,保形膜120及中間層112可為相同的材料、或可具有相同的耐蝕刻性,從而能夠利用同一蝕刻化學成分來蝕刻該材料二者。
如圖37A及37B,基板可利用填充材料131而平坦化,且然後具有第一蝕刻遮罩141形成於其上。可蝕刻露出的填充材料131,以露出如圖38A及38B中所示的多線層。接下來,可選地,可蝕刻底部層111的露出部分,直到覆蓋相鄰側壁間隔件之間之目標層的底部層111被移除,而側壁間隔件保留於基板上。結果係在圖39A及39B中說明。應注意,若露出之心軸與底部層二者的材料皆具有相同的耐蝕刻性,則該露出之心軸亦可與該底部層被蝕刻相同的量。如圖40A及40B中所示,可移除其餘的填充材料132及蝕刻遮罩材料。
如圖41A及41B,基板可利用填充材料132而平坦化,且然後具有第二蝕刻遮罩142形成於其上。可蝕刻露出的填充材料132,以露出如圖42A及42B中所示的多線層。接下來,可選地,可蝕刻露出的心軸110直到中間層112露出來,然後蝕刻該中間層的露出部分,然後蝕刻底部層111的露出部分直到目標層107露出來。圖43A及43B顯示例示性結果。如圖44A及44B中所示,可移除其餘的填充材料132及蝕刻遮罩材料。
心軸的其餘部分、側壁間隔件、及第一材料膜一起形成組合起伏圖案,該組合起伏圖案可用作蝕刻遮罩,以轉移圖案至目標層107或其他記憶層中。圖45及45B說明蝕刻目標層107之露出部分的結果。圖46A及46B則顯示完成圖案轉移後的圖案化目標層107。
在先前之描述內容中,已提出如處理系統之特定幾何結構、及其中所用諸多元件及製程之說明的特定細節。然而,應理解,本文中之技術可在背離該等特定細節的其他實施例中實施、以及如此之細節係用於解釋且非限制的目的。本文中所揭露之實施例已參照隨附圖式加以描述。類似地,針對解釋的目的,已提出特定的數目、材料、及配置,以提供透徹的理解。然而,實施例可在沒有如此之特定細節的情形中實施。具有實質上相同功能性結構的元件係以相似參考符號表示,且由此可省略任何重複的描述。
各種不同的技術已描述為複數的分離操作,以幫助理解各種不同的實施例。描述的順序不應被視為暗示該等操作必須順序相依。實際上,該等操作不必以所呈現之順序執行。所描述之操作可按照不同於所述實施例的順序而執行。在附加的實施例中,可執行諸多額外的操作、且/或可省略所述操作。
依據本發明,本文中所使用之「基板」或「目標基板」一般是指受處理的物體。基板可包含裝置 (特別是半導體或其他電子裝置) 的任何材料部分或結構,且舉例而言,可為基礎基板結構,如半導體晶圓、倍縮遮罩、或基礎基板結構上或覆蓋該基礎基板結構的膜層(如薄膜)。因此,基板不受限於任何特定的基礎結構、下方層或上方層、圖案化或非圖案化,反而基板被認為包含任何的如此之覆層或基礎結構、以及覆層及/或基礎結構的任何組合。描述內容可參照特定類型的基板,但其僅為說明性的目的。
熟習該領域技術者亦將理解,針對以上所解釋之技術的操作可作出諸多不同變化,而仍達成本發明之同樣的目標。如此之變化意在涵蓋於本揭露內容的範疇中。因此,本發明之實施例的前述內容不意圖為限制性。反而,對於本發明之實施例的任何限制係呈現於以下申請專利範圍中。
103‧‧‧蝕刻遮罩
105‧‧‧基板
107‧‧‧目標層
110‧‧‧心軸
111‧‧‧底部層
112‧‧‧中間層
113‧‧‧上部層
115‧‧‧心軸層
116‧‧‧第一材料膜
120‧‧‧保形膜
121‧‧‧側壁間隔件
130‧‧‧填充材料
131‧‧‧填充材料
132‧‧‧填充材料
141‧‧‧蝕刻遮罩
142‧‧‧蝕刻遮罩
結合隨附圖式考量的情況下,參照以下詳細說明,本發明之諸多實施例的更完整的理解及其許多伴隨的優勢將變得顯而易見。圖式未必依比例繪製,而是強調說明特徵、原理、及概念。
圖1A~18A為例示性基板部分的橫剖面側視圖,其顯示根據本文中所揭露之實施例的處理流程。
圖1B~18B為根據本文中所揭露之實施例,從圖1A至18A之對應例示性基板部分的俯視圖。點劃線指示對應之橫剖面側視圖的橫切面。
圖19A~32A為例示性基板部分的橫剖面側視圖,其顯示根據本文中所揭露之實施例的處理流程。
圖19B~32B為根據本文中所揭露之實施例,從圖19A至32A之對應例示性基板部分的俯視圖。點劃線指示對應之橫剖面側視圖的橫切面。
圖33A~46A為例示性基板部分的橫剖面側視圖,其顯示根據本文中所揭露之實施例的處理流程。
圖33B~46B為根據本文中所揭露之實施例,從圖33A至46A之對應例示性基板部分的俯視圖。點劃線指示對應之橫剖面側視圖的橫切面。

Claims (16)

  1. 一種圖案化基板的方法,該方法包含: 在一基板的一目標層上形成複數心軸,該等心軸係由第一材料組成,該目標層係由第三材料組成; 藉由在該基板上沉積一保形膜,以及移除該等心軸之頂部表面以上的該保形膜的複數部分、而留下該等心軸之頂部表面以下的該保形膜,從而在該等心軸的側壁上形成複數側壁間隔件,使得該等側壁間隔件係形成於該等心軸的垂直側壁上,且使得該保形膜覆蓋介於相鄰側壁間隔件之間的該目標層,該保形膜係由第二材料組成; 在該基板上形成一第一蝕刻遮罩,該第一蝕刻遮罩定義用以露出該第一材料及該第二材料二者之複數區域的複數開口,該第一材料及該第二材料具有不同的耐蝕刻性;以及 執行一第一蝕刻製程,其選擇性地蝕刻該第二材料的露出部分,直到覆蓋相鄰側壁間隔件之間之該目標層的該保形膜被移除,而該等側壁間隔件保留於該基板上。
  2. 如申請專利範圍第1項之圖案化基板的方法,更包含: 在該基板上形成一第二蝕刻遮罩,該第二蝕刻遮罩定義用以露出該第一材料及該第二材料二者之複數區域的複數開口;以及 執行一第二蝕刻製程,其選擇性地蝕刻該第一材料的露出部分,直到露出的心軸被移除。
  3. 如申請專利範圍第1項之圖案化基板的方法,其中形成該等側壁間隔件的步驟包含: 在該基板上沉積第二填充物材料,該第二填充物材料填充由該保形膜所定義的複數凹槽;以及 執行一化學機械拋光步驟,其移除該等心軸之頂部表面以上的保形膜材料及第二填充物材料。
  4. 如申請專利範圍第3項之圖案化基板的方法,更包含將該第二填充物材料從由該保形膜所定義的該等凹槽移除。
  5. 如申請專利範圍第1項之圖案化基板的方法,其中形成該等側壁間隔件的步驟包含: 在該基板上沉積第二填充物材料,該第二填充物材料填充由該保形膜所定義的複數凹槽; 執行一第三蝕刻製程,其蝕刻該第二填充物材料,直到露出該保形膜的頂部表面; 執行一第四蝕刻製程,其蝕刻該保形膜,直到露出該等心軸的頂部表面。
  6. 如申請專利範圍第5項之圖案化基板的方法,更包含將該第二填充物材料從該基板移除。
  7. 如申請專利範圍第1項之圖案化基板的方法,更包含: 在執行該第一蝕刻製程之後、且形成該第二蝕刻遮罩之前,移除該第一蝕刻遮罩;以及 在執行該第二蝕刻製程之後移除該第二蝕刻遮罩,該等心軸的其餘部分、該等側壁間隔件、以及覆蓋相鄰側壁間隔件之間之該目標層的該保形膜一起形成一組合起伏圖案。
  8. 如申請專利範圍第7項之圖案化基板的方法,更包含執行一第五蝕刻製程,其使用該組合起伏圖案作為一蝕刻遮罩,且將一對應圖案轉移至該目標層中。
  9. 如申請專利範圍第1項之圖案化基板的方法,其中形成該第一蝕刻遮罩的步驟包含: 在該基板上沉積使該基板平坦化的第一填充物材料,其中該第一蝕刻遮罩係形成於該第一填充物材料上,該第一填充物材料係由第四材料組成,該第一材料、及該第二材料、及該第三材料、及該第四材料相對於彼此具有不同的耐蝕刻性。
  10. 如申請專利範圍第1項之圖案化基板的方法,其中形成該第二蝕刻遮罩的步驟包含: 在該基板上沉積使該基板平坦化的第一填充物材料,其中該第二蝕刻遮罩係形成於該第二填充物材料上,該第二填充物材料係由第四材料組成,該第一材料、及該第二材料、及該第三材料、及該第四材料相對於彼此具有不同的耐蝕刻性。
  11. 一種圖案化基板的方法,該方法包含: 在一基板的一目標層上形成複數心軸,該等心軸係由第一材料組成,該目標層係由第三材料組成,其中該第一材料之一膜層覆蓋介於該等心軸之間的該目標層,使得該等心軸的頂部表面在高度上相較於該第一材料之該膜層的頂部表面更高; 在該等心軸的側壁上形成複數側壁間隔件,該等側壁間隔件係由第二材料組成,該等側壁間隔件在彼此之間定義使該第一材料之該膜層露出來的複數開放空間; 在該基板上沉積填充材料,其至少部分地填充定義於該等側壁間隔件之間的該等開放空間,該填充材料係由第四材料組成,其中該第一材料、該第三材料、及該第四材料係全部在化學上彼此不同,且其中,該第二材料及該第四材料就一特定蝕刻化學成分而言具有相同的耐蝕刻性;以及 執行一第一蝕刻製程,其蝕刻該填充材料的露出部分,且蝕刻該等心軸之頂部層的露出部分。
  12. 一種圖案化基板的方法,該方法包含: 在一目標層上形成三層式堆疊,該三層式堆疊包含一底部層、一中間層、及一上部層,每一層係沉積成為一水平且均質的覆層,該上部層係由第一材料組成、該中間層係由第五材料組成、該底部層係由第六材料組成、且該目標層係由第三材料組成; 藉由使用一第一蝕刻遮罩、以及執行將一心軸圖案蝕刻至該上部層中直到露出該中間層為止的一第一蝕刻製程,從而形成由該第一材料組成的複數心軸,該中間層具有相較於該上部層不同的耐蝕刻性,使得該中間層提供該第一蝕刻製程用的一蝕刻停止層; 在該等心軸的側壁上形成複數側壁間隔件,該等側壁間隔件係由第二材料組成,該等側壁間隔件在彼此之間定義使該底部層露出來的複數開放區域; 在該基板上形成一第二蝕刻遮罩,該第二蝕刻遮罩定義用以露出該第一材料及該第二材料二者之複數區域的複數開口,該第一材料及該第二材料具有不同的耐蝕刻性;以及 執行一第二蝕刻製程,其選擇性地蝕刻該第六材料的露出部分,直到覆蓋相鄰側壁間隔件之間之該目標層的該底部層被移除,而該等側壁間隔件保留於該基板上。
  13. 如申請專利範圍第12項之圖案化基板的方法,更包含: 在該基板上形成一第三蝕刻遮罩,該第三蝕刻遮罩定義用以露出該第一材料及該第二材料二者之複數區域的複數開口,該第一材料及該第二材料具有不同的耐蝕刻性;以及 執行一第三蝕刻製程,其選擇性地蝕刻該等心軸的露出部分直到露出該中間層,然後蝕刻該中間層的露出部分,然後蝕刻該底部層的露出部分直到露出該目標層。
  14. 如申請專利範圍第12項之圖案化基板的方法,其中該第一材料及該第六材料具有相同的耐蝕刻性。
  15. 如申請專利範圍第12項之圖案化基板的方法,其中該第五材料及該第二材料具有相同的耐蝕刻性。
  16. 如申請專利範圍第12項之圖案化基板的方法,其中該蝕刻停止層及該等側壁間隔件係由同一材料組成。
TW106116566A 2016-05-23 2017-05-19 使用具有多種材料之一層的基板圖案化方法 TWI657484B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201662340279P 2016-05-23 2016-05-23
US62/340,279 2016-05-23

Publications (2)

Publication Number Publication Date
TW201812847A true TW201812847A (zh) 2018-04-01
TWI657484B TWI657484B (zh) 2019-04-21

Family

ID=60330321

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106116566A TWI657484B (zh) 2016-05-23 2017-05-19 使用具有多種材料之一層的基板圖案化方法

Country Status (7)

Country Link
US (1) US10366890B2 (zh)
JP (1) JP7008907B2 (zh)
KR (1) KR102296805B1 (zh)
CN (1) CN109155238B (zh)
SG (1) SG11201810373YA (zh)
TW (1) TWI657484B (zh)
WO (1) WO2017205136A1 (zh)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102303129B1 (ko) * 2016-10-20 2021-09-15 도쿄엘렉트론가부시키가이샤 비아 투 그리드 패터닝의 오버레이 오류를 감소시키기 위한 방법
US11901190B2 (en) * 2017-11-30 2024-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of patterning
US11127594B2 (en) * 2017-12-19 2021-09-21 Tokyo Electron Limited Manufacturing methods for mandrel pull from spacers for multi-color patterning
US10366917B2 (en) 2018-01-04 2019-07-30 Globalfoundries Inc. Methods of patterning variable width metallization lines
KR102617139B1 (ko) * 2018-04-09 2023-12-26 삼성전자주식회사 반도체 소자 및 그 제조방법
US10573520B2 (en) 2018-06-12 2020-02-25 International Business Machines Corporation Multiple patterning scheme integration with planarized cut patterning
US11061315B2 (en) * 2018-11-15 2021-07-13 Globalfoundries U.S. Inc. Hybrid optical and EUV lithography
US10529570B1 (en) * 2018-11-20 2020-01-07 Nanya Technology Corporation Method for preparing a semiconductor structure
US11069564B2 (en) 2019-04-09 2021-07-20 International Business Machines Corporation Double metal patterning
CN110289221B (zh) * 2019-06-25 2021-06-29 武汉新芯集成电路制造有限公司 一种半导体器件及其制造方法
EP3840034B1 (en) 2019-12-19 2022-06-15 Imec VZW Method for producing nanoscaled electrically conductive lines for semiconductor devices
CN111162447B (zh) * 2019-12-31 2021-06-15 苏州辰睿光电有限公司 一种电极窗口、具有电极窗口的半导体器件的制作方法

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100691492B1 (ko) * 2005-09-29 2007-03-09 주식회사 하이닉스반도체 플래시 메모리 소자의 금속배선 형성방법
KR100744683B1 (ko) * 2006-02-27 2007-08-01 주식회사 하이닉스반도체 반도체 소자 제조 방법
KR100771891B1 (ko) * 2006-11-10 2007-11-01 삼성전자주식회사 더블 패터닝 공정을 이용하는 반도체 소자의 미세 패턴형성 방법
KR100825796B1 (ko) * 2006-12-14 2008-04-28 삼성전자주식회사 매몰 게이트를 구비한 반도체 소자의 제조 방법
US8600391B2 (en) 2008-11-24 2013-12-03 Ringcentral, Inc. Call management for location-aware mobile devices
US8670545B2 (en) 2007-09-28 2014-03-11 Ringcentral, Inc. Inbound call identification and management
US20090130854A1 (en) * 2007-11-21 2009-05-21 Macronix International Co., Ltd. Patterning structure and method for semiconductor devices
WO2009150870A1 (ja) * 2008-06-13 2009-12-17 東京エレクトロン株式会社 半導体装置の製造方法
US7915105B2 (en) * 2008-11-06 2011-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Method for patterning a metal gate
US8492282B2 (en) 2008-11-24 2013-07-23 Micron Technology, Inc. Methods of forming a masking pattern for integrated circuits
WO2010062981A2 (en) 2008-11-26 2010-06-03 Ringcentral, Inc. Centralized status server for call management of location-aware mobile devices
KR100995142B1 (ko) * 2008-12-22 2010-11-18 주식회사 하이닉스반도체 반도체소자의 컨택홀 형성방법
JP2011165933A (ja) * 2010-02-10 2011-08-25 Toshiba Corp 半導体装置の製造方法
JP2014072226A (ja) * 2012-09-27 2014-04-21 Tokyo Electron Ltd パターン形成方法
JP5983322B2 (ja) * 2012-11-05 2016-08-31 大日本印刷株式会社 パターン構造体の形成方法
US9362133B2 (en) * 2012-12-14 2016-06-07 Lam Research Corporation Method for forming a mask by etching conformal film on patterned ashable hardmask
US8828876B2 (en) 2013-01-09 2014-09-09 International Business Machines Corporation Dual mandrel sidewall image transfer processes
US9006804B2 (en) * 2013-06-06 2015-04-14 United Microelectronics Corp. Semiconductor device and fabrication method thereof
TWI531032B (zh) * 2013-11-21 2016-04-21 力晶科技股份有限公司 記憶體線路結構以及其半導體線路製程
US9209076B2 (en) 2013-11-22 2015-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of double patterning lithography process using plurality of mandrels for integrated circuit applications
WO2015126829A1 (en) * 2014-02-23 2015-08-27 Tokyo Electron Limited Method for patterning a substrate for planarization
US9508713B2 (en) 2014-03-05 2016-11-29 International Business Machines Corporation Densely spaced fins for semiconductor fin field effect transistors
US9123656B1 (en) 2014-05-13 2015-09-01 Taiwan Semiconductor Manufacturing Co., Ltd. Organosilicate polymer mandrel for self-aligned double patterning process
FR3025937B1 (fr) * 2014-09-16 2017-11-24 Commissariat Energie Atomique Procede de grapho-epitaxie pour realiser des motifs a la surface d'un substrat
US9780193B2 (en) * 2015-10-27 2017-10-03 United Microelectronics Corporation Device with reinforced metal gate spacer and method of fabricating

Also Published As

Publication number Publication date
US20170338116A1 (en) 2017-11-23
JP2019517154A (ja) 2019-06-20
JP7008907B2 (ja) 2022-01-25
CN109155238B (zh) 2023-04-21
WO2017205136A1 (en) 2017-11-30
TWI657484B (zh) 2019-04-21
SG11201810373YA (en) 2018-12-28
KR102296805B1 (ko) 2021-08-31
KR20190000918A (ko) 2019-01-03
US10366890B2 (en) 2019-07-30
CN109155238A (zh) 2019-01-04

Similar Documents

Publication Publication Date Title
TWI657484B (zh) 使用具有多種材料之一層的基板圖案化方法
TWI622861B (zh) 次解析度基板圖案化所用之蝕刻遮罩的形成方法
TWI625764B (zh) 次解析度基板圖案化所用之蝕刻遮罩的形成方法
TWI661466B (zh) 使用具有多種材料之一層的基板圖案化方法
KR101860251B1 (ko) 평탄화를 위해 기판을 패터닝하는 방법
JP2018531506A6 (ja) サブ解像度基板パターニングのためのエッチングマスクを形成する方法
TWI633583B (zh) 形成記憶體fin圖案的方法與系統
CN109075124B (zh) 使用具有多种材料的层对基底进行图案化的方法
US10083842B2 (en) Methods of sub-resolution substrate patterning
TWI545618B (zh) 用於平坦化之基板圖案化方法