TW201735152A - 鰭式場效電晶體及其製造方法 - Google Patents

鰭式場效電晶體及其製造方法 Download PDF

Info

Publication number
TW201735152A
TW201735152A TW105137513A TW105137513A TW201735152A TW 201735152 A TW201735152 A TW 201735152A TW 105137513 A TW105137513 A TW 105137513A TW 105137513 A TW105137513 A TW 105137513A TW 201735152 A TW201735152 A TW 201735152A
Authority
TW
Taiwan
Prior art keywords
dielectric layer
fin structure
recesses
portions
forming
Prior art date
Application number
TW105137513A
Other languages
English (en)
Other versions
TWI621170B (zh
Inventor
張哲誠
林志翰
曾鴻輝
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201735152A publication Critical patent/TW201735152A/zh
Application granted granted Critical
Publication of TWI621170B publication Critical patent/TWI621170B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66553Unipolar field-effect transistors with an insulated gate, i.e. MISFET using inside spacers, permanent or not
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)

Abstract

一種鰭式場效電晶體,包含基材、鰭狀結構、介電層、金屬閘極、二間隙壁、源極以及汲極。鰭狀結構設於基材上。介電層設於鰭狀結構上且覆蓋鰭狀結構之相對二側面。介電層包含二第一部分突出於鰭狀結構之側面而使二第一凹陷形成於介電層中。金屬閘極設於介電層之第二部分上,其中第二部分夾在第一部分之間。間隙壁分別位於介電層之第一部分上,且突出於介電層之第一部分,而使二第二凹陷形成於間隙壁中。源極與汲極分別設於基材上之第一凹陷與第二凹陷中。

Description

鰭式場效電晶體及其製造方法
本發明實施例是有關於一種半導體元件,且特別是有關於一種鰭式場效電晶體(FinFET)及其製造方法。
半導體積體電路(IC)工業已歷經快速成長。在積體電路發展的進程中,隨著幾何尺寸[亦即,利用一製程可形成之最小構件(或線)]的減少,功能密度(定義為每晶片面積之互連元件的數量)大體上已獲得增加。微縮化過程大體上藉由增加生產效率以及降低相關成本的方式提供許多好處。但是,這樣的微縮化已增加了處理與製造積體電路的複雜性。為了實現這些進展,在積體電路製造上需要相似的發展。
舉例而言,隨著半導體積體電路工業已發展到奈米科技製程節點,以追求更高之元件密度、更高的性能與更低的成本,來自製造與設計的挑戰均已促使三維(3D)元件,例如鰭式場效電晶體的發展。然而,傳統鰭式場效電晶體元件及製造鰭式場效電晶體元件的方法已無法完全滿足各方面需求。
依照一實施方式,本揭露揭示一種鰭式場效電晶體之製造方法。在此方法中,形成鰭狀結構於基材上。形成介電層於鰭狀結構之上表面與二側面上,其中這些側面與上表面之相對二邊連接,且鰭狀結構與介電層由不同材料所組成。形成虛設閘極於介電層之第一部分上。形成二間隙壁分別位於虛設閘極之相對二側壁上。形成間隙壁之操作包含形成這些間隙壁分別位於介電層之二第二部分上、以及暴露出介電層之二第三部分,其中介電層之每一第二部分位於介電層之第一部分與一個第三部分之間。對介電層與鰭狀結構進行第一蝕刻操作,以移除介電層之第三部分與每一第二部分之一部分、以及位於介電層之第三部分與第二部分的這些部分下方之鰭狀結構之二第一部分,藉以在間隙壁中分別形成二第一凹陷。對鰭狀結構進行第二蝕刻操作,以移除鰭狀結構之二第二部分,鰭狀結構之第二部分分別與鰭狀結構之第一部分相鄰,藉以在介電層中形成二第二凹陷,其中這些第二凹陷分別與第一凹陷連通。
依照另一實施方式,本揭露揭示一種鰭式場效電晶體之製造方法。在此方法中,形成鰭狀結構於基材上。形成介電層於鰭狀結構之上表面與二側面上,其中這些側面與上表面之相對二邊連接,且鰭狀結構與介電層由不同材料所組成。形成虛設閘極於介電層之第一部分上。形成二間隙壁分別位於虛設閘極之相對二側壁上。形成間隙壁之操作包 含形成這些間隙壁分別位於介電層之二第二部分上、以及暴露出介電層之二第三部分,其中介電層之每一第二部分位於介電層之第一部分與一個第三部分之間。對介電層與鰭狀結構進行第一蝕刻操作,以移除介電層之第三部分與每一第二部分之一部分、以及位於介電層之第三部分與第二部分的這些部分下方之鰭狀結構之二第一部分,藉以在間隙壁中分別形成二第一凹陷。對鰭狀結構進行第二蝕刻操作,以移除鰭狀結構之二第二部分,鰭狀結構之第二部分分別與鰭狀結構之第一部分相鄰,藉以在介電層中形成二第二凹陷,其中第二凹陷分別與第一凹陷連通。對第一凹陷與第二凹陷進行濕式清潔操作。對基材進行磊晶操作,以在基材上之一個第一凹陷與一個第二凹陷中形成源極,以及在基材上之另一個第一凹陷與另一個第二凹陷中形成汲極。
依照又一實施方式,本揭露揭示一種鰭式場效電晶體。此鰭式場效電晶體包含基材、鰭狀結構、介電層、金屬閘極、二間隙壁、源極以及汲極。鰭狀結構位於基材上。介電層設於鰭狀結構上且覆蓋鰭狀結構之相對二側面,其中介電層包含二第一部分突出於鰭狀結構之側面而使二第一凹陷形成於介電層中,這些第一凹陷彼此相對。金屬閘極位於介電層之第二部分上,其中第二部分夾在介電層之第一部分之間。間隙壁分別位於介電層之第一部分上,其中這些間隙壁分別突出於介電層之第一部分,而使二第二凹陷形成於間隙壁中。源極設於基材上之一個第一凹陷與一個第二凹陷 中。汲極設於基材上之另一個第一凹陷與另一個第二凹陷中。
100‧‧‧鰭式場效電晶體
102‧‧‧基材
104‧‧‧鰭狀結構
106‧‧‧介電層
108‧‧‧間隙壁
110‧‧‧金屬閘極
112‧‧‧源極
114‧‧‧汲極
116‧‧‧表面
118‧‧‧側面
120‧‧‧第一部分
122‧‧‧第一凹陷
124‧‧‧第二凹陷
126‧‧‧第二部分
200‧‧‧基材
202‧‧‧鰭狀結構
204‧‧‧表面
206‧‧‧介電層
208‧‧‧上表面
210‧‧‧側面
212‧‧‧虛設閘極
214‧‧‧第一部分
216a‧‧‧間隙壁
216b‧‧‧間隙壁
218a‧‧‧側壁
218b‧‧‧側壁
220a‧‧‧第二部分
220b‧‧‧第二部分
222a‧‧‧第三部分
222b‧‧‧第三部分
224a‧‧‧第一部分
224b‧‧‧第一部分
226a‧‧‧第一凹陷
226b‧‧‧第一凹陷
228a‧‧‧第二部分
228b‧‧‧第二部分
230a‧‧‧第二凹陷
230b‧‧‧第二凹陷
232‧‧‧源極
234‧‧‧汲極
236a‧‧‧外側面
236b‧‧‧外側面
238‧‧‧金屬閘極
240‧‧‧鰭式場效電晶體
300‧‧‧操作
302‧‧‧操作
304‧‧‧操作
306‧‧‧操作
308‧‧‧操作
310‧‧‧操作
312‧‧‧操作
314‧‧‧操作
316‧‧‧操作
D1‧‧‧長度
D2‧‧‧長度
D3‧‧‧長度
L1‧‧‧長度
L2‧‧‧長度
L3‧‧‧長度
從以下結合所附圖式所做的詳細描述,可對本揭露之態樣有更佳的了解。需注意的是,根據業界的標準實務,各特徵並未依比例繪示。事實上,為了使討論更為清楚,各特徵的尺寸都可任意地增加或減少。
〔圖1A〕係繪示依照本發明之各實施方式的一種鰭式場效電晶體的立體示意圖。
〔圖1B〕係繪示沿著圖1A之線A-A所獲得之鰭式場效電晶體的上視示意圖。
〔圖2A〕至〔圖2G〕係繪示依照各實施方式之一種鰭式場效電晶體之製造方法之各個中間階段的立體示意圖。
〔圖3A〕至〔圖3G〕係繪示分別沿著圖2A至圖2G之線 A-A所獲得之鰭式場效電晶體的上視示意圖。
〔圖4〕係繪示依照各實施方式之一種鰭式場效電晶體 之製造方法的流程圖。
以下的揭露提供了許多不同實施方式或實施例,以實施所提供之標的之不同特徵。以下所描述之構件與 安排的特定實施例係用以簡化本揭露。當然這些僅為實施例,並非用以作為限制。舉例而言,於描述中,第一特徵形成於第二特徵之上方或之上,可能包含第一特徵與第二特徵以直接接觸的方式形成的實施方式,亦可能包含額外特徵可能形成在第一特徵與第二特徵之間的實施方式,如此第一特徵與第二特徵可能不會直接接觸。
在此所使用之用語僅用以描述特定實施方式,而非用以限制所附之申請專利範圍。舉例而言,除非特別限制,否則單數型態之用語「一」或「該」亦可代表複數型態。例如「第一」與「第二」用語用以描述各種元件、區域或層等等,儘管這類用語僅用以區別一元件、一區域或一層與另一元件、另一區域或另一層。因此,在不脫離所請求保護之標的之精神下,第一區亦可稱為第二區,其它的以此類推。此外,本揭露可能會在各實施例中重複參考數字及/或文字。這樣的重複係基於簡化與清楚之目的,以其本身而言並非用以指定所討論之各實施方式及/或配置之間的關係。如在此所使用的,用詞「及/或(and/or)」包含一或多個相關列示項目的任意或所有組合。
在一般具高介電常數金屬後閘極(HKMG)製程之鰭式場效電晶體的取代多晶矽閘極(RPG)科技中,移除鰭狀結構之一部分、以及覆蓋鰭狀結構之介電層之一部分的操作需鰭狀結構與介電層的高過蝕量,藉以擴大後續之高介電常數介電層與金屬閘極之沉積製程的製程窗,進而提升鰭式場效電晶體的性能。然而,介電層的高過蝕量會縮短用來阻 隔虛設閘極之介電層的部分,其中虛設閘極覆蓋部分介電層及其下方之鰭狀結構,如此一來,突出路徑(extrusion path)減少,而導致虛設閘極步進(footing),進而造成金屬閘極突出的問題。
本揭露之實施方式係針對一種鰭式場效電晶體及製造此鰭式場效電晶體之方法,其中對鰭狀結構以及覆蓋此鰭狀結構之介電層進行第一蝕刻操作,以凹入鰭狀結構與介電層,藉以形成在覆蓋介電層與鰭狀結構之二間隙壁中分別形成二第一凹陷,接著對此鰭狀結構進行第二蝕刻操作,以進一步凹入此鰭狀結構,而在介電層中形成二第二凹陷,其中這些第二凹陷分別與第一凹陷連通。因此,可增長用以阻隔虛設閘極之介電層的部分,而虛設閘極覆蓋介電層與鰭狀結構且被夾在間隙壁之間,故可增加每個突出路徑,進而可提升鰭式場效電晶體之良率。
請參照圖1A與圖1B,圖1A係繪示依照本發明之各實施方式的一種鰭式場效電晶體的立體示意圖,圖1B係繪示沿著圖1A之線A-A所獲得之鰭式場效電晶體的上視示意圖。在一些實施例中,鰭式場效電晶體100包含基材102、鰭狀結構104、介電層106、金屬閘極110、二間隙壁108、源極112以及汲極114。鰭狀結構104、介電層106、金屬閘極110、間隙壁108、源極112以及汲極114設於基材102上。
鰭狀結構104設於基材102上。在一些示範實施例中,鰭狀結構104係透過凹入基材102的方式製作,因此 鰭狀結構104突出於基材102之經凹入的表面116,且鰭狀結構104與基材102由相同材料所組成。基材102與鰭狀結構104可由單晶半導體材料或化合物半導體材料所組成。舉例而言,可使用矽、鍺或玻璃來作為基材102與鰭狀結構104之材料。在一些示範實施例中,基材102與鰭狀結構104由矽所組成。
請再次參照圖1B,介電層106設於鰭狀結構104上,且覆蓋鰭狀結構104之二相對側面118。介電層106包含二個第一部分120,其中第一部分120設於介電層106之二相對端。每個第一部分120之剖面呈倒U字型。介電層106之每個第一部分120突出於鰭狀結構104之側面118,以在介電層106中形成第一凹陷122。因此,這些第一凹陷122彼此相對。在一些實施例中,鰭狀結構104與介電層106由不同材料所組成,因此在蝕刻鰭狀結構104的操作期間,介電層106相對於鰭狀結構104具有蝕刻選擇比。舉例而言,鰭狀結構104可由矽所組成,而介電層106可由氧化矽所組成。
請參照圖1A與圖1B,金屬閘極110設於介電層106的第二部分126上。在介電層106中,第二部分126與第一部分120相鄰,其中第一部分120位於第二部分126之相對二端,因此第二部分126夾在第一部分120之間。金屬閘極110之剖面呈倒U字型。
如圖1A與圖1B所示,間隙壁108分別設於介電層106之第一部分120上。每個間隙壁108之剖面呈倒U字 型。這些間隙壁108分別突出於被間隙壁108所覆蓋之介電層106的第一部分120,藉以在間隙壁108中形成二個第二凹陷124。第二凹陷124對應連通第一凹陷122。在一些實施例中,間隙壁108與鰭狀結構104由不同材料所組成,因此在蝕刻鰭狀結構104的操作期間,間隙壁108相對於鰭狀結構104具有蝕刻選擇比。在一些示範實施例中,間隙壁108、介電層106、以及鰭狀結構104由不同材料所組成。舉例而言,間隙壁108可由氮化矽所組成,而鰭狀結構104可由矽所組成,且介電層106可由氧化矽所組成。
如圖1B所示,由於鰭狀結構104被進一步凹入而形成第一凹陷122,因此每個間隙壁108之長度L1大於每個第二凹陷124之長度L2,且第一凹陷122與對應之第二凹陷124之組合的長度L3大於每個間隙壁108的長度L1。在一些示範實施例中,長度L1、長度L2、以及長度L3落在0.5Å至100Å的範圍內。
如圖1A與圖1B所示,源極112設於基材102上且位於一個第一凹陷122與對應之第二凹陷124中,並突出於第二凹陷124。此外,汲極114設於基材102上且位於另一個第一凹陷122與對應之第二凹陷124中,並突出於第二凹陷124。在一些實施例中,源極112與汲極114可通過經凹入之表面116而延伸至基材102中。舉例而言,每個源極112與汲極114可包含磊晶層。在一些示範實施例中,源極112與汲極114由矽鍺(SiGe)所組成。
請再次參照圖1B,由於第一凹陷122分別形成在介電層106之第一部分120中,而介電層106之第一部分120的存在可增加金屬閘極110的突出路徑,因此介電層106之第一部分120可有效阻隔金屬閘極110,進而可提升鰭式場效電晶體100的良率。
請參照圖2A至圖2G以及圖3A至圖3G,其中圖2A至圖2G係繪示依照各實施方式之一種鰭式場效電晶體之製造方法之各個中間階段的立體示意圖,圖3A至圖3G係繪示分別沿著圖2A至圖2G之線A-A所獲得之鰭式場效電晶體的上視示意圖。如圖2A與圖3A所示,提供基材200。在一些實施例中,可利用例如微影製程與蝕刻製程,來選擇性地凹入基材200,以在基材200之表面204上形成至少一鰭狀結構202。
在凹入基材200的操作中,移除部分之基材200。在這樣的實施例中,鰭狀結構202由基材200的一部分所組成,因此鰭狀結構202由與基材200之材料相同的材料所組成。基材200與鰭狀結構202可由單晶半導體材料或化合物半導體材料所組成。在一些實施例中,可使用矽、鍺或玻璃來作為基材200與鰭狀結構202之材料。在一些示範實施例中,基材200與鰭狀結構202由矽所組成。
如圖2B與圖3B所示,形成介電層206於鰭狀結構202之上表面208與相對二側面210上。在鰭狀結構202中,側面210與上表面208的相對二邊連接。舉例而言,側面210可沿著鰭狀結構202的延伸方向延伸。因此,介電層 206之剖面呈倒U字型。舉例而言,可利用沉積製程或熱氧化製程來製作介電層206。沉積製程可例如為化學氣相沉積(CVD)製程或電漿輔助化學氣相沉積(PECVD)製程。在一些實施例中,鰭狀結構202與介電層206係由不同材料所組成,因此在蝕刻鰭狀結構202之操作期間,介電層206相對於鰭狀結構202具有蝕刻選擇比。舉例而言,鰭狀結構202可由矽所組成,而介電層206可由氧化矽所組成。
如圖2C與圖3C所示,形成虛設閘極212於介電層206之第一部分214上。在一些示範實施例中,虛設閘極212從鰭狀結構202之一側面210經由上表面208延伸到另一側面210,因此虛設閘極212之剖面呈倒U字型。在一些實施例中,利用沉積製程與蝕刻製程形成虛設閘極212。沉積製程可例如為化學氣相沉積製程或電漿輔助化學氣相沉積製程。在一些示範實施例中,虛設閘極212由多晶矽所組成。
如圖2D與圖3D所示,形成二間隙壁216a與216b分別位於虛設閘極212之相對二側壁218a與218b上。在一些實施例中,形成間隙壁216a與216b之操作包含形成間隙壁216a與216b分別位於介電層206之二個第二部分220a與220b上,以及暴露出介電層206的二個第三部分222a與222b。在介電層206中,第二部分220a位於第一部分214與第三部分222a之間,且第二部分220b位於第一部分214與第三部分222b之間。舉例而言,第二部分220a與 220b分別緊鄰於第一部分214的相對二側,且第三部分222a與222b分別緊鄰於第二部分220a與220b。
如圖2D所示,每個間隙壁216a與216b從鰭狀結構202之一側面210經由上表面208延伸到另一側面210,因此間隙壁216a與216b之剖面呈倒U字型。舉例而言,利用沉積製程與蝕刻製程形成間隙壁216a與216b。沉積製程可例如為化學氣相沉積製程或物理氣相沉積(PVD)製程。蝕刻製程可為回蝕刻製程。在一些實施例中,間隙壁216a及216b與鰭狀結構202由不同材料所組成,因此在蝕刻鰭狀結構202的操作期間,間隙壁216a與216b相對於鰭狀結構202具有蝕刻選擇比。在一些示範實施例中,間隙壁216a與216b、介電層206、以及鰭狀結構202由不同材料所形成。舉例而言,間隙壁216a與216b可由氮化矽所形成,而鰭狀結構202可由矽所形成,且介電層206可由氧化矽所形成。
請參照圖3D,對介電層206與鰭狀結構202進行第一蝕刻操作,以移除介電層206之第三部分222a與222b和每個第二部分220a與220b的一部分、以及位於介電層206之第三部分222a與222b和第二部分220a與220b的這些部分下方之鰭狀結構202的二個第一部分224a與224b。如圖2E與圖3E所示,於第一蝕刻操作完成後,間隙壁216a與216b分別突出於介電層206之第二部分220a與220b,藉以分別在間隙壁216a與216b中形成二個第一凹陷 226a與226b。在一些實施例中,第一蝕刻操作為高偏壓蝕刻操作。可利用乾蝕刻技術進行第一蝕刻操作。
請同時參照圖3D與圖3E,對鰭狀結構202進行第二蝕刻操作,以移除鰭狀結構202之二個第二部分228a與228b,其中第二部分228a與228b分別與鰭狀結構202之第一部分224a與224b相鄰。如圖2F與圖3F所示,於第二蝕刻操作完成後,介電層206之剩餘的第二部分220a與220b自鰭狀結構220之相對二端突出,而在介電層206中分別形成第二凹陷230a與230b。第二凹陷230a與230b與第一凹陷226a與226b對應連通。在一些實施例中,第二蝕刻操作為高選擇比蝕刻操作,且在第二蝕刻操作期間,介電層206相對於鰭狀結構202具有蝕科選擇比。因此,於第二蝕刻操作完成後,介電層206之每個第二部分220a與220b的剖面可呈倒U字型。可利用乾蝕刻技術進行第二蝕刻操作。
請再次參照圖3F,藉由二階段蝕刻製程,在第二蝕刻操作期間,進一步凹入鰭狀結構202以形成第二凹陷230a與230b,因此每個間隙壁216a與216b之長度D1大於每個第一凹陷226a與226b之長度D2,且第一凹陷226a與第二凹陷230a之組合、或第一凹陷226b與第二凹陷230b之組合的長度D3大於每個間隙壁216a與216b的長度D1。在一些示範實施例中,長度D1、長度D2、以及長度D3落在0.5Å至100Å的範圍內。
藉由運用二階段蝕刻製程第二凹陷230a與230b分別形成在介電層206之第二部分220a與220b中,而 介電層206之第二部分220a與220b的存在可增加虛設閘極212的突出路徑,因此介電層206之第二部分220a與220b可有效阻隔虛設閘極212。
在一些實施例中,於第二蝕刻操作完成後,可選擇性地對第一凹陷226a與226b以及第二凹陷230a與230b進行濕式清潔操作,以從第一凹陷226a與226b以及第二凹陷230a與230b移除微粒、生成物、及/或汙染物。在濕式清潔操作期間,介電層206之第二部分220a與220b可有效保護虛設閘極212,進而可防止虛設閘極212推出。
如圖2G與圖3G所示,可形成源極232於基材200上之第一凹陷226a與第二凹陷230a中,且可形成汲極234於基材200上之第一凹陷226b與第二凹陷230b中。舉例而言,可形成源極232填充第一凹陷226a與第二凹陷230a,並突出於間隙壁216a,因此源極232可覆蓋間隙壁216a之外側面236a以及基材200之表面204的一部分。可形成汲極234填充第一凹陷226b與第二凹陷230b,並突出於間隙壁216b,因此汲極234可覆蓋間隙壁216b之外側面236b以及基材200之表面204的一部分。在一些實施例中,利用磊晶製程進行形成源極232與汲極234之操作。在一些示範實施例中,源極232與汲極234均形成以包含矽鍺(SiGe)層。
請同時參照圖2F與圖2G,於源極232與汲極234完成後,以金屬閘極238取代虛設閘極212,而完成鰭式場效電晶體240。在一些實施例中,取代虛設閘極212之 操作包含移除虛設閘極212,以在間隙壁216a與216b之間形成凹陷,並暴露出介電層206之第一部分214,以及形成金屬閘極238填充此凹陷並覆蓋介電層206之第一部分214。舉例而言,可利用乾蝕刻技術或濕蝕刻技術進行移除虛設閘極212之操作。可利用沉積製程與圖案化製程進行形成金屬閘極238之操作,其中沉積製程可為化學氣相沉積製程或物理氣相沉積製程,圖案化製成可包含化學機械研磨製程。
請參照圖4、圖2A至圖2G、以及圖3A至圖3G,其中圖4係繪示依照各實施方式之一種鰭式場效電晶體之製造方法的流程圖。此方法始於操作300,以提供基材200。在一些實施例中,可利用例如微影製程與蝕刻製程,來選擇性地凹入基材200,以在基材200之表面204上形成鰭狀結構202。在凹入基材200的操作中,移除部分之基材200。在這樣的實施例中,鰭狀結構202由基材200的一部分所組成,因此鰭狀結構202由與基材200之材料相同的材料所組成。在一些實施例中,可使用矽、鍺或玻璃來作為基材200與鰭狀結構202之材料。
在操作302中,如圖2B與圖3B所示,形成介電層206於鰭狀結構202之上表面208與相對二側面210上。在鰭狀結構202中,側面210與上表面208的相對二邊連接。舉例而言,可利用沉積製程或熱氧化製程來製作介電層206。沉積製程可為化學氣相沉積製程或電漿輔助化學氣相沉積製程。鰭狀結構202與介電層206係由不同材料所組 成,因此在蝕刻鰭狀結構202之操作期間,介電層206相對於鰭狀結構202具有蝕刻選擇比。
在操作304中,如圖2C與圖3C所示,利用例如沉積製程與蝕刻製程,形成虛設閘極212於介電層206之第一部分214上。沉積製程可為化學氣相沉積製程或電漿輔助化學氣相沉積製程。在一些示範實施例中,虛設閘極212從鰭狀結構202之一側面210經由上表面208延伸到另一側面210,因此虛設閘極212之剖面呈倒U字型。在一些示範實施例中,虛設閘極212由多晶矽所組成。
在操作306中,如圖2D與圖3D所示,利用例如沉積製程與蝕刻製程,形成二間隙壁216a與216b分別位於虛設閘極212之相對二側壁218a與218b上。沉積製程可為化學氣相沉積製程或物理氣相沉積製程。蝕刻製程可為回蝕刻製程。在一些實施例中,形成間隙壁216a與216b之操作包含形成間隙壁216a與216b分別位於介電層206之二個第二部分220a與220b上,以及暴露出介電層206的二個第三部分222a與222b。在介電層206中,第二部分220a位於第一部分214與第三部分222a之間,且第二部分220b位於第一部分214與第三部分222b之間。舉例而言,第二部分220a與220b分別緊鄰於第一部分214的相對二側,且第三部分222a與222b分別緊鄰於第二部分220a與220b,因此第二部分220a夾在第一部分214與第三部分222a之間,第二部分220b夾在第一部分214與第三部分222b之間。
請再次參照圖2D,每個間隙壁216a與216b從鰭狀結構202之一側面210經由上表面208延伸到另一側面210,因此間隙壁216a與216b之剖面呈倒U字型。在一些實施例中,間隙壁216a及216b與鰭狀結構202由不同材料所組成,因此在蝕刻鰭狀結構202的操作期間,間隙壁216a與216b相對於鰭狀結構202具有蝕刻選擇比。在一些示範實施例中,間隙壁216a與216b、介電層206、以及鰭狀結構202由不同材料所形成。舉例而言,間隙壁216a與216b可由氮化矽所形成,而鰭狀結構202可由矽所形成,且介電層206可由氧化矽所形成。
在操作308中,如圖3D所示,對介電層206與鰭狀結構202進行第一蝕刻操作,以移除介電層206之第三部分222a與222b和每個第二部分220a與220b的一部分、以及位於介電層206之第三部分222a與222b和第二部分220a與220b的這些部分下方之鰭狀結構202的二個第一部分224a與224b。在一些實施例中,第一蝕刻操作為高偏壓蝕刻操作。可利用乾蝕刻技術進行第一蝕刻操作。如圖2E與圖3E所示,於第一蝕刻操作完成後,間隙壁216a與216b分別突出於介電層206之第二部分220a與220b,藉以分別在間隙壁216a與216b中形成二個第一凹陷226a與226b。
在操作310中,請同時參照圖3D與圖3E,對鰭狀結構202進行第二蝕刻操作,以移除鰭狀結構202之二個第二部分228a與228b,其中第二部分228a與228b分別與鰭狀結構202之第一部分224a與224b相鄰。如圖2F與圖3F 所示,於第二蝕刻操作完成後,介電層206之剩餘的第二部分220a與220b自鰭狀結構220之相對二端突出,而在介電層206中分別形成第二凹陷230a與230b。第二凹陷230a與230b分別與第一凹陷226a與226b對應連通。在一些實施例中,第二蝕刻操作為高選擇比蝕刻操作,且在第二蝕刻操作期間,介電層206相對於鰭狀結構202具有蝕科選擇比。因此,介電層206之每個剩餘的第二部分220a與220b的剖面可呈倒U字型。可利用乾蝕刻技術進行第二蝕刻操作。
在操作312中,請再次參照圖2F與圖3F,於第二蝕刻操作完成後,可選擇性地對第一凹陷226a與226b以及第二凹陷230a與230b進行濕式清潔操作,以從第一凹陷226a與226b以及第二凹陷230a與230b移除微粒、生成物、及/或汙染物。在濕式清潔操作期間,介電層206之第二部分220a與220b可有效保護虛設閘極212,進而可防止虛設閘極212推出。
在操作314中,如圖2G與圖3G所示,可利用磊晶製程,形成源極232於基材200上之第一凹陷226a與第二凹陷230a中,且可形成汲極234於基材200上之第一凹陷226b與第二凹陷230b中。舉例而言,可形成源極232填充第一凹陷226a與第二凹陷230a,並突出於間隙壁216a,因此源極232可覆蓋間隙壁216a之外側面236a以及基材200之表面204的一部分。可形成汲極234填充第一凹陷226b與第二凹陷230b,並突出於間隙壁216b,因此汲極234可覆蓋間隙壁216b之外側面236b以及基材200之表面204的一 部分。在一些示範實施例中,源極232與汲極234均形成以包含矽鍺(SiGe)層。
在操作316中,請同時參照圖2F與圖2G,於磊晶製程完成後,以金屬閘極238取代虛設閘極212,而完成鰭式場效電晶體240。在一些實施例中,取代虛設閘極212之操作包含移除虛設閘極212,以在間隙壁216a與216b之間形成凹陷,並暴露出介電層206之第一部分214,以及形成金屬閘極238填充此凹陷並覆蓋介電層206之第一部分214。舉例而言,可利用乾蝕刻技術或濕蝕刻技術進行移除虛設閘極212之操作。可利用沉積製程與圖案化製程進行形成金屬閘極238之操作,其中沉積製程可為化學氣相沉積製程或物理氣相沉積製程,圖案化製成可包含化學機械研磨製程。
依照一實施方式,本揭露揭示一種鰭式場效電晶體之製造方法。在此方法中,形成鰭狀結構於基材上。形成介電層於鰭狀結構之上表面與二側面上,其中這些側面與上表面之相對二邊連接,且鰭狀結構與介電層由不同材料所組成。形成虛設閘極於介電層之第一部分上。形成二間隙壁分別位於虛設閘極之相對二側壁上。形成間隙壁之操作包含形成這些間隙壁分別位於介電層之二第二部分上、以及暴露出介電層之二第三部分,其中介電層之每一第二部分位於介電層之第一部分與一個第三部分之間。對介電層與鰭狀結構進行第一蝕刻操作,以移除介電層之第三部分與每一第二部分之一部分、以及位於介電層之第三部分與第二部分的這些 部分下方之鰭狀結構之二第一部分,藉以在間隙壁中分別形成二第一凹陷。對鰭狀結構進行第二蝕刻操作,以移除鰭狀結構之二第二部分,鰭狀結構之第二部分分別與鰭狀結構之第一部分相鄰,藉以在介電層中形成二第二凹陷,其中這些第二凹陷分別與第一凹陷連通。
依照另一實施方式,本揭露揭示一種鰭式場效電晶體之製造方法。在此方法中,形成鰭狀結構於基材上。形成介電層於鰭狀結構之上表面與二側面上,其中這些側面與上表面之相對二邊連接,且鰭狀結構與介電層由不同材料所組成。形成虛設閘極於介電層之第一部分上。形成二間隙壁分別位於虛設閘極之相對二側壁上。形成間隙壁之操作包含形成這些間隙壁分別位於介電層之二第二部分上、以及暴露出介電層之二第三部分,其中介電層之每一第二部分位於介電層之第一部分與一個第三部分之間。對介電層與鰭狀結構進行第一蝕刻操作,以移除介電層之第三部分與每一第二部分之一部分、以及位於介電層之第三部分與第二部分的這些部分下方之鰭狀結構之二第一部分,藉以在間隙壁中分別形成二第一凹陷。對鰭狀結構進行第二蝕刻操作,以移除鰭狀結構之二第二部分,鰭狀結構之第二部分分別與鰭狀結構之第一部分相鄰,藉以在介電層中形成二第二凹陷,其中第二凹陷分別與第一凹陷連通。對第一凹陷與第二凹陷進行濕式清潔操作。對基材進行磊晶操作,以在基材上之一個第一凹陷與一個第二凹陷中形成源極,以及在基材上之另一個第一凹陷與另一個第二凹陷中形成汲極。
依照又一實施方式,本揭露揭示一種鰭式場效電晶體。此鰭式場效電晶體包含基材、鰭狀結構、介電層、金屬閘極、二間隙壁、源極以及汲極。鰭狀結構設於基材上。介電層設於鰭狀結構上且覆蓋鰭狀結構之相對二側面,其中介電層包含二第一部分突出於鰭狀結構之側面而使二第一凹陷形成於介電層中,這些第一凹陷彼此相對。金屬閘極設於介電層之第二部分上,其中第二部分夾在介電層之第一部分之間。間隙壁分別位於介電層之第一部分上,其中這些間隙壁分別突出於介電層之第一部分,而使二第二凹陷形成於間隙壁中。源極設於基材上之一個第一凹陷與一個第二凹陷中。汲極設於基材上之另一個第一凹陷與另一個第二凹陷中。
上述已概述數個實施例的特徵,因此熟習此技藝者可更了解本揭露之態樣。熟習此技藝者應了解到,其可輕易地利用本揭露作為基礎,來設計或潤飾其他製程與結構,以實現與在此所介紹之實施方式相同之目的及/或達到相同的優點。熟習此技藝者也應了解到,這類對等架構並未脫離本揭露之精神和範圍,且熟習此技藝者可在不脫離本揭露之精神和範圍下,在此進行各種之更動、取代與替代。
200‧‧‧基材
202‧‧‧鰭狀結構
204‧‧‧表面
206‧‧‧介電層
214‧‧‧第一部分
216a‧‧‧間隙壁
216b‧‧‧間隙壁
220a‧‧‧第二部分
220b‧‧‧第二部分
226a‧‧‧第一凹陷
226b‧‧‧第一凹陷
230a‧‧‧第二凹陷
230b‧‧‧第二凹陷
232‧‧‧源極
234‧‧‧汲極
236a‧‧‧外側面
236b‧‧‧外側面
238‧‧‧金屬閘極
240‧‧‧鰭式場效電晶體

Claims (10)

  1. 一種鰭式場效電晶體之製造方法,該方法包含:形成一鰭狀結構於一基材上;形成一介電層於該鰭狀結構之一上表面與二側面上,其中該些側面與該上表面之相對二邊連接,且該鰭狀結構與該介電層由不同材料所組成;形成一虛設閘極於該介電層之一第一部分上;形成二間隙壁分別位於該虛設閘極之相對二側壁上,其中形成該些間隙壁之操作包含形成該些間隙壁分別位於該介電層之二第二部分上、以及暴露出該介電層之二第三部分,其中該介電層之每一該些第二部分位於該介電層之該第一部分與該些第三部分之一者之間;對該介電層與該鰭狀結構進行一第一蝕刻操作,以移除該介電層之該些第三部分與每一該些第二部分之一部分、以及位於該介電層之該些第三部分與該些第二部分的該些部分下方之該鰭狀結構之二第一部分,藉以在該些間隙壁中分別形成二第一凹陷;以及對該鰭狀結構進行一第二蝕刻操作,以移除該鰭狀結構之二第二部分,該鰭狀結構之該些第二部分分別與該鰭狀結構之該些第一部分相鄰,藉以在該介電層中形成二第二凹陷,其中該些第二凹陷分別與該些第一凹陷連通。
  2. 如申請專利範圍第1項之方法, 其中形成該鰭狀結構之操作以矽形成該鰭狀結構,形成該介電層之操作以氧化矽形成該介電層,或者,其中形成該些間隙壁之操作以氮化矽形成該些間隙壁,或者,其中形成該虛設閘極之操作以多晶矽形成該虛設閘極。
  3. 如申請專利範圍第1項或第2項之方法,該方法更包含於該第二蝕刻操作完成後,對該些第一凹陷與該些第二凹陷進行一濕式清潔操作。
  4. 如申請專利範圍第1項或第2項之方法,該方法更包含於該第二蝕刻操作完成後,對該基材進行一磊晶操作,以在該基材上之該些第一凹陷之一者與該些第二凹陷之一者中形成一源極,以及在該基材上之該些第一凹陷之另一者與該些第二凹陷之另一者中形成一汲極,或者其中該磊晶操作形成每一該源極與該汲極包含一矽鍺層。
  5. 如申請專利範圍第4項之方法,該方法更包含於該磊晶操作完成後,以一金屬閘極取代該虛設閘極。
  6. 一種鰭式場效電晶體之製造方法,該方法包含:形成一鰭狀結構於一基材上; 形成一介電層於該鰭狀結構之一上表面與二側面上,其中該些側面與該上表面之相對二邊連接,且該鰭狀結構與該介電層由不同材料所組成;形成一虛設閘極於該介電層之一第一部分上;形成二間隙壁分別位於該虛設閘極之相對二側壁上,其中形成該些間隙壁之操作包含形成該些間隙壁分別位於該介電層之二第二部分上、以及暴露出該介電層之二第三部分,其中該介電層之每一該些第二部分位於該介電層之該第一部分與該些第三部分之一者之間;對該介電層與該鰭狀結構進行一第一蝕刻操作,以移除該介電層之該些第三部分與每一該些第二部分之一部分、以及位於該介電層之該些第三部分與該些第二部分的該些部分下方之該鰭狀結構之二第一部分,藉以在該些間隙壁中分別形成二第一凹陷;對該鰭狀結構進行一第二蝕刻操作,以移除該鰭狀結構之二第二部分,該鰭狀結構之該些第二部分分別與該鰭狀結構之該些第一部分相鄰,藉以在該介電層中形成二第二凹陷,其中該些第二凹陷分別與該些第一凹陷連通;對該些第一凹陷與該些第二凹陷進行一濕式清潔操作;以及對該基材進行一磊晶操作,以在該基材上之該些第一凹陷之一者與該些第二凹陷之一者中形成一源極,以及在該基材上之該些第一凹陷之另一者與該些第二凹陷之另一者中形成一汲極。
  7. 如申請專利範圍第6項之方法,其中形成該鰭狀結構之操作以矽形成該鰭狀結構,形成該介電層之操作以氧化矽形成該介電層,或者,其中形成該些間隙壁之操作以氮化矽形成該些間隙壁,或者,其中形成該虛設閘極之操作以多晶矽形成該虛設閘極,或者,其中進行該磊晶操作以形成每一該源極與該汲極包含一矽鍺層。
  8. 如申請專利範圍第6項或第7項之方法,其中利用凹入該基材來進行形成該鰭狀結構之操作,或者,其中該方法更包含於該磊晶操作完成後,以一金屬閘極取代該虛設閘極。
  9. 一種鰭式場效電晶體,包含:一基材;一鰭狀結構位於該基材上;一介電層設於該鰭狀結構上且覆蓋該鰭狀結構之相對二側面,其中該介電層包含二第一部分突出於該鰭狀結構之該些側面而使二第一凹陷形成於該介電層中,該些第一凹陷彼此相對;一金屬閘極位於該介電層之一第二部分上,其中該第二部分夾在該介電層之該些第一部分之間; 二間隙壁分別位於該介電層之該些第一部分上,其中該些間隙壁分別突出於該介電層之該些第一部分,而使二第二凹陷形成於該些間隙壁中;一源極設於該基材上之該些第一凹陷之一者與該些第二凹陷之一者中;以及一汲極設於該基材上之該些第一凹陷之另一者與該些第二凹陷之另一者中。
  10. 如申請專利範圍第9項之鰭式場效電晶體,其中該鰭狀結構由矽所組成,該介電層由氧化矽所組成,或者,其中該些間隙壁由氮化矽所組成,或者,其中該源極與該汲極由矽鍺所組成,或者,其中每一該源極與該汲極包含一磊晶層。
TW105137513A 2015-12-30 2016-11-16 鰭式場效電晶體及其製造方法 TWI621170B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/984,514 2015-12-30
US14/984,514 US9570567B1 (en) 2015-12-30 2015-12-30 Source and drain process for FinFET

Publications (2)

Publication Number Publication Date
TW201735152A true TW201735152A (zh) 2017-10-01
TWI621170B TWI621170B (zh) 2018-04-11

Family

ID=57965109

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105137513A TWI621170B (zh) 2015-12-30 2016-11-16 鰭式場效電晶體及其製造方法

Country Status (3)

Country Link
US (4) US9570567B1 (zh)
CN (1) CN106935507B (zh)
TW (1) TWI621170B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111200023A (zh) * 2018-11-19 2020-05-26 台湾积体电路制造股份有限公司 集成电路器件和形成集成电路结构的方法

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9570567B1 (en) * 2015-12-30 2017-02-14 Taiwan Semiconductor Manufacturing Co., Ltd. Source and drain process for FinFET
US9685554B1 (en) * 2016-03-07 2017-06-20 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor and semiconductor device
KR102592326B1 (ko) * 2016-06-20 2023-10-20 삼성전자주식회사 집적회로 소자 및 그 제조 방법
KR102373630B1 (ko) 2017-05-26 2022-03-11 삼성전자주식회사 반도체 장치
US20190081155A1 (en) * 2017-09-13 2019-03-14 Globalfoundries Inc. Nanosheet transistor with improved inner spacer
KR102574323B1 (ko) * 2018-07-23 2023-09-05 삼성전자주식회사 반도체 장치
US11791401B2 (en) * 2020-07-30 2023-10-17 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-gate device and related methods

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8450165B2 (en) * 2007-05-14 2013-05-28 Intel Corporation Semiconductor device having tipless epitaxial source/drain regions
US8598003B2 (en) * 2009-12-21 2013-12-03 Intel Corporation Semiconductor device having doped epitaxial region and its methods of fabrication
US8313999B2 (en) * 2009-12-23 2012-11-20 Intel Corporation Multi-gate semiconductor device with self-aligned epitaxial source and drain
CN102315268B (zh) * 2010-07-01 2013-07-10 中国科学院微电子研究所 半导体器件及其制造方法
US20140167163A1 (en) * 2012-12-17 2014-06-19 International Business Machines Corporation Multi-Fin FinFETs with Epitaxially-Grown Merged Source/Drains
US9147682B2 (en) * 2013-01-14 2015-09-29 Taiwan Semiconductor Manufacturing Company, Ltd. Fin spacer protected source and drain regions in FinFETs
KR102003276B1 (ko) * 2013-02-14 2019-07-24 삼성전자 주식회사 반도체 소자 제조 방법
KR102038486B1 (ko) * 2013-04-09 2019-10-30 삼성전자 주식회사 반도체 장치 및 그 제조 방법
US8993384B2 (en) * 2013-06-09 2015-03-31 United Microelectronics Corp. Semiconductor device and fabrication method thereof
KR20150054497A (ko) * 2013-11-12 2015-05-20 삼성전자주식회사 반도체 장치 제조 방법
KR101684010B1 (ko) * 2013-11-29 2016-12-07 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 반도체 디바이스의 콘택 구조물
US9159811B2 (en) * 2013-12-18 2015-10-13 International Business Machines Corporation Growing buffer layers in bulk finFET structures
KR102216424B1 (ko) * 2013-12-18 2021-02-17 인텔 코포레이션 유효 게이트 길이를 증가시킴으로써 트랜지스터 채널에 걸쳐 게이트 제어를 개선하는 기술들
US9871121B2 (en) * 2014-03-10 2018-01-16 Qualcomm Incorporated Semiconductor device having a gap defined therein
US9859423B2 (en) * 2014-12-31 2018-01-02 Stmicroelectronics, Inc. Hetero-channel FinFET
US9570567B1 (en) * 2015-12-30 2017-02-14 Taiwan Semiconductor Manufacturing Co., Ltd. Source and drain process for FinFET

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111200023A (zh) * 2018-11-19 2020-05-26 台湾积体电路制造股份有限公司 集成电路器件和形成集成电路结构的方法
CN111200023B (zh) * 2018-11-19 2023-12-08 台湾积体电路制造股份有限公司 集成电路器件和形成集成电路结构的方法

Also Published As

Publication number Publication date
CN106935507B (zh) 2020-01-14
CN106935507A (zh) 2017-07-07
TWI621170B (zh) 2018-04-11
US10515793B2 (en) 2019-12-24
US9922816B2 (en) 2018-03-20
US20180204718A1 (en) 2018-07-19
US20200135449A1 (en) 2020-04-30
US20170194441A1 (en) 2017-07-06
US11127586B2 (en) 2021-09-21
US9570567B1 (en) 2017-02-14

Similar Documents

Publication Publication Date Title
TW201735152A (zh) 鰭式場效電晶體及其製造方法
US11121213B2 (en) Fin recess last process for FinFET fabrication
TWI695509B (zh) 半導體元件、鰭式場效電晶體元件及其形成方法
KR101388329B1 (ko) FinFET 소자를 제조하는 방법
TWI682467B (zh) 鰭式場效電晶體的製作方法與半導體元件及其製作方法
US9461041B2 (en) Metal gate finFET device
KR101504825B1 (ko) 다중 게이트 디바이스를 제조하는 방법
CN105470295B (zh) 鳍状结构及其制造方法
KR101112046B1 (ko) 자기 정렬된 다마신 게이트
TWI711076B (zh) 鰭片型場效應電晶體及用於製造其的方法
TW201727793A (zh) 半導體結構及其製造方法
US9859276B2 (en) FinFET semiconductor device having fins with stronger structural strength
US10319597B2 (en) Semiconductor device with particular fin-shaped structures and fabrication method thereof
US10497810B2 (en) Method for fabricating semiconductor device
TW201724281A (zh) 鰭式場效電晶體的製作方法
TWI619170B (zh) 半導體元件及其製造方法
US20170287723A1 (en) Semiconductor device and method for fabricating the same
TW201911422A (zh) 製造半導體元件之方法
TWI838152B (zh) 半導體裝置及其製造方法
JP2009071228A (ja) 半導体装置および半導体装置の製造方法