TW201719838A - 單粒化與接合作用以及藉其所形成的結構 - Google Patents

單粒化與接合作用以及藉其所形成的結構 Download PDF

Info

Publication number
TW201719838A
TW201719838A TW105124586A TW105124586A TW201719838A TW 201719838 A TW201719838 A TW 201719838A TW 105124586 A TW105124586 A TW 105124586A TW 105124586 A TW105124586 A TW 105124586A TW 201719838 A TW201719838 A TW 201719838A
Authority
TW
Taiwan
Prior art keywords
wafer
interconnect structure
semiconductor substrate
bonding
substrate
Prior art date
Application number
TW105124586A
Other languages
English (en)
Other versions
TWI628765B (zh
Inventor
余振華
吳倉聚
邱文智
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201719838A publication Critical patent/TW201719838A/zh
Application granted granted Critical
Publication of TWI628765B publication Critical patent/TWI628765B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/185Joining of semiconductor bodies for junction formation
    • H01L21/187Joining of semiconductor bodies for junction formation by direct bonding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/561Batch processing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/3114Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed the device being a chip scale package, e.g. CSP
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/525Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body with adaptable interconnections
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/07Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L29/00
    • H01L25/071Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L29/00 the devices being arranged next and on each other, i.e. mixed assemblies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/568Temporary substrate used as encapsulation process aid
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06513Bump or bump-like direct electrical connections between devices, e.g. flip-chip connection, solder bumps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06527Special adaptation of electrical connections, e.g. rewiring, engineering changes, pressure contacts, layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06541Conductive via connections through the device, e.g. vertical interconnects, through silicon via [TSV]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06548Conductive via connections through the substrate, container, or encapsulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06555Geometry of the stack, e.g. form of the devices, geometry to facilitate stacking

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本揭露提供單粒化與接合的方法以及藉其所形成的結構。方法包含單粒化一第一晶片並且在單粒化該第一晶片之後,接合該第一晶片至一第二晶片。該第一晶片包含一第一半導體基板以及於該第一半導體基板之一正面上的一第一互連結構。單粒化該第一晶片包含蝕刻穿過該第一半導體基板的一背面穿過該第一互連結構。

Description

單粒化與接合作用以及藉其所形成的結構
本揭露係關於單粒化與接合方法以及藉其所形成的結構。
由於各種電子元件(例如電晶體、二極體、電阻器、電容器等)之整合密度持續改良,半導體產業已經歷快速成長。在大多數的情況下,整合密度的改良是來自於重複降低最小特徵尺寸(例如,縮小半導體製程節點至次20nm世代),這使得可在給定面積上整合更多元件。由於微小化的需求,近來已經發展更高速度與更大的帶寬以及更低的功率消耗與延遲時間,已成長需要半導體晶粒之更小與更多創新的封裝技術。
隨著半導體技術的進展,堆疊的半導體裝置,例如3D積體電路(3DIC)已成為有效的替代,以更進一步縮小半導體裝置的實體尺寸。在一堆疊的半導體裝置中,在不同的半導體晶圓上,製造主動電路,例如邏輯、記憶體、處理器電路、以及類似物。可在另一個的頂部上配置二或多的半導體晶圓,以更進一步縮小半導體裝置的尺寸架構。
兩個半導體晶圓可經由合適的接合技術而接合在一起。在堆疊的半導體晶圓之間可提供電連接。堆疊的半導體裝置可提 供更高的密度與更小的尺寸架構,使得效能增加與功率消耗降低。
本揭露的一些實施例係提供一種方法,其包括單粒化一第一晶片,該第一晶片包括一第一半導體基板以及在該第一半導體基板之一正面上的一第一互連結構,該單粒化該第一晶片包含蝕刻穿過該第一半導體基板之一背面穿過該第一互連結構;以及在該單粒化該第一晶片之後,接合該第一晶片至一第二晶片。
本揭露的一些實施例係提供一種方法,其包括形成一第一晶片於一未單粒化的第一晶圓上,該第一晶片包括一第一半導體基板,其係該未單粒化的第一晶圓之一部分,並且包括一第一互連結構於該第一半導體基板上;自該第一晶圓的一剩餘部分,單粒化該第一晶片;以及在單粒化該第一晶片之後,接合該第一晶片至一第二晶片,該第二晶片係於一未單粒化的第二晶圓上,該第二晶片包括一第二半導體基板,其係該未單粒化的第二晶圓之一部分,並且包括一第二互連結構於該第二半導體基板上,該第一互連結構係接合至該第二互連結構,在該接合之後,該第一互連結構的一外部側壁係以小於90°的一角度與該第一晶片與該第二晶片之間的一接合界面會合。
本揭露的一些實施例係提供一種結構,其包括一第一晶片,其包括一第一半導體基板以及於該第一半導體基板之一正面上的一第一互連結構;以及一第二晶片,其接合至該第一晶片,該第二晶片包括一第二半導體基板以及於該第二半導體基板之一正面上的一第二互連結構,在該第一晶片與該第二晶片之間的一接合界面之處的該第一晶片的一外部側壁係以小於90°的一內角與該接合界面交會。
100‧‧‧第一晶片
102‧‧‧第一半導體基板
104‧‧‧第一電晶體
106‧‧‧介電層
108‧‧‧金屬化圖案
110‧‧‧第一晶片正面互連結構
112‧‧‧載體基板
114‧‧‧脫膜層
116‧‧‧內角
120‧‧‧切割膠帶
130‧‧‧第二晶片
132‧‧‧第二半導體基板
134‧‧‧第二電晶體
136‧‧‧介電層
138‧‧‧金屬化圖案
140‧‧‧第二晶片正面互連結構
142‧‧‧囊封物
144‧‧‧貫穿通路
146‧‧‧介電層
148‧‧‧金屬化圖案
150‧‧‧第二晶片背面互連結構
152‧‧‧接點凸塊
160‧‧‧囊封物
162‧‧‧貫穿通路
166‧‧‧介電層
168‧‧‧金屬化圖案
170‧‧‧第一晶片堆疊互連結構
172‧‧‧接點凸塊
180‧‧‧第三晶片
182‧‧‧第三半導體基板
186‧‧‧介電層
188‧‧‧金屬化圖案
190‧‧‧第三晶片正面互連結構
200‧‧‧囊封物
202‧‧‧貫穿通路
206‧‧‧介電層
208‧‧‧金屬化圖案
210‧‧‧第二晶片堆疊互連結構
212‧‧‧接點凸塊
214‧‧‧載體基板
216‧‧‧脫膜層
222‧‧‧貫穿通路
226‧‧‧介電層
228‧‧‧金屬化圖案
230‧‧‧第二晶片背面互連結構
240‧‧‧第三積體電路晶片
242‧‧‧第三半導體基板
246‧‧‧介電層
248‧‧‧金屬化圖案
250‧‧‧第三晶片正面互連結構
260‧‧‧囊封物
262‧‧‧貫穿通路
266‧‧‧介電層
268‧‧‧金屬化圖案
270‧‧‧第一晶片堆疊互連結構
272‧‧‧接點凸塊
274‧‧‧載體基板
276‧‧‧脫膜層
278‧‧‧切割膠帶
280‧‧‧第三晶片
282‧‧‧第三半導體基板
286‧‧‧介電層
288‧‧‧金屬化圖案
290‧‧‧第三晶片正面互連結構
300‧‧‧囊封物
304‧‧‧介電層
306‧‧‧介電層
308‧‧‧金屬化圖案
310‧‧‧第三晶片背面互連結構
312‧‧‧接點凸塊
314‧‧‧載體基板
316‧‧‧脫膜層
318‧‧‧切割膠帶
320‧‧‧第三晶片
322‧‧‧第三半導體基板
326‧‧‧介電層
328‧‧‧金屬化圖案
330‧‧‧第三晶片正面互連結構
340‧‧‧囊封物
344‧‧‧貫穿通路
346‧‧‧介電層
348‧‧‧金屬化圖案
350‧‧‧第三晶片背面互連結構
352‧‧‧接點凸塊
400‧‧‧第一晶片
402‧‧‧第一半導體基板
404‧‧‧貫穿通路
406‧‧‧介電層
408‧‧‧金屬化圖案
410‧‧‧第一晶片正面互連結構
420‧‧‧第二晶片
422‧‧‧第二半導體基板
426‧‧‧介電層
428‧‧‧金屬化圖案
430‧‧‧第二晶片正面互連結構
440‧‧‧囊封物
444‧‧‧載體基板
446‧‧‧脫膜層
456‧‧‧介電層
458‧‧‧金屬化圖案
460‧‧‧第一晶片堆疊背面互連結構
468‧‧‧切割膠帶
為協助讀者達到最佳理解效果,建議在閱讀本揭露時同時參考附件圖示及其詳細文字敘述說明。請注意為遵循業界標準作法,本專利說明 書中的圖式不一定按照正確的比例繪製。在某些圖式中,尺寸可能刻意放大或縮小,以協助讀者清楚了解其中的討論內容。
圖1至圖8係說明第一實施例之中間步驟的結構之各種剖面圖,其中晶片被單粒化並且接合至一較大基板。
圖9至圖11係說明第二實施例之中間步驟的結構之各種剖面圖,其中晶片被單粒化並且接合至一較大基板。
圖12與13係說明第三實施例之中間步驟的結構之各種剖面圖,其中晶片被單粒化並且接合至一較大基板。
圖14至16係說明第四實施例之中間步驟的結構之各種剖面圖,其中晶片被單粒化並且接合至一較大基板。
圖17至22係說明第五實施例之中間步驟的結構之各種剖面圖,其中晶片被單粒化並且接合至一較大基板。
圖23至28係說明第六實施例之中間步驟的結構之各種剖面圖,其中晶片被單粒化並且接合至一較大基板。
圖29至36係說明第七實施例之中間步驟的結構之各種剖面圖,其中晶片被單粒化並且接合至一較大基板。
本揭露提供了數個不同的實施方法或實施例,可用於實現本發明的不同特徵。為簡化說明起見,本揭露也同時描述了特定零組件與佈置的範例。請注意提供這些特定範例的目的僅在於示範,而非予以任何限制。舉例而言,在以下說明第一特徵如何在第二特徵上或上方的敘述中,可能會包括某些實施例,其中第一特徵與第二特徵為直接接觸,而敘述中也可能包括其他不同實施例,其中第一特徵與第二特徵中間另有其他特徵,以致於第一特徵與第二特徵並不直接接觸。此外,本揭露中的各種範例可能使用重複的參考數字和/或文字註記,以使文件更加簡單化和明確,這些重複的參考數字與註記不 代表不同的實施例與配置之間的關聯性。
另外,本揭露在使用與空間相關的敘述詞彙,如“在...之下”,“低”,“下”,“上方”,“之上”,“下”,“頂”,“底”和類似詞彙時,為便於敘述,其用法均在於描述圖示中一個元件或特徵與另一個(或多個)元件或特徵的相對關係。除了圖示中所顯示的角度方向外,這些空間相對詞彙也用來描述該裝置在使用中以及操作時的可能角度和方向。該裝置的角度方向可能不同(旋轉90度或其它方位),而在本揭露所使用的這些空間相關敘述可以同樣方式加以解釋。
本揭露所述之實施例的討論內容係各種單粒化以及接合一晶片(與/或晶片堆疊)至一晶圓。該技藝中具有通常技術者可輕易理解本揭露所討論的實施粒之各方面可應用於其他內容,例如晶片對晶片接合以及晶圓對晶圓接合。應注意本揭露所討論的實施例可不需要說明可存在於結構中的每一個元件或特徵。例如,當元件之一的討論足以傳達該實施例時,圖式可省略元件的倍數。再者,本揭露所討論的方法實施例可描述為一特定順序進行;然而,其他方法實施例可用任何邏輯順序進行。
圖1至8係說明第一實施例之中間步驟中的結構之剖面圖,其中一晶片被單粒化並且接合至一較大基板,例如一晶圓。先參閱圖1,其係說明在單粒化之前的第一積體電路晶片(或更簡單稱為「晶片」)100。第一晶片100包含第一半導體基板102,其具有第一電性電路(藉由圖示說明包含第一電晶體104的第一電性電路)形成於其上。第一半導體基板102可包括例如塊狀半導體、絕緣體上半導體(SOI)基板、或類似物。通常,SOI基板包括形成於絕緣體層上的半導體材料層。該絕緣體層可為例如包埋的氧化物(BOX)層、氧化矽層、或類似物。該絕緣體層係提供於一基板上,典型為矽或玻璃基板。第 一半導體基板102的半導體材料可包含元素半導體,例如矽、鍺、或類似物;化合物或合金半導體,包含SiC、SiGe、GaAs、GaP、InP、InAs、InSb、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP、GaInAsP、或類似物;或是其組合物。如圖1所示,第一半導體基板102係一較大半導體基板的一部分,該較大半導體基板例如晶圓,在單粒化之前,具有其他類似或相同晶片形成於其上。
在一實施例中,該電路包含電性裝置,例如第一電晶體104,形成於第一半導體基板102上,具有一或多個介電層106與個別的金屬化圖案108上覆該電性裝置。該電性裝置,例如第一電晶體104,可形成於被稱為第一半導體基板102的正面上。與第一半導體基板102之正面對立的第一半導體基板102之側可稱為第一半導體基板102的背面。介電層106(例如形成於第一半導體基板102的正面上)中的金屬化圖案108可導引(route)電性裝置與/或第一半導體基板102外部節點之間的電信號。電性裝置亦可形成於一或多個介電層106中。為便於後續參考,具有任何接點與/或通路的介電層106以及金屬化圖案108統稱為第一晶片正面互連結構110。
形成於第一半導體基板102上的第一電性電路可為用於特定應用之任何形式的電路。例如,第一電性電路可包含互連的各種裝置,例如電晶體、電容器、電阻器、二極體、光二極體、熔絲、以及類似物,以進行一或多種功能。第一電性電路可包含記憶體結構、資料處理結構、感測器、放大器、功率分佈、輸入/輸出電路、或類似物。圖1所示之範例說明第一半導體基板102中的第一電晶體104。各個第一電晶體104包括第一半導體基板102之主動區中的源極/汲極區,其中該主動區係由第一半導體基板102中的隔離區所定義,該隔離區例如淺溝槽隔離(shallow trench isolations,STI)。各個第一電晶體104進一步包括位於個別源極/汲極區之間的第一半導體基板 102上的閘極結構。該閘極結構包括在第一半導體基板102上的閘極介電質、在該閘極介電質上的閘極電極、以及在該閘極介電質與閘極電極的對立橫側上之閘極間隔物。該技藝中具有通常技術者可理解上述範例係供於說明之用。可使用其他電路適當用於一給定的應用。
介電層106可包含一或多個層間介電(inter-layer dielectric,ILD)層與一或多個金屬間介電(inter-metallization dielectric,IMD)層。藉由該技藝中已知的任何合適方法,例如旋塗、化學氣相沉積(chemical vapor deposition,CVD)、以及電漿輔助CVD(plasma-enhanced CVD,PECVD),介電層106可由例如低介電常數介電質形成,例如磷矽酸鹽玻璃(phosphosilicate glass,PSG)、硼磷矽酸鹽玻璃(borophosphosilicate glass,BPSG)、氟矽酸鹽玻璃(fluorosilicate glass,FSG)、SiOxCy、旋塗玻璃、旋塗聚合物、矽碳材料、其化合物、其複合物、其組合物、或類似物。亦應理解在第一晶片正面互連結構110中,可形成任何數目的介電層106。
雖然未特別說明,然而可形成接點穿過一或多個該介電層106,例如穿過ILD層,以提供電性接觸至第一電晶體104,例如至第一電晶體104的源極/汲極區。例如,藉由使用光微影蝕刻製程技術可形成接點,以沉積且圖案化ILD層上的光阻材料,以暴露欲變成接點之部分的ILD層。可使用蝕刻製程,例如非等向性乾式蝕刻製程,以於ILD層中產生開口。該開口可沿擴散阻障層與/或黏附層(未繪示)排列,並且以傳導材料填充。該擴散阻障層可包括一或多層的TaN、Ta、TiN、Ti、鈷鎢、或類似物,以及傳導材料可包括銅、鎢、鋁、銀、及其組合物、或類似物,藉以形成該接點。
同樣地,在介電層106中,例如IMD層中,可形成金屬化圖案108,其可包含通路至下方的金屬化圖案。藉由例如使用光微影蝕刻製程技術,可形成金屬化圖案108,以沉積與圖案化個別 IMD層上的光阻材料,以暴露欲形成個別金屬化圖案108之部分的IMD層。可使用一蝕刻製程,例如非等向性乾式蝕刻製程,以於IMD層中產生凹部/開口。該凹部/開口可沿擴散阻障層與/或黏附層(未繪示)排列,並且以傳導材料填充。該擴散阻障層可包括一或多層的TaN、Ta、TiN、Ti、鈷鎢、或類似物,以及傳導材料可包括銅、鎢、鋁、銀、及其組合物、或類似物,藉以形成該金屬化圖案108,如圖1所示。通常,使用介電層106,例如ILD層與IMD層,以及相關的金屬化圖案108,以互連該電性電路並且提供外部電連接。
亦應注意介電層106可進一步包含一或多個蝕刻停止層,其係位於ILD與IMD層之相鄰者之間。通常,當形成通路與或接點時,蝕刻停止層提供停止蝕刻製程的機制。蝕刻停止層係由具有不同於相鄰層之蝕刻選擇性的介電材料形成,例如下方的第一半導體基板102與上覆ILD層之間的蝕刻停止層。在一實施例中,藉由CVD或PECVD技術沉積蝕刻停止層,其可由SiN、SiCN、SiCO、CN、其組合物、或類似物形成。
參閱圖2,第一晶片100,作為較大基板的一部分,係藉由脫膜層114而黏附至載體基板112。脫膜層114將第一晶片100的第一晶片正面互連結構110黏附至載體基板112。載體基板112可為玻璃載體基板、陶瓷載體基板、或類似物。載體基板112可進一步為較大基板,例如晶圓。脫膜層114可由聚合物為基底的材料形成,在第一晶片100的單粒化之後,自第一晶片100(以及其他晶片)沿著載體基板110,可移除脫膜層114。在一些實施例中,脫膜層114係環氧化合物為基底的熱釋放材料,當加熱時,例如光熱轉換(Light-to-Heat-Conversion,LTHC)脫離塗層,會失去其黏著性質。在其他的實施例中,脫膜層114可為紫外光(ultra-violet,UV)膠,當暴露至UV光時,會失去其黏著性質。脫膜層114可分散為液體並且硬化、可為壓層於 載體基板112上的壓層膜、或可為類似物。
一旦第一晶片100,作為較大基板的一部分,黏附至載體基板112時,第一半導體基板102可被薄化。薄化製程可自第一半導體基板102的背面薄化第一半導體基板102。薄化製程可包含研磨製程,例如化學機械拋光(Chemical Mechanical Polishing,CMP)、回蝕製程、類似方法、或其組合。在一些實施例中,在薄化第一半導體基板102之前,第一晶片100的厚度(例如第一半導體基板102與第一晶片正面互連結構110的組合厚度)可為約300微米或更大,並且在薄化第一半導體基板102之後,該厚度可為約5微米或更大,例如約5微米至約20微米之間。
參閱圖3,當第一晶片100,作為較大基板的一部分,黏附至載體基板112時,經由第一半導體基板102的背面進行回蝕單粒化製程,自較大基板與/或其他晶片,單粒化第一晶片100。蝕刻單粒化製程可使用光微影蝕刻製程以及蝕刻技術。蝕刻單粒化製程可包含沉積、曝光、以及顯影第一半導體基板102之背面上的一光阻。此製程圖案化第一半導體基板102之背面上的該光阻。光阻中所形成的圖案暴露第一晶片100周圍之待蝕刻的單粒化區藉以單粒化第一晶片100與/或自較大基板單粒化類似晶片。而後,可經由圖案化的光阻,進行蝕刻製程。蝕刻製程可為非等向性蝕刻,例如深反應性離子蝕刻(DRIE)、感應耦合電漿(ICP)蝕刻、電容耦合電漿(CCP)蝕刻、類似方法、或其組合。蝕刻製程經由第一半導體基板102與第一晶片正面互連結構110蝕刻穿過單粒化區。在完成蝕刻製程之後,單粒化第一晶片100與/或其他類似晶片。在該蝕刻製程過程中,第一半導體基板102的背面上可存在各種層,例如硬遮罩層、抗反射塗覆(ARC)層、類似物、或其組合。
該蝕刻製程可形成第一晶片100的側壁,其係垂直 的、非垂直的、或其組合。例如,最接近第一半導體基板102的背面之第一晶片100的側壁可為垂直的,而最接近第一晶片正面互連結構110側壁或在第一晶片正面互連結構110上的側壁可為非垂直的。如圖所示,第一晶片100的第一晶片正面互連結構110的側壁係非垂直的。第一晶片100的第一晶片正面互連結構110的側壁與自第一半導體基板102遠端之第一晶片100的第一晶片正面互連結構110的外表面之間的內角116係小於90°,該內角116可進一步為約89°至約60°之間,並且更特別地,可為約88°至約80°之間。
圖3所討論的蝕刻單粒化製程可使用第一基板100的佈局形狀更具靈活變化性(flexibility)。例如,包含允許第一晶片100的矩形佈局形狀,第一晶片100的佈局形狀可為六角形、八角形、圓形、橢圓形、其他多角形狀、或類似者。
參閱圖4,單粒化的第一晶片100而仍黏附至載體基板112係被翻轉並且附接至切割膠帶120。參閱圖5,進行載體基板分離製程,以自第一晶片100,例如自第一晶片正面互連結構110,分離載體基板112。根據一些實施例,脫離包含投射例如雷射光或UV光於脫膜層114上,因而脫膜層114分解,並且載體基板112可被移除。可進行清除製程,以於分離製程之後,移除留在第一晶片100上之脫膜層114的殘留物。
參閱圖6,其係說明在單粒化之前的第二積體電路晶片(或更簡單稱為「晶片」)130。圖1的第一晶片100之許多討論可應用於圖6的第二晶片130。該技藝中具有通常技術者可輕易理解第一晶片100與第二晶片130的對應特徵與討論,因此,為求簡便,於此處省略第二晶片130的一些特徵討論。第二晶片130包含具有第二電性電路(說明為包含第二電晶體134)的第二半導體基板132,並且具有第二晶片正面互連結構140,其具有一或多各介電層136與個別金屬化圖案 138。第二電性電路可包含記憶體結構、資料處理結構、感測器、放大器、功率分佈、輸入/輸出電路、或類似物。第二半導體基板132係較大半導體基板的一部分,該較大半導體基板例如晶圓,與在單粒化之前形成於其上的類似或相同晶片。
進一步參閱圖6,第一晶片100而後接合至第二晶片130,例如,第二晶片130是較大基板的一部分,該較大基板例如晶圓。因此,圖6可說明晶圓上晶片(CoW)接合。可自切割膠帶120移除第一晶片100,並且使用取放工具,第一晶片100可於第二晶片130上方對齊。而後,第一晶片100接觸第二晶片130,並且發生接合。如圖所示,第一晶片100的第一晶面正面互連結構110係接合至第二晶片130的第二晶片正面互連結構140。為了便於後續參考,第一晶片100與第二晶片130,如所接合的,可稱為晶片堆疊100/130。該接合可包含接合最遠離第一半導體基板102的第一晶片100之一介電層106至最遠離第二半導體基板132的第二晶片130之一介電層136。該接合可進一步包含接合最遠離第一半導體基板102的第一晶片100之金屬化圖案108至最遠離第二半導體基板132的第二晶片130之金屬化圖案138。再者,接合可包含接合第一晶片100的介電層106至第二晶片130的金屬化圖案138,以及/或第一晶片100的金屬化圖案108至第二晶片130的介電層136。因此,接合可包含介電質對介電質接合、金屬對金屬接合、金屬對介電質接合、以及/或類似者。在一些例子中,金屬對金屬接合允許第一晶片100與第二晶片130之間的電性互連。
進一步如圖6所示,第一晶片100的側壁對於第一晶片100與第二晶片130之間的接合界面之內角116係存在於接合的結構中。如前所述,單粒化蝕刻製程可造成第一晶片100的側壁為非垂直的,因而接著可造成內角116存在於該非垂直側壁與第一晶片100及第二晶片130之間的接合界面之間。
參閱圖7,例如同時第二晶片130係較大基板的一部分,以第二晶片130上的囊封物142囊封第一晶片100。囊封物可為塑封料、環氧化合物、樹脂、或類似物,並且可使用壓縮塑形、轉移塑形、或類似方法,形成囊封物142用於囊封第一晶片100。
參閱圖8,進行第二基板132之背面上的製程。此製程可包含薄化第二半導體基板132。薄化製程可自第二半導體基板132的背面薄化第二半導體基板132。薄化製程可包含研磨製程,例如CMP、回蝕製程、類似方法、或其組合。在一些實施例中,在薄化第二半導體基板132之前,第二晶片130的厚度(例如,第二半導體基板132與第二晶片正面互連結構140的組合厚度)可為約300微米或更大,並且在薄化第二半導體基板132之後,該厚度可為約5微米或更大,例如約5微米至約20微米。
而後,形成貫穿通路144與第二晶片背面互連結構150,第二晶片背面互連結構150具有一或多個介電層146與個別金屬化圖案148。以下說明係描述此等特徵的範例架構,然而本文所描述的一些細節可未明確繪示圖8中。該技藝中具有通常技術者輕易理解可使用其他架構。
貫穿通路144係穿過第二半導體基板132。形成電連接自第二半導體基板132的背面延伸至一或多個金屬化圖案138與/或108。首先,形成用於穿過第二半導體基板132的貫穿通路144的開口。該開口的形成可使用例如光微影蝕刻技術,以沉積且圖案化第二半導體基板132之背面上的光阻材料,以暴露將形成該開口處的第二半導體基板132。可使用蝕刻製程,例如非等向性乾式蝕刻製程,以於第二半導體基板132產生開口。在這些製程過程中,第二半導體基板132上可存在各種層,例如硬遮罩層、ARC層、類似物、或其組合。
在第二半導體基板132的背面上方以及沿著第二半導體基板132中的開口之側壁,可形成一或多個介電膜。介電膜可於貫穿通路與裝置電路之間提供鈍化與隔離,並且例如在後續蝕刻製程過程中,可對於第二半導體基板132提供保護。再者,介電膜可提供保護金屬離子免於擴散至第二半導體基板132中。
在一實施例中,沿著第二半導體基板132的背面以及於該開口中,形成多層介電膜。該多層介電膜包括第一介電膜以及位於該第一介電膜上方的第二介電膜。選擇第一介電膜與第二介電膜的材料,使得該兩層之間具有相對高的蝕刻選擇性。可使用的介電材料的範例係第一介電膜為氮化物材料以及第二介電膜為氧化物。可使用CVD技術,形成氮化物層,例如氮化矽(Si3N4)層。可使用熱氧化作用或CVD技術,形成氧化物層,例如二氧化矽層。可使用其他材料,包含其他氧化物、其他氮化物、SiON、SiC、低介電常數介電材料(例如,黑鑽石)、以及/或高介電常數氧化物(例如,HfO2,Ta2O5)。使用例如乾式蝕刻製程,自第二介電膜形成間隔物形狀的結構,使得蝕刻第二介電膜,同時對於第一介電膜造成很少或無破壞。
在第二半導體基板132的背面上方,形成圖案化的遮罩,其可為例如已經沉積、遮罩、曝光、顯影成為光微影蝕刻製程之一部分的光阻材料。圖案化的遮罩被圖案化以定義通路開口,其延伸穿過個別開口,其穿過第二半導體基板132且穿過介電層136與/或106,藉以暴露部分的一或多個金屬化圖案138與/或108。進行一或多個蝕刻製程,以形成這些通路開口。可進行合適的蝕刻製程,例如乾式蝕刻、非等向性溼式蝕刻、或任何其他合適的非等向性蝕刻或圖案化製程,以形成該通路開口。應注意該蝕刻製程可延伸穿過各種不同的層,以形成介電層,其可包含各種形式的材料與蝕刻停止層。據此,該蝕刻製程可使用多重蝕刻劑,以蝕刻穿過該各種層,其中依照 所要蝕刻的材料選擇該蝕刻劑。
在通路開口內,形成傳導材料。在一實施例中,藉由沉積一或多個擴散與/或阻障層以及沉積晶種層,可形成傳導材料。例如,沿著通路開口的側壁,可形成包括一或多層的Ta、TaN、TiN、Ti、鈷鎢、或類似物的擴散阻障層。晶種層(未繪示)可由銅、鎳、金、其任何組合與/或類似物形成。可藉由任何沉積技術,例如物理氣相沉積(PVD)、CVD、與/或類似方法,形成擴散阻障層與晶種層。一旦已經在開口中沉積晶種層,使用電化學鍍製程或其他合適的製程,填充傳導材料於該通路開口中,例如鎢、鈦、鋁、銅、其任何組合與/或類似物。所填充的通路開口形成貫穿通路144。
可自第二半導體基板132的背面,移除過多的材料,例如過多的傳導材料與/或介電膜。在實施例中,可沿著第二半導體基板132的背面留下一或多層的多層介電層,以提供對於環境的附加保護。可使用蝕刻製程、研磨或拋光製程(例如CMP製程)、或類似方法,移除任何過多的材料。
而後,在第二半導體基板132的背面上,可形成第二晶片背面互連結構150具有一或多個金屬化圖案148的一或多個介電層146。例如,一或多個介電層146可包含沿著第二半導體基板132的背面所形成的介電覆蓋層。介電覆蓋層可包括一或多層的介電材料,例如氮化矽、氮氧化矽、碳氧化矽、碳化矽、其組合、以及藉由例如使用合適的沉積技術,例如濺鍍、CVD、與類似方法所形成的多層。
可形成穿過介電覆蓋層至各個貫穿通路144的通路。該通路的形成例如藉由使用光微影蝕刻技術以沉積且圖案化介電覆蓋層上的光阻材料,以暴露待移除作為通路之介電覆蓋層的部分。可使用蝕刻製程,例如非等向性乾式蝕刻製程,以於介電覆蓋層中產生開口。該開口可貼齊擴散阻障層與/或黏附層(未繪示),並且以傳導材料 填充。擴散阻障層可包括一或多層的TaN、Ta、TiN、Ti、鈷鎢、或類似物,以及該傳導材料可包括銅、鎢、鋁、銀、及其組合、或類似物,藉以形成該通路穿過覆蓋層至個別的貫穿通路144。
在通路與介電覆蓋層上方形成傳導墊,該傳導墊與該通路電性接觸。傳導墊可包括鋁,但亦可使用其他材料,例如銅。傳導墊的形成可使用沉積製程,例如濺鍍或其他合適的製程,以形成材料層,而後可經由合適的製程(例如光微影蝕刻與蝕刻)移除部分的材料層以形成該傳導墊。然而,可使用任何合適的製程以形成傳導墊。
在介電覆蓋層與傳導墊上,可形成鈍化層。鈍化層可包括一或多個合適的介電材料,例如氧化矽、氮化矽、其組合、或類似物。可使用例如CVD、PECVD、或任何合適的製程形成鈍化層。在已經形成鈍化層之後,可藉由移除部分的鈍化層以暴露至少一部分的下方傳導墊,而形成開口穿過鈍化層至傳導墊。可使用合適的光微影蝕刻與蝕刻製程,形成該開口。
在鈍化層上方,可形成第一介電層。第一介電層可由聚合物形成,例如聚亞醯胺、聚苯并噁唑(PBO)、苯并環丁烯(BCB)、以及類似物。藉由旋塗、壓層、或類似方法,可形成第一介電層。圖案化第一介電層,以形成開口穿過鈍化層中的開口,並且經由該開口暴露傳導墊。圖案化第一介電層可包含光微影蝕刻技術。可進行硬化步驟以硬化第一介電層。
鈍化後互連(PPI)可形成於第一介電層上方,並且填充第一介電層與鈍化層中的開口,藉以形成與傳導墊的電連接。PPI可作為重佈層,使得電連接至傳導墊之後續形成的凸塊下金屬(UBM)被置放於第二晶片130上任何所欲之位置。在一實施例中,使用合適的形成製程,例如PVD、濺鍍、或類似方法,藉由形成晶種層可形成PPI,其可包括鈦銅合金。而後,可形成光阻,以覆蓋晶種層,並且 圖案化光阻以暴露欲形成PPI之位置處所在之部分的晶種層。一旦已經形成且圖案化光阻,經由沉積製程,例如鍍,可在晶種層上形成傳導材料,例如銅。雖然所述之材料與方法適合形成傳導材料,然而這些材料與方法僅為範例。可使用任何其他合適的材料,例如AlCu或Au,以及任何其他合適的形成製程,例如CVD或PVD,以形成PPI。一旦已經形成傳導材料,經由合適的移除製程,例如灰化,例如使用氧氣電漿,可移除光阻。此外,例如可經由合適的蝕刻製程,在移除光阻之後,可移除受到光阻覆蓋的晶種層之部分。
在PPI與第一介電層上方,形成第二介電層。第二介電層可由聚合物形成,例如聚亞醯胺、PBO、BCB、以及類似物。可劑由旋塗、壓層、或類似方法,形成第二介電層。圖案化第二介電層,形成開口,經由該開口暴露PPI。第二介電層的圖案化可包含光微影蝕刻製程技術。可進行硬化步驟,以硬化第二介電層。
UBM可形成於第二介電層中的開口中並且電接觸PPI。UBM可包括三層傳導材料,例如鈦層、銅層、以及鎳層。該技藝中具有通常技術者可理解材料與層有許多合適的配置,例如鉻/鉻-銅合金/銅/金的配置、鈦/鈦鎢/銅的配置、或是銅/鎳/金的配置,其可適合UBM的形成。
於第二介電層上方並且沿著穿過第二介電層之開口的內部形成各層至PPI,可形成UBM。可使用鍍製程,例如電化學鍍,形成各層,然而亦可使用其他形成製程,例如濺鍍、蒸鍍、或PECVD製程。一旦已經形成所欲之層,而後可經由合適的光微影蝕刻遮罩與蝕刻製程,移除部分的層,移除不要的材料並且留下具有所欲之形狀的UBM。
在UBM上,形成接點凸塊152。接點凸塊152可為受控坍塌晶片連接(C4)與/或可包括材料,例如焊料、錫、或其他合適 的材料,例如銀、無鉛錫、或銅。在接點凸塊152係錫焊料凸塊的實施例中,經由蒸鍍、電鍍、印刷、焊料轉移、植球等,藉由初始形成錫層,可形成接點凸塊152。一旦已經在結構上形成錫層時,可進行回焊,以將該材料塑形為所欲之凸塊形狀。可使用其他的凸塊結構。例如,亦可使用金屬柱與焊料連接體。
在圖8所述之處理之後,可進行單粒化製程,以自第二半導體基板132之較大基板,單粒化晶片堆疊100/130。在一些實施例中,單粒化製程可為蝕刻單粒化製程,類似於圖2至5所述之製程。在一些實施例中,單粒化製程可使用鋸製程,而在其他實施例中,單粒化製程可為鋸與蝕刻單粒化製程的組合。
圖1至6與9至11係說明第二實施例的中間步驟中的結構之剖面圖,其中晶片係被單粒化且接合至較大基板,例如晶圓。進行關於圖1至6所述的處理。參閱圖9,例如同時第二晶片130是較大基板的部分,以第二晶片130上的囊封物160囊封第一晶片100。在一些實施例中,例如當後續處理僅使用低溫製程時,囊封物160可為塑封料、環氧化合物、樹脂、或類似物,並且使用壓縮塑形、轉移塑形、或類似方法,形成囊封物160用於囊封第一晶片100。在一些實施例中,例如當後續處理包含高溫處理時,囊封物160可為介電質囊封物,例如前端線(FEOL)過程所使用的氧化物介電質,例如PECVD沉積的氧化物、可回焊的化學氣相沉積(FCVD)沉積的四乙基矽氧烷(tetraethyl orthosilicate,TEOS)、或類似方法過程所使用的氧化物介電質。可使用平坦化製程,例如CMP,以平坦化第一半導體基板102的背面與囊封物160,以及/或移除過多的囊封物160。
參閱圖10,形成貫穿通路162以及具有個別金屬化圖案的一或多個介電層166之第一晶片堆疊互連結構170。貫穿通路162係穿過第一半導體基板102。形成電連接,自第一半導體基板102的背 面延伸至一或多個金屬化圖案138與/或108。可使用如上述關於圖8的貫穿通路144之形成製程,形成貫穿通路162。第一晶片堆疊互連結構170的金屬化圖案168係連接至貫穿通路162,以提供自第一晶片堆疊互連結構170至第一晶片100與第二晶片130的電連接。在一些實施例中,例如當後續製程僅使用低溫製程時,可使用如上述關於圖8的第二晶片背面互連結構150的製程,形成第一晶片堆疊互連結構170。在一些實施例中,例如當後續處理包高溫製程時,可使用關於圖1的第一晶片正面互連結構110的形成製程,形成第一晶片堆疊互連結構170。
參閱圖11,接點凸塊172係形成於第一晶片堆疊互連結構170電耦合至金屬圖塊168。接點凸塊172與任何UBM可形成分別如上述圖8中關於接點凸塊152與UBM的形成。
在圖11所述之處理之後,可進行單粒化製程,以自第二半導體基板132的較大基板,單粒化晶片堆疊100/130。在一些實施例中,單粒化製程可為蝕刻單粒化製程,類似於上述關於圖2至5的製程。在其他實施例中,單粒化製程可使用鋸製程,而在其他實施例中,單粒化製程可為鋸與蝕刻單粒化製程的組合。
圖1至6、9、10、12與13係說明第三實施例的中間步驟中的結構之各種剖面圖,其中晶片被單粒化並且接合至較大基板,例如晶圓。進行如上述關於圖1至6、9與10的處理。參閱圖12,第三積體電路晶片(或更簡單稱為「晶片」)180係接合至第一晶片堆疊互連結構170。圖10的第一晶片100之許多討論係可應用至圖12的第三晶片180。該技藝中具有通常技術者可輕易理解第一晶片100與第三晶片之間對應的特徵與討論,因此,為了簡化,此處省略第三晶片180的一些特徵討論。第三晶片180包含第三半導體基板182,其具有第三電性電路,以及具有第三晶片正面互連結構190,其具有一或多個介電 層186與個別金屬化圖案188。第三電性電路可包含記憶體結構、資料處理結構、感測器、放大器、功率分佈、輸入/輸出電路、或類似物。第三晶片180可進行類似於上述關於圖1至5的處理。
例如同時第二晶片130是較大基板的部分,例如晶圓,第三晶片180係接合至第一晶片堆疊互連結構170。因此,圖12可描述CoW接合。第三晶片180接合至第一晶片堆疊互連結構170可如關於圖6所述的接合。如圖所示,第三晶片180的第三晶片正面互連結構190係接合至第一晶片堆疊互連結構170。該接合可包含接合最遠離第三半導體基板182之第三晶片180的一介電層186至最遠離第一半導體基板102之第一晶片堆疊互連結構170的一介電層166。該接合可進一步包含接合最遠離第三半導體基板182的第三晶片180之金屬化圖案188至最遠離第一半導體基板102的第一晶片堆疊互連結構170之金屬化圖案168。再者,該接合可包含接合第三晶片180的金屬化圖案188至第一晶片堆疊互連結構170的介電層,以及/或接合第三晶片180的介電層186至第一晶片堆疊互連結構170的金屬化圖案168。因此,接合可包含介電質對介電質接合,金屬對金屬接合,金屬對介電質接合,以及/或類似者。在一些例子中,金屬對金屬接合使得第一晶片100、第二晶片130、以及第三晶片180之間電性互連。
如圖12所示,在接合的結構中存在第三晶片180的側壁對於第三晶片180與第一晶片堆疊互連結構170之間的接合界面之內角116。如前所述,單粒化蝕刻製程可造成第三晶片180的側壁為非垂直的,因而可造成內角116存在於該非垂直側壁與第三晶片180及第一晶片堆疊互連結構170之間的接合界面之間。
參閱圖13,例如同時第二晶片130係較大基板的部份,以第一晶片堆疊互連結構170上的囊封物200囊封第三晶片180。囊封物200可如上述關於圖9的囊封物160。形成貫穿通路202與具有一 或多個介電層206與金屬化圖案208的第二晶片堆疊互連結構210。貫穿通路202係穿過第三半導體基板182。形成電連接,其自半導體基板182的背面延伸至一或多個金屬化圖案188與/或168。可使用如上述關於圖8的貫穿通路144之形成製程,形成貫穿通路162。第二晶片堆疊互連結構210的金屬化圖案208係連接至貫穿通路202,提供自第二晶片堆疊互連結構210至第一晶片100、第二晶片130、以及第三晶片180的電連接。可使用如上述關於圖10的第一晶片堆疊互連結構170的製程,形成第二晶片堆疊互連結構210。接點凸塊212形成於第二晶片堆疊互連結構210電耦合至金屬化圖案208。可如上述分別關於圖8的接點凸塊152與UBM之形成,形成接點凸塊212與任何UBM。
在圖13所述之處理之後,可進行單粒化製程,自第二半導體基板132的較大基板,單粒化晶片堆疊100/130/180。在一些實施例中,單粒化製程可為蝕刻單粒化製程,類似關於上述圖2至5之製程。在其他的實施例中,單粒化製程可使用鋸製程,而在其他實施例中,單粒化製程可為鋸與蝕刻單粒化製程的組合。
圖1至6、9與14至16係說明第四實施例的中間步驟中的結構之各種剖面圖,其中晶片被單粒化且接合至較大基板,例如晶圓。進行如上述關於圖1至6與9的處理。參閱圖14,進行在第二半導體基板132之背面上的處理。第一晶片100,囊封於囊封物160中,係藉由脫膜層216黏附至載體基板214。脫膜層216黏附第一晶片100的背面與囊封物160至載體基板214。載體基板214與脫膜層216可分別如上述關於圖2的載體基板112與脫膜層114。
一旦第一晶片100黏附至載體基板214,第二半導體基板132,作為較大基板的部分,可被薄化。該薄化製程可自第二半導體基板132的背面薄化第二半導體基板132。薄化製程可包含研磨製程,例如CMP、回蝕製程、類似方法、或其組合。在一些實施例中, 在薄化第二半導體基板132之前,第二晶片130的厚度(例如,第二半導體基板132與第二晶片正面互連結構140的組合厚度)可為約300微米或更大,以及在薄化第二半導體基板132之後,該厚度可為約5微米或更大,例如約5微米至約20微米之間。
形成貫穿通路222與具有一或多個介電層226與個別金屬化圖案228的第二晶片背面互連結構230。貫穿通路222係穿過第二半導體基板132。形成電連接,其自第二半導體基板132的背面延伸至一或多個金屬化圖案138與/或108。可使用如上述關於圖8的貫穿通路144的形成製程,形成貫穿通路222。第二晶片背面互連結構230的金屬化圖案228係連接至貫穿通路222,提供自第二晶片背面互連結構230至第一晶片100與第二晶片130的電連接。可使用上述關於圖10的第一晶片堆疊互連結構170的製程,形成第二晶片背面互連結構230。
參閱圖15,第三積體電路晶片(或更簡單稱為「晶片」)240係接合至第二晶片130,例如第二晶片背面互連結構230。圖1的第一晶片100之許多討論可應用於圖15的第三晶片240。該技藝中具有通常技術者可輕易理解第一晶片100與第三晶片240之間特徵與討論的對應,因此,為求簡化,此處可省略第三晶片240的一些特徵討論。第三晶片240包含第三半導體基板242,其具有第三電性電路,以及具有第三晶片正面互連結構250,其具有一或多個介電層與個別金屬化圖案248。第三電性電路可包含記憶體結構、資料處理結構、感測器、放大器、功率分佈、輸入/輸出電路、或類似物。第三晶片240可進行類似於上述關於圖1至5的處理。
例如同時第二晶片130係較大基板的部分,例如晶圓,第三晶片240係接合至第二晶片背面互連結構230。因此,圖15可描述CoW接合。如圖所示,第三晶片240的第三晶片正面互連結構250係接合至第二晶片背面互連結構230。該接合可包含接合最遠離第三 半導體基板242之第三晶片240的一介電層246至最遠離第二半導體基板132之第二晶片130的第二晶片背面互連結構的一介電層226。該接合可進一步包含接合最遠離第三半導體基板242之第三晶片240的金屬化圖案248至最遠離第二半導體基板132之第二晶片130的第二晶片背面互連結構230的金屬化圖案228。再者,接合可包含接合第三晶片240的金屬化圖案248至第二晶片背面互連結構230的介電層226,以及/或接合第三晶片240的介電層246至第二晶片背面互連結構230的金屬化圖案228。因此,接合可包含介電質對介電質接合、金屬對金屬接合、金屬對介電質接合、以及/或類似方法。在一些例子中,金屬對金屬接合使得第一晶片100、第二晶片130、以及第三晶片240之間的電性互連。
如圖15所示,在接合的結構中存在第三晶片240的側壁對於第三晶片240與第二晶片背面互連結構230之間的接合界面之內角116。如前所述,單粒化蝕刻製程可造成第三晶片240的側壁為非垂直的,因而可造成內角116存在於該非垂直側壁與第三晶片240及第二晶片背面互連結構230之間的接合界面之間。
參閱圖16,例如同時第二晶片130係較大基板的部分時,以第二晶片背面互連結構230上的囊封物囊封第三晶片240。囊封物260可如上述關於圖9的囊封物160。形成貫穿通路262與具有一或多個介電層266與金屬化圖案268的第一晶片堆疊互連結構270。貫穿通路262係穿過第三半導體基板242。形成電連接,其自第三半導體基板的背面延伸至一或多個金屬化圖案248與/或228。可使用上述關於圖8的貫穿通路144之形成製程,形成貫穿通路162。第一晶片堆疊互連結構270的金屬化圖案268係連接至貫穿通路262,提供自第一晶片堆疊互連結構270至第一晶片100、第二晶片130、以及第三晶片240的電連接。可使用如上述關於10中的第一晶片堆疊互連結構170的製程,形 成第一晶片堆疊互連結構270。接點凸塊272形成於第一晶片堆疊互連結構270電耦合至金屬化圖案268。如上述分別關於圖8中的接點凸塊152與UBM的形成,可形成接點凸塊272與任何UBM。
在圖16所述的處理之後,進行脫離製程,以移除載體基板214與脫膜層216,並且可進行單粒化,自第二半導體基板132的較大基板,單粒化晶片堆疊100/130/240。在一些實施例中,單粒化製程可為蝕刻單粒化製程,類似於上述關於圖2至5的製程。在其他實施例中,單粒化製程可使用鋸製程,而在其他實施例中,單粒化製程可為鋸與蝕刻單粒化製程的組合。
圖1至6、9、14與17至22係說明第五實施例中的中間步驟的結構之剖面圖,其中晶片被單粒化且接合至較大基板,例如晶圓。進行如上述關於圖1至6、9與14的處理。參閱圖17,自第一晶片100與囊封物160,脫離載體基板214,而後,第二晶片130的第二晶片背面互連結構230,同時為較大基板的部分,藉由脫膜層276而黏附至載體基板274。載體基板214與脫膜層216可如上述分別關於圖2中的載體基板112與脫膜層114。
參閱圖18,當第二晶片130,同時為較大基板,黏附至載體基板274時,進行蝕刻單粒化製程,穿過囊封物160、第二晶片前面互連結構140、第二半導體基板132、以及第二晶片背面互連結構230,自較大基板與/或其他晶片,單粒化晶片堆疊100/130。蝕刻單粒化製程可使用光微影蝕刻與蝕刻製程。蝕刻單粒化製程可包含沉積、曝光、以及顯影第一半導體基板102之背面與囊封物160上的光阻。此製成圖案化第一半導體基板的之背面與囊封物160上的光阻。光阻中形成的圖案暴露第一晶片100之周圍外部與囊封物160上待蝕刻的單粒化區,藉以自較大基板,單粒化第二晶片130與/或類似晶片。而後,可進行蝕刻製程穿過該圖案化的光阻。該蝕刻製程可為非等向性,例 如DRIE、ICP蝕刻、CCP蝕刻、類似方法、或其組合。蝕刻製程蝕刻穿過單粒化區,穿過囊封物160、第二晶片正面互連結構140、第二半導體結構132、以及第二晶片背面互連結構230。在蝕刻製程完成之後,單粒化包含第二晶片130與第一晶片100的晶片堆疊,以及/或其他類似的晶片堆疊。在蝕刻製程過程中,第一半導體基板102與囊封物160上可存在各種層,例如硬遮罩層、ARC層、類似物、或其組合。
該蝕刻製程可造成晶片堆疊100/130的側壁為垂直的、非垂直的、或其組合。例如,在第二晶片背面互連結構230的第二晶片130之側壁以及/或接近第二晶片背面互連結構230的第二晶片130之側壁可為非垂直的,而接近第一晶片100(例如囊封物160)的側壁可為垂直的。如圖所示,第二晶片130的第二晶片背面互連結構230的側壁係非垂直的。第二晶片130的第二晶片背面互連結構230之側壁與遠離第二半導體基板132之第二晶片背面互連結構230的外表面之間的內角116係小於90°,該內角116可進一步為約89°至約60°之間,並且更特別地,可為約88°至約80°之間。
關於圖18所討論的蝕刻單粒化製程可使得第二晶片130的佈局形狀可更具靈活變化性。例如,包含使得第二晶片130的矩形佈局形狀,該第二晶片130可為六角形、八角形、圓形、橢圓形、其他多角形、或類似者。
參閱圖19,被單粒化的晶片堆疊100/130,同時仍黏附至載體基板274,係被翻轉並且附接至切割膠帶278。自第二晶片130,例如自第二晶片背面互連結構230,進行載體基板分離製程。根據一些實施例,脫離包含投射例如雷射光或UV光的光於脫膜層276上,使得脫膜層276於光熱下分解,並且可移除載體基板274。可進行清除製程,以於分離製程之後,移除留在第二晶片130上的脫膜層276 之殘留物。
參閱圖20,其係說明在單粒化之前的第三積體電路晶片(或更簡單稱為「晶片」)280。圖1中的第一晶片100之許多討論可應用於圖20的第三晶片280。該技藝中具有通常技術者可輕易理解第一晶片100與第三晶片280之間特徵與討論的對應,因此,為求簡化,可在此處省略第三晶片280的一些特徵討論。第三晶片280包含第三半導體基板282,其具有第三電性電路,以及具有第三晶片正面互連結構290,其具有一或多個介電層286與個別的金屬化圖案288。第三電性電路可包含記憶體結構、資料處理結構、感測器、功率分佈、輸入/輸出電路、或類似物。
進一步參閱圖20,晶片堆疊100/130係接合至第三晶片280,同時第三晶片280是較大基板的部分,較大基板例如晶圓。因此,圖20可描述CoW接合。可自切割膠帶278移除晶片堆別100/130,並且使用取放工具,於第三晶片280上方對齊晶片堆疊100/130。而後,晶片堆疊100/130接觸第三晶片280,並且發生接合。如圖所示,第二晶片130的第二晶片背面互連結構230係接合至第三晶片280的第三晶片正面互連結構290。該接合可包含接合最遠離第二半導體基板132的第二晶片130之一介電層226至最遠離第三半導體基板282的第三晶片280之一介電層286。該接合可進一步包含接合最遠離第二半導體基板132的第二基片130之金屬化圖案228至最遠離第三半導體基板282的第三晶片280之金屬化圖案288。再者,該接合可包含接合第二晶片130的介電層226至第三晶片280的金屬化圖案288,以及/或接合第二晶片130的金屬化圖案228至第三晶片280的介電層286。因此,稽核可包含介電質對介電質接合、金屬對金屬接合、金屬對介電質接合、以及/或類似方法。在一些例子中,金屬對金屬接合使得第一晶片100、第二晶片130、以及第三晶片280之間的電性互連。
進一步如圖20所示,接合的結構中存在第二晶片130的側壁對於第二晶片130與第三晶片280之間的接合界面的內角116。如前所述,單粒化蝕刻製程可造成第二晶片的側壁為非垂直的,其而後可造成內角116存在於該非垂直側壁與第二晶片130與第三晶片280之間接合界面之間。
參閱圖21,例如同時第三晶片280係較大基板的一部分時,以第三晶片280上的囊封物300,囊封晶片堆疊100/130。囊封物300可為塑封料、環氧化合物、樹脂、或類似物,並且可使用壓縮塑形、轉移塑形、或類似方法,形成囊封物300用於囊封晶片堆疊100/130。
參閱圖22,進行第三半導體基板282之背面上的處理。此處理可包含薄化第三半導體基板282。薄化製程可如圖8所述。此後,形成貫穿通路304以及具有一或多個介電層306與個別金屬化圖案308的第三晶片背面互連結構310。形成貫穿通路304以及具有一或多個介電層306與個別金屬化圖案308的第三晶片背面互連結構310的製程可如關於圖8的貫穿通路144與第二晶片背面互連結構150之所述製程。貫穿通路304係穿過第三半導體基板282。形成電連接,自第三半導體基板282的背面延伸至一或多個金屬化圖案288與/或228。金屬化圖案308可連接至貫穿通路304。形成接點凸塊312於第三晶片背面互連結構310電耦合至金屬化圖案308。接點凸塊312與任何UBM的形成可分別如上述關於圖8的接點凸塊152與UBM之形成。
在圖22所述之處理之後,可進行單粒化製程,自第三半導體基板282的較大基板,單粒化晶片堆疊100/130/280。在一些實施例中,單粒化製程可為蝕刻單粒化製程,類似於上述關於圖2至5之製程。在其他實施例中,單粒化製程可使用鋸製程,而在其他的實施例中,單粒化製程可為鋸與蝕刻單粒化製程的組合。
圖1至6、9、10與23至28係說明第六實施例的中間步驟中的結構之各種剖面圖,其中晶片被單粒化且接合至較大基板,例如晶圓。至形上述關於圖1至6、9與10的處理。參閱圖23,進行第二半導體基板132之背面上的處理。第一晶片,如囊封於囊封物160中並且與第一晶片堆疊互連結構170藉由脫膜層316黏附至載體基板314。脫膜層316黏附第一晶片堆疊互連結構170至載體基板314。載體基板314與脫膜層316可分別如上述關於圖2的載體基板112與脫膜層114。
一旦第一晶片100黏附至載體基板314,可薄化第二半導體基板132。薄化製程可自第二半導體基板132的背面薄化第二半導體基板132。薄化製程可包含研磨製程,例如CMP、回蝕製程、類似方法、或其組合。在一些實施例中,在薄化第二半導體基板132之前,第二晶片130的厚度(例如,第二半導體基板132與第二晶片正面互連結構140的組合厚度)可為約300微米或更大,並且在薄化第二半導體基板132之後,該厚度可為約5微米或更大,例如,約5微米至約20微米之間。
參閱圖24,當第一晶片黏附至載體基板274以及第二晶片130仍為較大晶片的部分時,進行蝕刻單粒化製程,穿過第二半導體基板132的背面,自較大基板與/或其他晶片,單粒化晶片堆疊100/130。蝕刻單粒化製程可使用光微影蝕刻與蝕刻技術。蝕刻單粒化製程可包含沉積、曝光、以及顯影半導體基板132之背面上的光阻。此製程圖案化第二半導體基板132之背面上的光阻。該光阻中形成的圖案暴露第二晶片130周圍外部之待蝕刻的單粒化區,藉以自較大基板,單粒化第二晶片130與/或類似晶片。而後,可進行蝕刻製程穿過圖案化的光阻。該蝕刻製程可為非等向性,例如DRIE、ICP蝕刻、CCP蝕刻、類似方法、或其組合。該蝕刻製程蝕刻穿過單粒化區穿過第二半導體基板132、第二晶片正面互連結構140、囊封物160、 以及第一晶片堆疊互連結構170。在完成蝕刻製程之後,單粒化包含第二晶片130與第一晶片100的晶片堆疊,以及/或其他類似晶片堆疊。在蝕刻製程過程中,第二半導體基板132上可存在各種層,例如硬遮罩層、ARC層、類似物、或其組合。
蝕刻製程可造成晶片堆疊100/130的側壁,其為垂直的、非垂直的、或其組合。例如,在第二半導體基板132與/或最接近第二半導體基板132之第二晶片130的側壁可為垂直的,而第一晶片堆疊互連結構170與/或囊封物160的側壁可為非垂直的。如圖所示,第一晶片堆疊互連結構170與囊封物160的側壁係非垂直的。第一晶片堆疊互連結構170與遠離第一半導體基板102的第一晶片堆疊互連結構170之外表面之間的內角116係小於90°,該內角116可進一步為約89°至約60°之間,更特別地,可為約88°至約80°之間。
關於圖24所述之蝕刻單粒化製程可使得第二晶片130的佈局形狀可更具靈活變化性。例如,包含使得第二晶片130的矩形佈局形狀,第二晶片130的佈局形狀可為六角形、八角形、圓形、橢圓形、其他多角形狀、或類似者。
參閱圖25,包含第一晶片100與第二晶片130之單粒化的晶片堆疊而仍黏附至載體基板314係被翻轉並且附接至切割膠帶318。進行載體基板分離製程,自第一晶片堆疊互連結構170分離載體基板314。
參閱圖26,其係說明在單粒化之前的第三積體電路晶片(或更簡單稱為「晶片」)320。圖1的第一晶片100之許多討論可應用於圖26的第三晶片320。該技藝中具有通常技術者可輕易理解第一晶片100與第三晶片320之間特徵與討論的對應,因此,為求簡化,此處省略第三晶片320的一些特徵討論。第三晶片320包含第三半導體基板322,其具有第三電性電路,以及具有第三晶片背面互連結構350, 其具有一或多個介電層326與個別金屬化圖案328。第三電性電路可包含記憶體結構、資料處理結構、感測器、放大器、功率分佈、輸入/輸出電路、或類似物。
進一步參閱圖26,而後,晶片堆疊100/130接合至第三晶片320,例如同時第三晶片320係較大基板的部分,例如晶圓。因此,圖26可說明CoW接合。可自切割膠帶318移除晶片堆疊100/130,並且可使用取放工具,在第三晶片320上方對齊晶片堆疊100/130。而後,晶片堆疊100/130接觸第三晶片320,並且發生接合。如圖所示,第一晶片堆疊互連結構170接合至第三晶片320的第三晶片正面互連結構330。該接合可包含接合最遠離第一半導體基板102之第一晶片堆疊互連結構170的一介電層166至最選離第三半導體基板322之第三晶片320的一介電層326。該接合可進一步包含最遠離第一半導體基板102之接合第一晶片堆疊互連結構170的金屬化圖案168至最遠離第三半導體基板322之第三晶片320的金屬化圖案328。再者,該接合可包含接合第一晶片堆疊互連結構170的介電層166至第三晶片320的金屬化圖案328,以及/或接合第一晶片堆疊互連結構170的金屬化圖案至第三晶片320的介電層326。因此,接合可包含介電質對介電質接合,金屬對金屬接合,金屬對介電質接合,以及/或類似者。在一些例子中,金屬對金屬接合使得第一晶片100、第二晶片130、以及第三晶片320之間的電性互連。
進一步如圖26所示,在接合的結構中存在第一晶片堆疊互連結構170的側壁對於第一晶片堆疊互連結構170與第三晶片320之間接合界面的內角116。如前所述,單粒化蝕刻製程可造成第一晶片堆疊互連結構170的側壁為非垂直的,其而後可造成內角116存在於該非垂直側壁與第一晶片堆疊互連結構170與第三晶片320之間接合界面之間。
參閱圖27,以第三晶片320上的囊封物,囊封晶片堆疊100/130,如同時第三晶片320係較大基板的部分。囊封物340可為塑封料、環氧化合物、樹脂、或類似物,並且可使用壓縮塑形、轉移塑形、或類似方法,形成囊封物340用於囊封晶片堆疊100/130。
參閱圖28,進行第三半導體基板322之背面上的處理。此處理可包含薄化第三半導體基板322。薄化製程可如關於圖8所述。此後,形成貫穿通路344與具有一或多個介電層346與個別金屬化圖案348的第三晶片背面互連結構350。形成貫穿通路344與具有各種介電層346與金屬化圖案348的第三晶片背面互連結構350的製程係如關於圖8中貫穿通路144與第二晶片背面堆疊互連結構150所述之製程。貫穿通路344係穿過第三半導體基板322。形成電連接,自第三半導體基板322的背面延伸至一或多個金屬化圖案328與/或168。金屬化圖案348可連接至貫穿通路344。接點凸塊352形成於第三晶片背面互連結構350電耦合至金屬化圖案348。接點凸塊352與任何UBM的形成可分別如關於圖8的接點凸塊152與UBM之形成。
在圖28所述的處理之後,可進行單粒化製程,自第三半導體基板322的較大基板,單粒化晶片堆疊100/130/320。在一些實施例中,單粒化製程可為蝕刻單粒化製程,類似於上述關於圖2至5的製程。在其他實施例中,單粒化製程可使用鋸製程,而在其他的實施例中,單粒化製程可為鋸與蝕刻單粒化製程的組合。
各種其他實施例可使用不同的處理與處理順序。以下所討論的實施例係說明一些變化,並且該技藝中具有通常技術者可輕易理解這些變化可不同程度地併入其他實施例中,例如上述實施例。例如,在接合之前,可形成貫穿通路,如以下所述。
圖29至36係說明第七實施例的中間步驟中的結構之各種剖面圖,其中晶片係被單粒化並且接合至較大基板,例如晶圓。參 閱圖29,其係說明在單粒化之前的第一積體電路晶片(或更簡單稱為「晶片」)400。圖1的第一晶片100之許多討論可應用於圖29的第一晶片400。該技藝中具有通常技術者可輕易理解第一晶片100與第一晶片400之間特徵與討論的對應,因此,為求簡化,此處省略第一晶片400的一些特徵討論。第一晶片400包含第一半導體基板402,其具有第一電性電路,以及具有第一晶片正面互連結構410,其具有一或多個介電層406與個別金屬化圖案408。第一電性電路可包含記憶體結構、資料處理結構、感測器、放大器、功率分佈、輸入/輸出電路、或類似物。第一半導體基板402係較大半導體基板,例如晶圓,在單粒化之前,具有其他類似或相同的晶片形成於其上。
第一晶片400進一步包含貫穿通路404於第一半導體基板402中。在形成第一晶片正面互連結構410之前或是在形成第一晶片正面互連結構410過程中,可於第一半導體基板402中形成貫穿通路404。例如藉由蝕刻、磨碎(milling)、雷射技術、其組合、以及/或類似方法,於第一半導體基板402中形成凹部,可形成貫穿通路404。例如藉由CVD、原子層沉積(atomic layer deposition,ALD)、其組合、以及/或類似方法,在第一半導體基板402的正面上方以及開口中,共形沉積薄阻障層。阻障層可包括但化物或氮氧化物,例如氮化鈦、氮氧化鈦、氮化鉭、氮氧化鉭、其組合物、以及/或類似物。傳導材料係沉積於薄阻障層上方與開口中。可藉由電化學鍍製程、CVD、ALD、其組合、以及/或類似方法,形成傳導材料。傳導材料的範例為銅、鎢、鋁、銀、其組合、以及/或類似物。藉由例如CMP,自第一半導體基板402的正面,移除過多的傳導材料與阻障層。因此,貫穿通路404可包括傳導材料以及傳導材料與第一半導體基板402之間的薄阻障層。第一晶片正面互連結構410的形成可如下所述。
參閱圖30,第二積體電路晶片(或簡單稱為「晶 片」)420係接合至第一晶片400。圖1的第一晶片100之許多討論可應用於圖30的第二晶片420。該技藝中具有通常技術者可輕易理解第一晶片100與第二晶片420之間特徵與討論的對應,因此,為求簡化,此處省略第二晶片420的一些特徵討論。第二晶片420包含第二半導體基板422,其具有第二電性電路,以及具有第二晶片正面互連結構430,其具有一或多個介電層426與個別金屬化圖案428。第二電性電路可包含記憶體結構、資料處理結構、感測器、放大器、功率分佈、輸入/輸出電路、或類似物。第二晶片420可進行類似上述關於圖1至5的處理。
第二晶片420接合至第一晶片400,如同時第一晶片400係較大基板的部分,例如晶圓。因此,圖30可描述CoW接合。如圖所示,第二晶片420的第二晶片正面互連結構430係接合至第一晶片400的第一晶片正面互結構410。該接合可包含接合最遠離第二半導體基板422之第二晶片420的一介電層426至最遠離第一半導體基板402之第一晶片400的一介電層406。該接合可進一步包含接合最遠離第二半導體基板422之第二晶片420的金屬化圖案428至最遠離第一半導體基板402之第一晶片400的金屬化圖案408。再者,該接合可包含接合第二晶片420的金屬化圖案428至第一晶片400的介電層406,以及/或接合第二晶片420的介電層426至第一晶片400的金屬化圖案408。因此,接合可包含介電質對介電質接合、金屬對金屬接合、金屬對介電質接合、以及/或類似者。在一些例子中,金屬對金屬接合允許第一晶片400與第二晶片420之間的電性互連。
進一步如圖30所示,在接合的結構中存在第二晶片420的側壁對於第二晶片420與第一晶片400之間接合界面的內角116。如前所述,單粒化蝕刻製程可造成第二晶片的側壁為非垂直的,而後可造成內角116存在於該非垂直側壁與第二晶片420與第一晶片400之 間接合界面之間。
參閱圖31,以第一晶片400上的囊封物440,囊封第二晶片420,如同時第一晶片400係較大基板的部分。囊封物440可為如上述關於圖9的囊封物160。
參閱圖32,第二晶片420,囊封於囊封物440中,係藉由脫膜層446黏附至載體基板444。脫膜層446黏附第二晶片420的背面與囊封物440至載體基板444。載體基板444與脫膜層446可分別如上述關於圖2的載體基板122與脫膜層114。
參閱圖33,一旦第二晶片420黏附至載體基板444,第一半導體基板402,作為較大基板的部分,係被薄化。該薄化暴露貫穿通路404穿過第一半導體基板402的背面。薄化製程可自第一半導體基板402的背面,薄化第一半導體基板402。薄化製程可包含研磨製程,例如CMP、回蝕製程、類似方法、或其組合。在一些實施例中,在薄化第一半導體基板402之前,第一晶片400的厚度(例如,第一半導體基板402與第一晶片正面互連結構410的組合厚度)可為約300微米或更大,並且在薄化第一半導體基板402之後,該厚度可為約5微米或更大,例如約5微米與約20微米之間。
進一步參閱圖33,形成具有一或多個介電層456與金屬化圖案458的第一晶片堆疊背面互連結構460。第一晶片背面互連結構460的金屬化圖案458係連接至貫穿通路404,提供自第一晶片背面互連結構460至第一晶片400與第二晶片420的電連接。第一晶片背面互連結構460的形成可使用如上述關於圖10的第一晶片堆疊互連結構170之製程。
參閱圖34,當第二晶片420與囊封物440黏附至載體基板444並且第一晶片400仍為較大基板的部分時,進行蝕刻單粒化製程,穿過第一半導體基板402的背面,自較大基板與/或其他晶片,單 粒化晶片堆疊400/420。蝕刻單粒化製程可使用光微影蝕刻與蝕刻技術。蝕刻單粒化製程可包含沉積、曝光、以及顯影第一半導體基板402之背面上的第一晶片背面互連結構460上的光阻。此製程圖案化第一半導體基板402之背面上的第一晶片背面堆疊結構460上的光阻。光阻中形成的圖案暴露第一晶片400周圍外部之待蝕刻的單粒化區,藉以自較大基板單粒化第一晶片400與/或類似晶片。單粒化區延伸超出第二晶片420的側面周圍,將更詳述如下。而後,可進行蝕刻製程穿過圖案化的光阻。蝕刻製程可為非等向性,例如DRIE、ICP蝕刻、CCP蝕刻、類似方法、或其組合。蝕刻製程蝕刻穿過單粒化區穿過第一晶片背面互連結構460、第一半導體基板402、以及第一晶片正面互連結構410,並且至囊封物160中。由於單粒化區的對齊,囊封物440係完全暴露在第一晶片400附近。在蝕刻製程過程中,第二半導體基板132上可存在各種層,例如硬遮罩層、ARC層、類似物、或其組合。
蝕刻製程可造成晶片堆疊400/420的側壁為垂直的、非垂直的、或其組合。例如,在第一半導體基板402或接近第一半導體基板402的第一晶片400之側壁可為垂直的,而第一晶片400的第一晶片正面互連結構410的側壁可為非垂直的。如圖所示,第一晶片正面互連結構410的側壁係非垂直的。第一晶片正面互連結構410的側壁與第一晶片正面互連結構410與第二晶片正面互連結構430之間界面之間的內角116係小於90°,該內角116可進一步為約89°與約60°之間,更特別地,可為約88°至約80°之間。
關於圖34所述之蝕刻單粒化製程可使得第一晶片400的佈局形狀可更具靈活變化性。例如,包含允許第一晶片400的矩形佈局形狀,第一晶片400的佈局形狀可為六角形、八角形、圓形、橢圓形、其他多角形狀、或類似者。
參閱圖35,晶片堆疊40/420,而仍黏附至載體基板444,係被翻轉並且附接至切割膠帶468。進行載體基板分離製程,自第一晶片背面互連結構460分離載體基板444。
參閱圖36,在晶片堆疊400/420,移除囊封物440。藉由對於囊封物440之材料具選擇性的蝕刻,可移除囊封物440。例如,該蝕刻可為非等向性蝕刻例如溼式蝕刻。在移除囊封物440之後,單粒化晶片堆疊400/420以及/或其他類似的晶片堆疊。
上述各種實施例已經被討論為使用接點凸塊,例如接點凸塊152、172、212、272、312與352。其他實施例考慮其他機制,晶片堆疊可附接且電連接至基板,例如封裝基板。例如,晶片堆疊可實體黏附至封裝基板,以及可使用線接合或光學連接,電耦合晶片堆疊至封裝基板。
一些實施例可達成優點。例如,由於本揭露所述之接合製程可避免粒子累積在接合界面,因而可達成更可靠的CoW接合界面。再者,可達成可靠的晶粒對晶粒介電間隙填充,其具有一點或無破裂或接縫孔隙。再者,晶片堆疊中的一或多個晶片的尺寸可更靈活變化。此外,處理可為更低成本。
根據一實施例,方法包含單粒化第一晶片並且在單粒化該第一晶片之後,接合該第一晶片至第二晶片。第一晶片包含第一半導體基板以及於第一半導體基板之正面上的第一互連結構。單粒化第一晶片包含蝕刻穿過第一半導體基板的背面穿過第一互連結構。
根據另一實施例,方法包含形成第一晶片於未單粒化的第一晶圓上。第一晶片包括第一半導體基板,其係該未單粒化的第一晶圓之一部分,並且包括第一互連結構於該第一半導體基板上。該方法進一步包含自該第一晶圓的剩餘部分,單粒化該第一晶片,並且在單粒化該第一晶片之後,接合該第一晶片至第二晶片。該第二晶 片係在未單粒化的第二晶圓上。第二晶片包括第二半導體基板,其係未單粒化的第二晶圓的一部分,並且包括第二互連結構於該第二半導體基板上。第一半導體結構係接合至第二互連結構。在接合之後,第一互連結構的外部側壁和第一晶片與第二晶片之間的接合界面交會為小於90°的角。
根據另一實施例,結構包含第一晶片以及接合至第一晶片的第二晶片。第一晶片包括第一半導體基板以及於第一半導體基板之正面上的第一互連結構。第二晶片包括第二半導體基板以及於第二半導體基板之正面上的第二互連結構。在第一晶片與第二晶片之間的接合界面處的第一晶片之外部側壁係與該接合界面交會為小於90°的內角。
前述內容概述一些實施方式的特徵,因而熟知此技藝之人士可更加理解本揭露之各方面。熟知此技藝之人士應理解可輕易使用本揭露作為基礎,用於設計或修飾其他製程與結構而實現與本申請案所述之實施例具有相同目的與/或達到相同優點。熟知此技藝之人士亦應理解此均等架構並不脫離本揭露揭示內容的精神與範圍,並且熟知此技藝之人士可進行各種變化、取代與替換,而不脫離本揭露之精神與範圍。
100‧‧‧第一晶片
102‧‧‧第一半導體基板
108‧‧‧金屬化圖案
110‧‧‧第一晶片正面互連結構
130‧‧‧第二晶片
132‧‧‧第二半導體基板
138‧‧‧金屬化圖案
140‧‧‧第二晶片正面互連結構
142‧‧‧囊封物
144‧‧‧貫穿通路
146‧‧‧介電層
148‧‧‧金屬化圖案
150‧‧‧第二晶片背面互連結構
152‧‧‧接點凸塊

Claims (10)

  1. 一種方法,其包括:單粒化一第一晶片,該第一晶片包括一第一半導體基板以及在該第一半導體基板之一正面上的一第一互連結構,該單粒化該第一晶片包含蝕刻穿過該第一半導體基板之一背面穿過該第一互連結構;以及在該單粒化該第一晶片之後,接合該第一晶片至一第二晶片。
  2. 如申請專利範圍第1項的方法,其中該第二晶片包括一第二半導體基板以及在該第二半導體基板之一正面上的一第二互連結構,當該第一晶片接合至該第二晶片時,該第一互連結構係接合至該第二互連結構。
  3. 如申請專利範圍第2項的方法,進一步包括:以一第一囊封物,囊封該第一晶片於該第二晶片上;形成一第一貫穿通路穿過該第二晶片之該第二半導體基板的一背面至該第二互連結構、該第一互連結構、或其組合;以及形成一第三互連結構於該第二晶片的該第二半導體基板的該背面上,該第三互連結構係連接至該第一貫穿通路。
  4. 如申請專利範圍第3項的方法,進一步包括:接合一第三晶片至該第三互連結構,該第三晶片包括一第三半導體基板以及於該第三半導體基板之一正面上的一第四互連結構,該第四互連結構係接合至該第三互連結構;以一第二囊封物,囊封該第三晶片於該第二晶片上;形成一第二貫穿通路穿過該第三晶片之該第三半導體基板的一背面至該第四互連結構、該第三互連結構、或其組合;以及形成一第五互連結構於該第三晶片的該第三半導體基板的該背 面上以及該第二囊封物上,該第五互連結構係連接至該第二貫穿通路。
  5. 如申請專利範圍第3項的方法,進一步包括:單粒化一晶片堆疊,該晶片堆疊包括該第一晶片與該第二晶片,單粒化該晶片堆疊包含蝕刻順序穿過該第一囊封物、該第二互連結構、該第二半導體基板、以及該第三互連結構;接合該晶片堆疊至一第三晶片,該第三晶片包括一第三半導體基板以及於該第三半導體基板之一正面上的一第四互連結構,該第三互連結構係接合至該第四互連結構;以及囊封該晶片堆疊於該第三晶片上。
  6. 如申請專利範圍第2項的方法,進一步包括:以一第一囊封物,囊封該第一晶片於該第二晶片上;形成一第一貫穿通路穿過該第一晶片的該第一半導體基板之該背面至該第一互連結構、該第二互連結構、或其組合;以及形成一第三互連結構於該第一晶片的該第一半導體基板之該背面上與該第一囊封物上,該第三互連結構係連接至該第一貫穿通路。
  7. 如申請專利範圍第6項的方法,進一步包括:接合一第三晶片至該第三互連結構,該第三晶片包括一第三半導體基板以及於該第三半導體基板之一正面上的一第四互連結構,該第四互連結構係接合至該第三互連結構。
  8. 如申請專利範圍第6項的方法,進一步包括:單粒化一晶片堆疊,該晶片堆疊包括該第一晶片與該第二晶片,單粒化該晶片堆疊包括蝕刻順序穿過該第二半導體基板的一背面、該第二互連結構、該第一囊封物、以及該第三互連結構;接合該晶片堆疊至一第三晶片,該第三晶片包括一第三半導體 基板以及於該第三半導體基板之一正面上的一第四互連結構,該第三互連結構係接合至該第四互連結構;以及囊封該晶片堆疊於該第三晶片上。
  9. 一種方法,其包括:形成一第一晶片於一未單粒化的第一晶圓上,該第一晶片包括一第一半導體基板,其係該未單粒化的第一晶圓之一部分,並且包括一第一互連結構於該第一半導體基板上;自該第一晶圓的一剩餘部分,單粒化該第一晶片;以及在單粒化該第一晶片之後,接合該第一晶片至一第二晶片,該第二晶片係於一未單粒化的第二晶圓上,該第二晶片包括一第二半導體基板,其係該未單粒化的第二晶圓之一部分,並且包括一第二互連結構於該第二半導體基板上,該第一互連結構係接合至該第二互連結構,在該接合之後,該第一互連結構的一外部側壁係以小於90°的一角度與該第一晶片與該第二晶片之間的一接合界面會合。
  10. 一種結構,其包括:一第一晶片,其包括一第一半導體基板以及於該第一半導體基板之一正面上的一第一互連結構;以及一第二晶片,其接合至該第一晶片,該第二晶片包括一第二半導體基板以及於該第二半導體基板之一正面上的一第二互連結構,在該第一晶片與該第二晶片之間的一接合界面之處的該第一晶片的一外部側壁係以小於90°的一內角與該接合界面交會。
TW105124586A 2015-11-24 2016-08-03 單粒化與接合作用以及藉其所形成的結構 TWI628765B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/950,915 2015-11-24
US14/950,915 US11037904B2 (en) 2015-11-24 2015-11-24 Singulation and bonding methods and structures formed thereby

Publications (2)

Publication Number Publication Date
TW201719838A true TW201719838A (zh) 2017-06-01
TWI628765B TWI628765B (zh) 2018-07-01

Family

ID=58693932

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105124586A TWI628765B (zh) 2015-11-24 2016-08-03 單粒化與接合作用以及藉其所形成的結構

Country Status (5)

Country Link
US (3) US11037904B2 (zh)
KR (1) KR101843246B1 (zh)
CN (1) CN107039249B (zh)
DE (1) DE102016100074B4 (zh)
TW (1) TWI628765B (zh)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102017107391B3 (de) * 2017-04-06 2018-08-23 Infineon Technologies Ag Verfahren zum Herstellen einer Resonatorstruktur und Resonatorstruktur
CN112164688B (zh) * 2017-07-21 2023-06-13 联华电子股份有限公司 芯片堆叠结构及管芯堆叠结构的制造方法
US10535585B2 (en) * 2017-08-23 2020-01-14 Semiconductor Components Industries, Llc Integrated passive device and fabrication method using a last through-substrate via
CN108346639B (zh) * 2017-09-30 2020-04-03 中芯集成电路(宁波)有限公司 一种晶圆级系统封装方法以及封装结构
US10804202B2 (en) 2019-02-18 2020-10-13 Sandisk Technologies Llc Bonded assembly including a semiconductor-on-insulator die and methods for making the same
KR20210023021A (ko) * 2019-08-21 2021-03-04 삼성전자주식회사 반도체 패키지
DE102020108481B4 (de) * 2019-09-27 2023-07-06 Taiwan Semiconductor Manufacturing Company, Ltd. Halbleiter-Die-Package und Herstellungsverfahren
DE102020114141B4 (de) * 2019-10-18 2024-03-28 Taiwan Semiconductor Manufacturing Co., Ltd. Integriertes schaltungspackage und verfahren
DE102020124580A1 (de) * 2020-03-27 2021-09-30 Taiwan Semiconductor Manufacturing Co., Ltd. Wafer bonding method
US11437344B2 (en) 2020-03-27 2022-09-06 Taiwan Semiconductor Manufacturing Co., Ltd. Wafer bonding method
CN112393838A (zh) * 2021-01-19 2021-02-23 南京高华科技股份有限公司 具有晶圆级自密封真空腔结构的压力传感器及其制备方法
US20230299053A1 (en) * 2022-03-17 2023-09-21 International Business Machines Corporation Stacked transistor structure with reflection layer

Family Cites Families (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE10120408B4 (de) * 2001-04-25 2006-02-02 Infineon Technologies Ag Elektronisches Bauteil mit einem Halbleiterchip, elektronische Baugruppe aus gestapelten Halbleiterchips und Verfahren zu deren Herstellung
US6759745B2 (en) * 2001-09-13 2004-07-06 Texas Instruments Incorporated Semiconductor device and manufacturing method thereof
WO2003030254A2 (en) 2001-09-28 2003-04-10 Hrl Laboratories, Llc Process for assembling systems and structure thus obtained
KR100636259B1 (ko) * 2001-12-07 2006-10-19 후지쯔 가부시끼가이샤 반도체 장치 및 그 제조 방법
US6908784B1 (en) 2002-03-06 2005-06-21 Micron Technology, Inc. Method for fabricating encapsulated semiconductor components
US6649445B1 (en) 2002-09-11 2003-11-18 Motorola, Inc. Wafer coating and singulation method
JP4081666B2 (ja) 2002-09-24 2008-04-30 セイコーエプソン株式会社 半導体装置及びその製造方法、回路基板並びに電子機器
US6972480B2 (en) * 2003-06-16 2005-12-06 Shellcase Ltd. Methods and apparatus for packaging integrated circuit devices
JP4982948B2 (ja) * 2004-08-19 2012-07-25 富士電機株式会社 半導体装置の製造方法
US7199449B2 (en) 2004-08-24 2007-04-03 Micron Technology, Inc. Wafer backside removal to complete through-holes and provide wafer singulation during the formation of a semiconductor device
US7566634B2 (en) 2004-09-24 2009-07-28 Interuniversitair Microelektronica Centrum (Imec) Method for chip singulation
US7335576B2 (en) 2004-10-08 2008-02-26 Irvine Sensors Corp. Method for precision integrated circuit die singulation using differential etch rates
WO2006043122A1 (en) * 2004-10-21 2006-04-27 Infineon Technologies Ag Semiconductor package and method to produce the same
KR100629498B1 (ko) * 2005-07-15 2006-09-28 삼성전자주식회사 마이크로 패키지, 멀티―스택 마이크로 패키지 및 이들의제조방법
US7485968B2 (en) 2005-08-11 2009-02-03 Ziptronix, Inc. 3D IC method and device
US20070126085A1 (en) 2005-12-02 2007-06-07 Nec Electronics Corporation Semiconductor device and method of manufacturing the same
JP5275553B2 (ja) 2006-06-27 2013-08-28 スリーエム イノベイティブ プロパティズ カンパニー 分割チップの製造方法
US7619901B2 (en) * 2007-06-25 2009-11-17 Epic Technologies, Inc. Integrated structures and fabrication methods thereof implementing a cell phone or other electronic system
US7894199B1 (en) * 2008-02-20 2011-02-22 Altera Corporation Hybrid package
US7863721B2 (en) * 2008-06-11 2011-01-04 Stats Chippac, Ltd. Method and apparatus for wafer level integration using tapered vias
WO2009154761A1 (en) * 2008-06-16 2009-12-23 Tessera Research Llc Stacking of wafer-level chip scale packages having edge contacts
KR100997787B1 (ko) * 2008-06-30 2010-12-02 주식회사 하이닉스반도체 적층 반도체 패키지 및 이의 제조 방법
US8058150B2 (en) 2008-07-10 2011-11-15 Taiwan Semiconductor Manufacturing Company, Ltd. Particle free wafer separation
US20100065949A1 (en) * 2008-09-17 2010-03-18 Andreas Thies Stacked Semiconductor Chips with Through Substrate Vias
US8173030B2 (en) * 2008-09-30 2012-05-08 Eastman Kodak Company Liquid drop ejector having self-aligned hole
US20100270668A1 (en) * 2009-04-28 2010-10-28 Wafer-Level Packaging Portfolio Llc Dual Interconnection in Stacked Memory and Controller Module
US9397050B2 (en) * 2009-08-31 2016-07-19 STATS ChipPAC Pte. Ltd. Semiconductor device and method of forming pre-molded semiconductor die having bumps embedded in encapsulant
US8072044B2 (en) * 2009-09-17 2011-12-06 Fairchild Semiconductor Corporation Semiconductor die containing lateral edge shapes and textures
US9875911B2 (en) * 2009-09-23 2018-01-23 STATS ChipPAC Pte. Ltd. Semiconductor device and method of forming interposer with opening to contain semiconductor die
US10297550B2 (en) 2010-02-05 2019-05-21 Taiwan Semiconductor Manufacturing Company, Ltd. 3D IC architecture with interposer and interconnect structure for bonding dies
KR101698805B1 (ko) * 2010-03-23 2017-02-02 삼성전자주식회사 웨이퍼 레벨의 패키지 방법 및 그에 의해 제조되는 반도체 소자
WO2013052323A1 (en) 2011-10-03 2013-04-11 Invensas Corporation Stub minimization using duplicate sets of terminals for wirebond assemblies without windows
US8912651B2 (en) * 2011-11-30 2014-12-16 Taiwan Semiconductor Manufacturing Company, Ltd. Package-on-package (PoP) structure including stud bulbs and method
US8558395B2 (en) * 2012-02-21 2013-10-15 Broadcom Corporation Organic interface substrate having interposer with through-semiconductor vias
US9881894B2 (en) * 2012-03-08 2018-01-30 STATS ChipPAC Pte. Ltd. Thin 3D fan-out embedded wafer level package (EWLB) for application processor and memory integration
US8703539B2 (en) * 2012-06-29 2014-04-22 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple die packaging interposer structure and method
US9768120B2 (en) * 2012-11-21 2017-09-19 Infineon Technologies Austria Ag Semiconductor device assembly including a chip carrier, semiconductor wafer and method of manufacturing a semiconductor device
US8907500B2 (en) 2013-02-04 2014-12-09 Invensas Corporation Multi-die wirebond packages with elongated windows
US9362236B2 (en) 2013-03-07 2016-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Package structures and methods for forming the same
JP2014203861A (ja) 2013-04-02 2014-10-27 三菱電機株式会社 半導体装置および半導体モジュール
KR102076044B1 (ko) * 2013-05-16 2020-02-11 삼성전자주식회사 반도체 패키지 장치
KR102033787B1 (ko) 2013-06-05 2019-10-17 에스케이하이닉스 주식회사 플렉시블 적층 패키지
US10418298B2 (en) * 2013-09-24 2019-09-17 STATS ChipPAC Pte. Ltd. Semiconductor device and method of forming dual fan-out semiconductor package
US9275902B2 (en) 2014-03-26 2016-03-01 Applied Materials, Inc. Dicing processes for thin wafers with bumps on wafer backside
US9331021B2 (en) * 2014-04-30 2016-05-03 Taiwan Semiconductor Manufacturing Company, Ltd. Chip-on-wafer package and method of forming same
US9508703B2 (en) 2014-04-30 2016-11-29 Taiwan Semiconductor Manufacturing Company, Ltd. Stacked dies with wire bonds and method

Also Published As

Publication number Publication date
US11355475B2 (en) 2022-06-07
DE102016100074B4 (de) 2017-06-29
KR20170060549A (ko) 2017-06-01
CN107039249B (zh) 2020-04-17
US20180350778A1 (en) 2018-12-06
CN107039249A (zh) 2017-08-11
US20170148765A1 (en) 2017-05-25
TWI628765B (zh) 2018-07-01
KR101843246B1 (ko) 2018-03-28
DE102016100074A1 (de) 2017-05-24
US20220310565A1 (en) 2022-09-29
US11037904B2 (en) 2021-06-15

Similar Documents

Publication Publication Date Title
US20220310565A1 (en) Singulation and Bonding Methods and Structures Formed Thereby
US11270978B2 (en) Buffer layer(s) on a stacked structure having a via
US10847383B2 (en) Stacked semiconductor devices and methods of forming same
TWI756339B (zh) 半導體結構及其製造方法
US9941249B2 (en) Multi-wafer stacking by Ox-Ox bonding
US9425150B2 (en) Multi-via interconnect structure and method of manufacture
US7910473B2 (en) Through-silicon via with air gap
US10510641B2 (en) Semiconductor device having backside interconnect structure on through substrate via and method of forming the same
TWI579966B (zh) 半導體封裝系統及方法
TW201622021A (zh) 封裝結構及其形成方法
TWI711145B (zh) 封裝結構及其製造方法
KR20220002040A (ko) 반도체 디바이스 및 제조 방법
TWI548006B (zh) 形成半導體裝置之方法
US20210327723A1 (en) Semicondutor packages and methods of forming same