TW201719713A - 側壁圖像移轉間隔件之原位沉積的執行系統與方法 - Google Patents

側壁圖像移轉間隔件之原位沉積的執行系統與方法 Download PDF

Info

Publication number
TW201719713A
TW201719713A TW105128716A TW105128716A TW201719713A TW 201719713 A TW201719713 A TW 201719713A TW 105128716 A TW105128716 A TW 105128716A TW 105128716 A TW105128716 A TW 105128716A TW 201719713 A TW201719713 A TW 201719713A
Authority
TW
Taiwan
Prior art keywords
substrate
spacer layer
mandrels
thin spacer
mandrel
Prior art date
Application number
TW105128716A
Other languages
English (en)
Inventor
李在浩
李昌雨
菲爾 佛列德
斯特凡 施米茨
納維德 安薩里
麥可 戈斯
諾埃爾 孫
Original Assignee
蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 蘭姆研究公司 filed Critical 蘭姆研究公司
Publication of TW201719713A publication Critical patent/TW201719713A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32366Localised processing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28123Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects
    • H01L21/28132Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects conducting part of electrode is difined by a sidewall spacer or a similar technique, e.g. oxidation under mask, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28123Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects
    • H01L21/28141Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects insulating part of the electrode is defined by a sidewall spacer, e.g. dummy spacer, or a similar technique, e.g. oxidation under mask, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3088Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Analytical Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

一種側壁圖像移轉(SIT)製程的執行方法包括將基板排列在基板處理室中,其中基板包括在基板上形成的心軸層並蝕刻心軸層以形成複數心軸。方法更包括:在未將基板自基板處理室中移除且於蝕刻心軸層之後的情形下沉積薄間隔件層,使得薄間隔件層形成於複數心軸之上表面、複數心軸之側壁、與複數心軸之側壁之間的基板部分;接續沉積薄間隔件層,蝕刻薄間隔件層以自心軸之上表面與複數心軸之側壁之間的基板部分移除薄間隔件層,使得僅在複數心軸之側壁上形成的薄間隔件層保留;及接續蝕刻來自心軸之上表面與複數心軸之側壁之間的基板部分的薄間隔件層,蝕刻複數心軸以移除來自基板之複數心軸,使得僅在複數心軸之側壁上形成的薄間隔件層保留於基板上。

Description

側壁圖像移轉間隔件之原位沉積的執行系統與方法
本揭露內容關於基板處理系統,且尤其關於側壁圖像移轉間隔件之原位沉積的執行系統與方法。
在此提供的先前技術描述係為了一般性呈現本揭露內容上下文之目的。此先前技術部分中所述之目前列名發明人之工作、及不可以其他方式認定為申請時之先前技術的實施態樣敘述皆不明示亦不暗示地承認其為針對本揭露內容之先前技術。
側壁圖像移轉(SIT)通常用以在次微影臨界尺寸(CD)及間距下形成具有高密度圖案的結構。在SIT製程期間,心軸層在如半導體晶圓的基板上形成。心軸層經微影圖案化與蝕刻以形成複數心軸。相對薄的保形間隔件層沉積在心軸上。非等向性蝕刻保形間隔件層以移除在心軸上表面與心軸之間區域的部分。未完全蝕刻心軸側壁上形成的間隔件層的部分。隨後選擇性蝕刻心軸,並在基板上留下側壁間隔件。因側壁於沉積相對薄的保形間隔件層時形成,故側壁具有比單獨使用微影技術可實現之更小的CD與間距。若心軸的間距與CD適當地與間隔物厚度匹配,則經由SIT所形成之結構間距為微影心軸間距的一半。
一種側壁圖像移轉(SIT)製程的執行方法包括將基板排列在基板處理室中,其中基板包括在基板上形成的心軸層並蝕刻心軸層以形成複數心軸。方法更包括:在未將基板自基板處理室中移除且於蝕刻心軸層之後的情形下沉積薄間隔件層,使得薄間隔件層形成於複數心軸之上表面、複數心軸之側壁、與複數心軸之側壁之間的基板部分;接續沉積薄間隔件層,蝕刻薄間隔件層以自心軸之上表面與複數心軸之側壁之間的基板部分移除薄間隔件層,使得僅在複數心軸之側壁上形成的薄間隔件層保留;及接續蝕刻來自心軸之上表面與複數心軸之側壁之間的基板部分的薄間隔件層,蝕刻複數心軸以移除來自基板之複數心軸,使得僅在複數心軸之側壁上形成的薄間隔件層保留於基板上。
一種側壁圖像移轉(SIT)製程的執行系統包括包含基板與控制器之基板處理室。基板包括在基板上形成的心軸層。控制器用以控制基板處理室以蝕刻心軸層而形成複數心軸,且在未將基板自基板處理室中移除且於蝕刻心軸層之後的情形下沉積薄間隔件層,使得薄間隔件層形成於複數心軸之上表面、複數心軸之側壁、與複數心軸之側壁之間的基板部分;接續沉積薄間隔件層,蝕刻薄間隔件層以自心軸之上表面與複數心軸之側壁之間的基板部分移除薄間隔件層,使得僅在複數心軸之側壁上形成的薄間隔件層保留;及接續蝕刻來自心軸之上表面與複數心軸之側壁之間的基板部分的薄間隔件層,蝕刻複數心軸以移除來自基板之複數心軸,使得僅在複數心軸之側壁上形成的薄間隔件層保留於基板上。
依據詳細描述、申請專利範圍、及圖式,本揭露內容之其他領域的應用性將變得顯而易見。詳細描述與具體範例僅意旨於說明之目的,並非意旨限制本揭露內容範疇。
在側壁圖像移轉(SIT)製程中,使包括以微影定義的心軸層之基板排列在蝕刻工具的處理室中。心軸層經圖案化與蝕刻而在基板上形成複數心軸。隨後將基板移轉至沉積工具處理室以在心軸上沉積薄間隔件層。
在沉積工具中,薄間隔件層保形地沉積在個別的心軸上。隨後將基板自沉積工具移除並返回至蝕刻工具處理室,以移除心軸上表面與心軸之間區域之間隔件層的部分。未完全蝕刻在心軸側壁上形成的間隔件。 隨後移除心軸,此僅在基板上留下側壁間隔件。
在蝕刻工具與沈積工具處理室之間移轉基板需要各種額外的移轉步驟、受蝕基板至非真空條件的暴露、與處理步驟之間的相關延遲。
在依據本揭露內容(包括但不受限於SIT、雙重SIT或SIT2、四重SIT、八重SIT等)之SIT系統與方法中,心軸上薄間隔件層的沉積在執行心軸蝕刻的相同工具中執行。於相同腔室中並使用與先前蝕刻步驟相同的工具,在不破真空的情形下原位執行薄間隔件層的沉積。因此,可省略與將受蝕基板自蝕刻工具移轉至異位沉積工具及自沉積工具移轉回蝕刻工具相關的步驟。
現參照圖1A至1E,描述依據本揭露內容原理之SIT製程的原位薄間隔件層沉積。圖1A顯示包括例如硬遮罩層104形成於其上之基板100。僅為範例,基板100包括矽(Si)基板,且硬遮罩層104由矽氮化物(Si3 N4 )製成(但可使用其它材料)。在硬遮罩層104上沉積心軸層108(例如,使用化學氣相沉積,或CVD)。僅為範例,心軸層108可包括非晶矽(a-Si)。在一些範例中,心軸層108可具有約50至150nm(例如,100nm)的高度。圖案化層於心軸層108上形成並使用微影技術圖案化。圖案化層相當於例如圖案化的光阻層或遮罩112。
將包括硬遮罩層104、心軸層108、與遮罩112的基板100排列在蝕刻工具的電漿室(例如,電感耦合電漿室)中。僅為範例,蝕刻工具可為由Lam Research Corporation of Fremont, California所製造的Kiyo電漿蝕刻系統。如圖1B所示,在蝕刻工具之電漿室中蝕刻心軸層108(例如,使用非等向性蝕刻或其它製程)以形成複數心軸116。遮罩112於心軸層108的蝕刻期間內保護相當於心軸116之心軸層108的部分。若遮罩112為光阻遮罩,則遮罩112可以含氧電漿移除。若遮罩112為相似於以下所述之間隔件層的材料,則遮罩112可保留在心軸116上並可能於間隔件層的蝕刻期間受到蝕刻。
在圖1C中,在基板100仍排列在蝕刻工具電漿室中的情形下(亦即,基板100在原位的情形下),在基板100(亦即,在基板上形成之硬遮罩層104)與心軸116上沉積間隔件層120。僅為範例,間隔層120可使用氧化物型沉積(使用包括矽四氯化物(SiCl4 )、矽烷(SiH4 )等的前驅物)、氮化物型沉積(使用包括分子氮、氨(NH3 )等的前驅物)、及/或以碳為基礎的沉積(使用包括甲烷(CH4 )、氟甲烷(CH3 F)等的前驅物)。
在一範例中,在O2 的存在下使用SiCl4 前驅物沉積間隔件層120。用以執行間隔件層120沉積之其它範例性製程參數包括在小於10℃至高達120℃的最小溫度之間的溫度變化、在200至1800W之間的電漿功率、從0至大約1000伏特的偏壓、及在2mTorr至2000mTorr之間的腔室壓。
在圖1D中,蝕刻間隔層120(例如,使用非等向性蝕刻製程)以從基板100與心軸116的上表面移除間隔件層120的部分,而容許間隔件層120之側壁部分124保留。在一些範例中(例如,當使用某些材料形成心軸116時),可在圖1D中所述蝕刻之後執行突破步驟(例如,含氟化物電漿處理)。再者,取決於間隔件層120的材料,可在含氟化物電漿處理之前執行含氧電漿處理。在圖1E中,移除心軸116(例如,使用非等向性蝕刻)。因此,側壁部分124保持形成在基板100上。在一些範例中,在圖1E中所述之蝕刻之後,可將圖案移轉至底層(例如,硬遮罩層104及/或基板100)中。
現參照圖2,顯示可用以執行依據本揭露內容所述原理之原位SIT製程的基板處理系統200的範例。雖先前描述關於電感耦合電漿(ICP)系統,然可使用其他類型的ICP系統或其他電漿處理系統。基板處理系統200包括連接至變壓耦合電容調諧(TCCT)電路208的RF源204,其將電流輸出至感應線圈結構212。
TCCT電路208通常包括匹配網路216與功率分配器220。匹配網路216可藉由傳輸線連接至RF源204。匹配網路216將RF源204的阻抗匹配至包括功率分配器220與感應線圈結構212之剩餘電路。TCCT電路208的範例在Long等人共同轉讓之美國公開號2013/0135058中顯示及描述,其整體以參考文獻合併於此。在一些範例中,感應線圈結構212可包括單一感應線圈、一對感應線圈、或內部感應線圈對和外部感應線圈對。功率分配器220可用以控制供給至感應線圈結構212的線圈之感應電流的相對量。雖顯示扁平線圈,然可使用其他類型的線圈。
可將氣體充氣部224排列在感應線圈結構212與介電窗228之間。介電窗228沿處理室232的一側排列。處理室232更包含支撐基板240的托架236。 托架236可包括靜電卡盤、機械卡盤或其他類型的卡盤。電漿244在處理室232的內部產生。電漿244可用以沉積膜或蝕刻基板240。RF源248與偏壓匹配電路252可用以在操作期間使托架236受偏壓。
氣體輸送系統256可用以將氣體混合物供應至鄰近介電窗228的處理室232。氣體輸送系統256可包括製程氣體源260、計量系統264(如閥與質量流控制器)、與混合製程氣體的歧管268。
氣體輸送系統272可用以經由閥280將氣體276輸送至氣體充氣部224。氣體可包括用以冷卻感應線圈結構212與介電窗228的冷卻氣體。可使用加熱器/冷卻器284將托架236加熱至預定溫度。排放系統286包括閥288與泵290,以藉由沖洗或抽空而自處理室232中移除反應物。
控制器292可用以控制蝕刻與沈積製程兩者。控制器292監測製程參數(如溫度、壓力等),並控制氣體混合物的輸送、電漿的觸發、維持、與熄滅、反應物的移除、冷卻氣體的供給等。
參照圖1A至1E及圖2,依據本揭露內容之原理的基板處理系統200用以在處理室232中執行SIT製程之蝕刻與沈積步驟兩者(例如,圖1A至1E中所述步驟之每一者)。例如,控制器292控制基板處理系統200之各種元件的參數以蝕刻心軸116。隨後,在未將基板240自處理室232移除的情形下(亦即,基板240在原位的情形下),控制器292使基板處理系統200預備執行間隔件層120沉積。例如,控制器292啟動排放系統286以從處理室232沖洗或抽空與蝕刻心軸116相關的反應物、控制加熱器/冷卻器284以調節處理室232中的溫度、調節偏壓匹配電路252及/或RF源204和248、依據在間隔件層120的沉積期間之所需氣體而調節氣體輸送系統256和272等。當處理室232預備完成時,控制器292控制基板處理系統200以沉積薄間隔件層120。隨後可如圖1D至1E所述,蝕刻薄間隔件層120與心軸116。
現參照圖3,依據本揭露內容之原理的範例性原位薄間隔件層沉積方法300始於304。在308,將包括例如硬遮罩層、心軸層、與遮罩的基板(如圖1所示)排列在基板處理系統的處理室中。在312,基板處理系統用以將心軸層蝕刻成複數心軸。例如,控制器(例如,圖2的控制器292)預備處理室(例如,沖洗/抽空氣體)並改變基板處理系統之各種元件的操作參數。在316,方法300非等向性地蝕刻心軸層以形成複數心軸。例如,控制器控制基板處理系統的元件而依據操作參數蝕刻心軸層。
在320,於包括複數心軸的基板仍在處理室內的情形下,基板處理系統用以在複數心軸上沉積薄間隔件層。例如,控制器預備處理室並改變關於沈積薄間隔件層的基板處理系統之各種元件的操作參數。在324,方法300在複數心軸上保形地沉積薄間隔件層。例如,控制器控制基板處理系統的元件以依據操作參數沉積薄間隔件層。
在328,基板處理系統用以蝕刻薄間隔件層。例如,控制器預備處理室並改變關於蝕刻薄間隔件層的基板處理系統之各種元件的操作參數。 在332,方法300非等向性地蝕刻薄間隔件層。例如,控制器控制基板處理系統的元件以依據操作參數蝕刻薄間隔件層。
在336,基板處理系統用以蝕刻複數心軸。例如,控制器預備處理室並改變關於蝕刻複數心軸的基板處理系統之各種元件的操作參數。在340,方法300蝕刻複數心軸。例如,控制器控制基板處理系統的元件以依據操作參數蝕刻複數心軸。
先前描述在本質上僅為說明性的,而決非意圖限制本揭露內容、其應用、或用途。本揭露內容之廣泛教導可以各種形式執行。因此,雖本揭露內容包括特定範例,然由於當研究圖式、說明書、與所附的權利要求範圍時,其他變化將變得顯而易見,故本揭露內容之真實範疇不應如此受限。如本文所用,詞組A、B、與C之至少一者應解釋成代表邏輯(A或B或C), 使用非排除性邏輯OR,而不應解釋成代表「A之至少一者、B之至少一者、與C之至少一者」。應理解:在不改變本揭露內容之原理的情形下,方法中之一或更多步驟可以不同次序(或同時)執行。
在一些執行中,控制器為系統的一部分,其可為上述範例的一部分。這樣的系統可包含包括一或更多處理工具、一或更多腔室、一或更多處理平台、及/或特定處理元件(晶圓托架、氣流系統等)。這些系統可與電子件整合,用以在半導體晶圓或基板處理之前、期間、與之後控制其操作。電子件可稱作「控制器」,其可控制一或更多系統之各種元件或次零件。取決於處理要求及/或系統類型,可編程控制器以控制本文所揭之任何製程,包括處理氣體的輸送、溫度設定(例如,加熱及/或冷卻)、壓力設定、真空設定、功率設定、射頻(RF)產生器設定、RF匹配電路設定、頻率設定、流量設定、流體輸送設定、位置與操作設定、連接至或與特定系統接口之工具、與其他傳送工具、及/或負載鎖室之晶圓傳送進出。
概括地說,控制器可定義為具有接收指令、發出指令、控制操作、使清潔操作得以進行、使端點測量得以進行、及相似操作之各種積體電路、邏輯、記憶體、及/或軟體的電子件。積體電路可包括存儲程式指令之韌體形式的晶、數位訊號處理器(DSP)、定義成特殊應用集成電路(ASIC)的晶片、及/或執行程式指令(例如,軟體)之一或更多微處理器或微控制器片。程式指令可為以各種獨立設定(或程式檔案)之形式傳送至控制器的指令,並定義用以在或對半導體晶圓或系統執行特定製程的操作參數。在一些實施例中,操作參數可為藉由製程工程師定義之配方的一部分,以在一或更多疊層、材料、金屬、氧化物、矽、矽二氧化物、表面、電路、及/或晶圓之晶片的生產期間完成一或更多處理步驟。
在一些執行中,控制器可為電腦的一部分或與其耦合,該電腦與系統整合、耦合至系統、以其他方式網路連結至系統、或其組合。例如,控制器可在「雲端」、或整個或晶圓廠主機系統的一部分中,其可容許晶圓處理之遠端存取。電腦可使對系統之遠端存取得以進行,以監控目前生產操作的進度、檢查過去生產操作的歷史、檢查來自複數生產操作的趨勢或性能指標、以改變目前處理的參數、設定處理步驟以接續目前處理、或開啟新製程。在一些範例中,遠端電腦(例如,伺服器)可透過網路提供製程配方至系統,該網路包括區域網路或網際網路。遠端電腦可包括使參數及/或設定之進入或程式化得以進行之人機介面,該參數及/或設定隨後自遠端電腦傳送至系統。在一些範例中,控制器接收資料形式的指令,該資料在一或更多操作期間指定待執行處理步驟之每一者的參數。應理解參數可特定於待執行製程的類型、與控制器用以與其接口或控制之工具的類型。因此如上所述,控制器可呈分佈狀,像是藉由包含以網路相連並朝共同目的(如本文所述的製程與控制)運作之一或更多離散式控制器。這樣目的之分佈狀控制器的範例可為與遠端定位 (如在平台水平上或遠端電腦的一部分)之一或更多積體電路連通之在腔室之一或更多積體電路,其合併以控制腔室上的製程。
在沒有限制的情形下,範例性系統可包括電漿蝕刻腔室或模組、沉積腔室或模組、物理氣相沉積(PVD)腔室或模組、化學氣相沉積(CVD)腔室或模組、原子層沉積(ALD)腔室或模組、原子層蝕刻(ALD)腔室或模組、與任何其他有關或用於半導體晶圓之生產及/或製造的半導體製程系統。
如上所提,取決於待以工具執行之一或更多製程步驟,控制器可與以下連通:一或更多其他工具電路與模組、其他工具元件、叢集工具、其他工具介面、相鄰的工具、鄰近的工具、定位於整個工廠的工具、主電腦、另一控制器、或用於在半導體製造工廠內攜帶晶圓容器往來工具位置及/或裝載埠之材料輸送的工具。
100‧‧‧基板
104‧‧‧硬遮罩層
108‧‧‧心軸層
112‧‧‧遮罩
116‧‧‧心軸
120‧‧‧間隔件層
124‧‧‧側壁部分
200‧‧‧基板處理系統
204‧‧‧RF源
208‧‧‧TCCT電路
212‧‧‧感應線圈結構
216‧‧‧匹配網路
220‧‧‧功率分配器
224‧‧‧氣體充氣部
228‧‧‧介電窗
232‧‧‧處理室
236‧‧‧托架
240‧‧‧基板
244‧‧‧電漿
248‧‧‧RF源
252‧‧‧偏壓匹配網路
256‧‧‧氣體輸送系統
260‧‧‧製程氣體源
264‧‧‧計量系統
268‧‧‧歧管
272‧‧‧氣體輸送系統
276‧‧‧氣體
280‧‧‧閥
284‧‧‧加熱器/冷卻器
286‧‧‧排放系統
288‧‧‧閥
290‧‧‧泵
292‧‧‧控制器
300‧‧‧方法
304‧‧‧操作
308‧‧‧操作
312‧‧‧操作
316‧‧‧操作
320‧‧‧操作
324‧‧‧操作
328‧‧‧操作
332‧‧‧操作
336‧‧‧操作
340‧‧‧操作
344‧‧‧操作
依據詳細描述與附圖將更充分瞭解本揭露內容,其中:
圖1A至1E說明用於依據本揭露內容之側壁圖像移轉製程的範例性原位薄間隔件層沉積。
圖2為依據本揭露內容之範例性基板處理系統的功能方塊圖;及
圖3為說明依據本揭露內容之範例性原位薄間隔件層沉積方法的流程圖。
在圖式中,標號可重複使用以標識相似及/或相同的元件。
300‧‧‧方法
304‧‧‧操作
308‧‧‧操作
312‧‧‧操作
316‧‧‧操作
320‧‧‧操作
324‧‧‧操作
328‧‧‧操作
332‧‧‧操作
336‧‧‧操作
340‧‧‧操作
344‧‧‧操作

Claims (18)

  1. 一種側壁圖像移轉(SIT)製程的執行方法,該方法包含:   在一基板處理室中設置一基板,其中該基板包括在該基板上形成之一心軸層;   蝕刻該心軸層以形成複數心軸;及   在未將該基板自該基板處理室中移除且於蝕刻該心軸層之後的情形下:          沉積一薄間隔件層,使得該薄間隔件層形成於該複數心軸之上表面、該複數心軸之側壁、與該複數心軸之側壁之間的該基板部分;          在沉積該薄間隔件層之後,蝕刻該薄間隔件層以自該心軸之上表面與該複數心軸之側壁之間的該基板部分移除該薄間隔件層,使得僅在該複數心軸之側壁上形成的該薄間隔件層保留;及          在蝕刻來自該心軸之上表面與該複數心軸之側壁之間的基板部分之該薄間隔件層之後,蝕刻該複數心軸以移除來自該基板之該複數心軸,使得僅在該複數心軸之側壁上形成的該薄間隔件層於該基板上保留。
  2. 如申請專利範圍第1項之側壁圖像移轉(SIT)製程的執行方法,其中該基板處理室對應於一蝕刻工具之一電漿室。
  3. 如申請專利範圍第1項之側壁圖像移轉(SIT)製程的執行方法,其中該基板包含矽。
  4. 如申請專利範圍第1項之側壁圖像移轉(SIT)製程的執行方法,其中該基板包含一硬遮罩層。
  5. 如申請專利範圍第4項之側壁圖像移轉(SIT)製程的執行方法,其中該硬遮罩層包含矽氮化物(SiN)。
  6. 如申請專利範圍第1項之側壁圖像移轉(SIT)製程的執行方法,其中該心軸層包含非晶矽。
  7. 如申請專利範圍第1項之側壁圖像移轉(SIT)製程的執行方法,其中沉積該薄間隔件層包括使用氧化物型的沉積、氮化物型的沉積、與以碳為基礎的沉積之至少一者而沉積該薄間隔件層。
  8. 如申請專利範圍第1項之側壁圖像移轉(SIT)製程的執行方法,其中沉積該薄間隔件層包括在氧存在下使用一矽四氯化物(SiCl4 )前驅物而沉積該薄間隔件層。
  9. 如申請專利範圍第1項之側壁圖像移轉(SIT)製程的執行方法,更包含在蝕刻該心軸層之後且在沉積該薄間隔件層之前,調整該基板處理室之至少一參數。
  10. 一種側壁圖像移轉(SIT)製程的執行系統,該系統包含: 一基板處理室,該基板處理室包括一基板,其中該基板包括在該基板上形成之一心軸層;及 一控制器,該控制器用以控制該基板處理室,以執行以下者:        蝕刻該心軸層以形成複數心軸;及        在未將該基板自該基板處理室中移除且在蝕刻該心軸層之後的情形下,執行以下:                      沉積一薄間隔件層,使得該薄間隔件層形成於該複數心軸之上表面、該複數心軸之側壁、與該複數心軸之側壁之間的該基板部分;                在沉積該薄間隔件層之後,蝕刻該薄間隔件層以自該心軸之上表面與該複數心軸之側壁之間的基板部分移除該薄間隔件層,使得僅該複數心軸之側壁上形成的該薄間隔件層保留;及         在蝕刻來自該心軸之上表面與該複數心軸之側壁之間的該基板部分之該薄間隔件層之後,蝕刻該複數心軸以移除來自該基板之該複數心軸,使得僅在該複數心軸之側壁上形成的該薄間隔件層於該基板上保留。
  11. 如申請專利範圍第10項之側壁圖像移轉(SIT)製程的執行系統,其中該基板處理室對應於一蝕刻工具之一電漿室。
  12. 如申請專利範圍第10項之側壁圖像移轉(SIT)製程的執行系統,其中該基板包含矽。
  13. 如申請專利範圍第10項之側壁圖像移轉(SIT)製程的執行系統,其中基板包含一硬遮罩層。
  14. 如申請專利範圍第13項之側壁圖像移轉(SIT)製程的執行系統,其中該硬遮罩層包含矽氮化物(SiN)。
  15. 如申請專利範圍第10項之側壁圖像移轉(SIT)製程的執行系統,其中該心軸層包含非晶矽。
  16. 如申請專利範圍第10項之側壁圖像移轉(SIT)製程的執行系統,其中為了沉積該薄間隔件層,該控制器用以控制該基板處理室以使用氧化物型的沉積、氮化物型的沉積、與以碳為基礎的沉積之至少一者而沉積該薄間隔件層。
  17. 如申請專利範圍第10項之側壁圖像移轉(SIT)製程的執行系統,其中為了沉積該薄間隔件層,該控制器用以控制該基板處理室以在氧存在下使用一矽四氯化物(SiCl4 )前驅物而沉積該薄間隔件層。
  18. 如申請專利範圍第10項之側壁圖像移轉(SIT)製程的執行系統,其中該控制器更用以在蝕刻該心軸層之後且在沉積該薄間隔件層之前,調整該基板處理室之至少一參數。
TW105128716A 2015-09-11 2016-09-06 側壁圖像移轉間隔件之原位沉積的執行系統與方法 TW201719713A (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US14/851,768 US9972502B2 (en) 2015-09-11 2015-09-11 Systems and methods for performing in-situ deposition of sidewall image transfer spacers

Publications (1)

Publication Number Publication Date
TW201719713A true TW201719713A (zh) 2017-06-01

Family

ID=58257524

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105128716A TW201719713A (zh) 2015-09-11 2016-09-06 側壁圖像移轉間隔件之原位沉積的執行系統與方法

Country Status (3)

Country Link
US (2) US9972502B2 (zh)
KR (1) KR20170035779A (zh)
TW (1) TW201719713A (zh)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20180138078A1 (en) * 2016-11-16 2018-05-17 Tokyo Electron Limited Method for Regulating Hardmask Over-Etch for Multi-Patterning Processes
JP6823527B2 (ja) * 2017-04-14 2021-02-03 東京エレクトロン株式会社 エッチング方法
US10340149B2 (en) * 2017-09-05 2019-07-02 Nanya Technology Corporation Method of forming dense hole patterns of semiconductor devices
KR102626483B1 (ko) * 2018-03-01 2024-01-17 램 리써치 코포레이션 반도체 프로세싱을 위한 실리콘-기반 증착
US10777419B2 (en) * 2018-10-28 2020-09-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with fin isolation and method of forming the same
KR20200054389A (ko) 2018-11-09 2020-05-20 삼성전자주식회사 자기 기억 소자

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7265013B2 (en) 2005-09-19 2007-09-04 International Business Machines Corporation Sidewall image transfer (SIT) technologies
US9059678B2 (en) 2011-04-28 2015-06-16 Lam Research Corporation TCCT match circuit for plasma etch chambers
US20130244437A1 (en) * 2012-03-15 2013-09-19 Globalfoundries Inc. Methods of forming features on an integrated circuit product using a novel compound sidewall image transfer technique
US8980111B2 (en) * 2012-05-15 2015-03-17 Tokyo Electron Limited Sidewall image transfer method for low aspect ratio patterns
US9023695B2 (en) * 2013-03-14 2015-05-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method of patterning features of a semiconductor device
KR101772309B1 (ko) * 2013-06-04 2017-08-28 도쿄엘렉트론가부시키가이샤 자기 정렬 패터닝 에칭에서의 비대칭 프로파일의 완화
US20150035064A1 (en) 2013-08-01 2015-02-05 International Business Machines Corporation Inverse side-wall image transfer
US9018103B2 (en) 2013-09-26 2015-04-28 Lam Research Corporation High aspect ratio etch with combination mask
US9443731B1 (en) * 2015-02-20 2016-09-13 Tokyo Electron Limited Material processing to achieve sub-10nm patterning

Also Published As

Publication number Publication date
US9972502B2 (en) 2018-05-15
US20180247828A1 (en) 2018-08-30
KR20170035779A (ko) 2017-03-31
US20170076957A1 (en) 2017-03-16

Similar Documents

Publication Publication Date Title
TW201719713A (zh) 側壁圖像移轉間隔件之原位沉積的執行系統與方法
JP7399864B2 (ja) 粗さを低減するための原子層堆積及びエッチング
JP6832057B2 (ja) 垂直nandホールエッチングのためのめっき金属ハードマスク
TWI637426B (zh) 單一平台多循環之間隔物沉積與蝕刻
JP6810059B2 (ja) 先進的なパターニングプロセスにおけるスペーサ堆積および選択的除去のための装置および方法
JP2022028796A (ja) ギャップ充填時の蒸着およびエッチングのための装置および方法
US9431267B2 (en) Semiconductor device processing tools and methods for patterning substrates
JP2022092006A (ja) 単一プラズマチャンバにおける、限界寸法制御のための原子層堆積及びエッチング
JP2020529736A (ja) 水平表面上におけるSiNの選択的堆積
TW201622008A (zh) 用於自我對準接觸方案的金屬前犧牲介電質
TW202039906A (zh) 蝕刻腔室中的方向性沉積
TWI627661B (zh) 使次解析度等級之臨界尺寸不同的圖案化方法
US11355350B2 (en) Etching method, substrate processing apparatus, and substrate processing system
JP7419342B2 (ja) トリムプロセスに対する限界寸法の変化の改善をもたらすチャンバおよびプロセスの補償
WO2020005931A1 (en) Improving azimuthal critical dimension non-uniformity for double patterning process
US20220262645A1 (en) Etching method, substrate processing apparatus, and substrate processing system
TW201820386A (zh) 鍺與矽化鍺奈米線裝置之形成方法
US20220181141A1 (en) Etch stop layer
TW201724253A (zh) 摻雜硼的碳硬遮罩層之選擇性移除
WO2020028119A1 (en) Non-selective and selective etching through alternating layers of materials
TWI682427B (zh) 用以等向性蝕刻緊密空間中的矽之無殘留物的系統及方法
US20220235464A1 (en) Selective carbon deposition
US20160329213A1 (en) Highly selective deposition of amorphous carbon as a metal diffusion barrier layer
TWI837105B (zh) 用於降低粗糙度的原子層沉積和蝕刻