TW201714993A - 停止於含矽層的添加物 - Google Patents

停止於含矽層的添加物 Download PDF

Info

Publication number
TW201714993A
TW201714993A TW105130890A TW105130890A TW201714993A TW 201714993 A TW201714993 A TW 201714993A TW 105130890 A TW105130890 A TW 105130890A TW 105130890 A TW105130890 A TW 105130890A TW 201714993 A TW201714993 A TW 201714993A
Authority
TW
Taiwan
Prior art keywords
group
acid
alkyl
weight
polishing composition
Prior art date
Application number
TW105130890A
Other languages
English (en)
Other versions
TWI596175B (zh
Inventor
馬帝亞斯 史坦德
梅特蘭 蓋瑞 格拉罕
Original Assignee
氣體產品及化學品股份公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 氣體產品及化學品股份公司 filed Critical 氣體產品及化學品股份公司
Publication of TW201714993A publication Critical patent/TW201714993A/zh
Application granted granted Critical
Publication of TWI596175B publication Critical patent/TWI596175B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/04Aqueous dispersions
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/02Polishing compositions containing abrasives or grinding agents
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B13/00Machines or devices designed for grinding or polishing optical surfaces on lenses or surfaces of similar shape on other work; Accessories therefor
    • B24B13/01Specific tools, e.g. bowl-like; Production, dressing or fastening of these tools
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1409Abrasive particles per se
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1436Composite particles, e.g. coated particles
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1454Abrasive powders, suspensions and pastes for polishing
    • C09K3/1463Aqueous liquid suspensions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/32Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76819Smoothing of the dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08KUse of inorganic or non-macromolecular organic substances as compounding ingredients
    • C08K3/00Use of inorganic substances as compounding ingredients
    • C08K3/18Oxygen-containing compounds, e.g. metal carbonyls
    • C08K3/20Oxides; Hydroxides
    • C08K3/22Oxides; Hydroxides of metals
    • C08K2003/2237Oxides; Hydroxides of metals of titanium
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08KUse of inorganic or non-macromolecular organic substances as compounding ingredients
    • C08K3/00Use of inorganic substances as compounding ingredients
    • C08K3/18Oxygen-containing compounds, e.g. metal carbonyls
    • C08K3/20Oxides; Hydroxides
    • C08K3/22Oxides; Hydroxides of metals
    • C08K2003/2237Oxides; Hydroxides of metals of titanium
    • C08K2003/2241Titanium dioxide
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08KUse of inorganic or non-macromolecular organic substances as compounding ingredients
    • C08K3/00Use of inorganic substances as compounding ingredients
    • C08K3/18Oxygen-containing compounds, e.g. metal carbonyls
    • C08K3/20Oxides; Hydroxides
    • C08K3/22Oxides; Hydroxides of metals
    • C08K2003/2244Oxides; Hydroxides of metals of zirconium
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08KUse of inorganic or non-macromolecular organic substances as compounding ingredients
    • C08K3/00Use of inorganic substances as compounding ingredients
    • C08K3/18Oxygen-containing compounds, e.g. metal carbonyls
    • C08K3/20Oxides; Hydroxides
    • C08K3/22Oxides; Hydroxides of metals
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08KUse of inorganic or non-macromolecular organic substances as compounding ingredients
    • C08K3/00Use of inorganic substances as compounding ingredients
    • C08K3/34Silicon-containing compounds
    • C08K3/36Silica

Abstract

化學機械拋光(CMP)組合物、方法及系統係用於拋光圖案化半導體晶圓。該等pH>7的包含研磨料及水溶性鋁化合物添加物的CMP組合物抑制CMP停止層(含矽層,例如氮化矽、氧化矽或碳化矽)移除速率。CMP組合物任意地含有幫助潤濕表面的表面活性劑;提供腐蝕抑制作用給金屬線、導孔或溝槽的腐蝕抑制劑;及用以調整該CMP拋光組合物pH的pH調節劑。

Description

停止於含矽層的添加物 相關申請案之交互參照
本申請案請求2015年9月25日申請的美國申請案第62/233,251號的權益,在此以引用的方式將該第62/233,251號揭示內容併入本文。
本發明關於用於圖案化基材表面,例如,舉例來說,圖案化半導體晶圓,的CMP的化學機械平坦化(“CMP”)拋光配方(CMP組合物或CMP配方、CMP漿料可互相交換使用)。
更特別的是,本文也描述一種用於拋光圖案化晶圓的CMP拋光組合物,該等圖案化晶圓具有多類型膜,例如阻障物、低k或超低k介電質及金屬線或導孔或溝槽。
在積體電路(IC)製程中有數個CMP步驟。當運用CMP加工時,可運用涉及初步移除及銅覆蓋層的平坦化之多步驟CMP製程,那指的是步驟1銅CMP製程,之後接著阻 障層CMP製程。該阻障層CMP製程經常被稱為阻障物或步驟2 CMP製程。
於階段2時使用阻障物CMP組合物的CMP加工常被用以將過量金屬層及該等圖案化晶圓表面上的其他膜移除而且平坦化以達成全面生平坦化。
為了解決介電質和金屬值得注意的問題,例如該圖案化晶圓結構的銅損失,能使用CMP停止層。該CMP停止層能停止該金屬CMP,尤其是阻障層CMP,移除該阻障層下方的介電層。該CMP停止層能有效防止該結構對過過度拋光。該CMP停止層可能由含矽材料製造,例如氮化矽(縮寫SiN)、氧化矽(SiO2)或碳化矽(SiC)。因此,有些CMP應用需要對SiN及/或SiC非常低的移除速率,因為其可能將停止層應用於某膜堆疊體中。
此技藝中已知有人努力達成供淺溝槽隔離(STI)應用用的非常低的SiN速率。Babu等人(Journal of The Electrochemical Society,156,12,H936-H943,2009)或Carter等人(US7071105;Electrochemical and Solid-State Letters,8,8,G218-G221,2005)記載靠添加物提高氧化矽對比於氮化矽的選擇性。
某些有機酸,像是舉例來說吡啶-2-甲酸(picolinic acid),的添加主要應用於該等CMP漿料。然而,所有這些先前技藝的實例皆使用氧化鈰粒子當研磨粒,其特徵與與氧化矽粒子當研磨粒完全不同的移除機構,所以與氧化鈰一同作業的添加物像是吡啶-2-甲酸完全無法與氧化矽粒子一同作 業。
再者,STI漿料通常於4至7的pH範圍下應用,其由於可能在金屬膜上產生腐蝕問題而不適用於先進的阻障物應用。
此外,氧化鈰漿料無法與一般為了達成金屬或阻障膜移除速率所需的過氧化氫或其他適合氧化劑聯合使用。
因此,非常需要能以非常低移除速率在該停止層(例如含矽層)上進行CMP同時提供符合其他要件者例如對其他層的移除速率、低淺盤效應及低缺陷的CMP漿料、方法及系統。
本文所述的是能滿足此需求的CMP拋光組合物、方法及系統。本發明揭示於CMP漿料中的一添加物,用於在CMP製程期間抑制含矽膜的移除速率之用途;其中該添加物係一水溶性鋁化合物。
於一具體實施例中,本文所述的是一種CMP拋光組合物,其包含:0.01重量%至20重量%的研磨料,其係選自由高純度膠態氧化矽粒子、氧化鋁、氧化鈰、氧化鍺、氧化矽、氧化鈦、氧化鋯、晶格中經氧化鋁摻雜的膠態氧化矽及其混合物所組成的群組;0.01%至約10重量%的水溶性鋁化合物;任意地, 0.0001重量%至約5重量%的pH調節劑;0.0001重量%至10重量%的表面活性劑,其係選自由a).非離子型表面潤濕劑;b).陰離子型表面潤濕劑;c).陽離子型表面潤濕劑;d).兩性表面潤濕劑;及其混合物所組成的群組;0.0005重量%至約0.5重量%的腐蝕抑制劑;0.01重量%至10重量%的氧化劑,其係選自由包含至少一過氧基(O-O)的過氧化合物、氧化鹵化物、過硼酸、過硼酸鹽、過碳酸鹽、過氧酸、過錳酸鹽、鉻酸鹽、鈰化合物、鐵氰化物及其混合物所組成的群組;及0.1重量%至5重量%的有機酸;及水;其中該拋光組合物的pH高於7;較佳地約8至12;更佳地約10至12。
於另一具體實施例中,本文所述的是一種用於將半導體裝置化學機械平坦化之拋光方法,該半導體裝置包含至少一具有第一材料和第二材料的表面,該第二材料包含至少一含矽材料;該拋光方法包含以下步驟:a)使該至少一表面與拋光墊接觸;b)將拋光組合物運送至該至少一表面,該拋光組合物包含:0.01重量%至20重量%的研磨料,其係選自由高純度膠態氧化矽粒子、氧化鋁、氧化鈰、氧化鍺、氧化矽、氧化鈦、氧化鋯、晶格中經氧化鋁摻雜的膠態氧化矽及其混合物所 組成的群組;0.01%至約10重量%的水溶性鋁化合物;任意地,0.0001重量%至約5重量%的pH調節劑;0.0001重量%至10重量%的表面活性劑,其係選自由a).非離子型表面潤濕劑;b).陰離子型表面潤濕劑;c).陽離子型表面潤濕劑;d).兩性表面潤濕劑;及其混合物所組成的群組;0.0005重量%至約0.5重量%的腐蝕抑制劑;0.01重量%至10重量%的氧化劑,其係選自由包含至少一過氧基(O-O)的過氧化合物、氧化鹵化物、過硼酸、過硼酸鹽、過碳酸鹽、過氧酸、過錳酸鹽、鉻酸鹽、鈰化合物、鐵氰化物及其混合物所組成的群組;及0.1重量%至5重量%的有機酸;及水;其中該拋光組合物的pH高於7;較佳地約8至12;更佳地約10至12;c)用該拋光組合物來拋光該至少一表面以移除該第一材料而且停止於該第二材料.
於又另一具體實施例中,本文所述的是一種用於化學機械平坦化之系統,其包含:一圖案化基材,其包含至少一具有第一材料和第二材料的表面,該第二材料包含至少一含矽材料; 一拋光墊;及供給該至少一表面的拋光組合物,該拋光組合物包含:0.01重量%至20重量%的研磨料,其係選自由高純度膠態氧化矽粒子、氧化鋁、氧化鈰、氧化鍺、氧化矽、氧化鈦、氧化鋯、晶格中經氧化鋁摻雜的膠態氧化矽及其混合物所組成的群組;0.01%至約10重量%的水溶性鋁化合物;任意地,0.0001重量%至約5重量%的pH調節劑;0.0001重量%至10重量%的表面活性劑,其係選自由a).非離子型表面潤濕劑;b).陰離子型表面潤濕劑;c).陽離子型表面潤濕劑;d).兩性表面潤濕劑;及其混合物所組成的群組;0.0005重量%至約0.5重量%的腐蝕抑制劑;0.01重量%至10重量%的氧化劑,其係選自由包含至少一過氧基(O-O)的過氧化合物、氧化鹵化物、過硼酸、過硼酸鹽、過碳酸鹽、過氧酸、過錳酸鹽、鉻酸鹽、鈰化合物、鐵氰化物及其混合物所組成的群組;及0.1重量%至5重量%的有機酸;及水;其中該拋光組合物的pH高於7;較佳地約8至12;更佳地約10至12;及使該至少一表面與該拋光墊及該拋光組合物接觸。
該水溶性鋁化合物添加物包括,但不限於,鋁酸鈉、鋁酸鉀、醋酸鋁、氯化鋁、硫酸鋁、氫氧化鋁及其組合。吾人所欲為該鋁化合物理應可以添加濃度溶於漿料配方。鋁酸鹽是鋁化合物的較佳形式。鋁酸鹽能藉由使用鋁的化合物例如氫氧化鋁、Al(OH)3或鋁鹽例如醋酸鋁、氯化鋁、硫酸鋁等等與適當氫氧化物鹼例如氫氧化鉀、KOH或氫氧化鈉(NaOH)於該CMP漿料配方中現場產生。
該水溶性鋁化合物可依介於0.01%至約10重量%,或更佳地介於0.05%與約5重量%之間或更佳地介於0.1重量%與1重量%之間的濃度存在。
該拋光組合物中的pH調節劑係選自由氫氯酸、硝酸、硫酸、氯醋酸、酒石酸、丁二酸、檸檬酸、蘋果酸、丙二酸、磺酸、磷酸、脂肪酸、聚羧酸、氯化氫及其混合物所組成的群組;或係選自由氫氧化鉀、氫氧化鈉、氨、氫氧化四價有機銨(例如氫氧化四甲基銨)、伸乙二胺、六氫吡嗪、聚乙烯亞胺、經改質的聚乙烯亞胺及其混合物所組成的群組。
該拋光組合物中的腐蝕抑制劑係分子中含氮原子的化學添加物,較佳地係選自由苯并三唑(BTA)及其衍生物、三唑及其衍生物(例如3-胺基-1,2,4-三唑及3,5-二胺基-1,2,4-三唑);咪唑及其衍生物、吡唑及其衍生物、苯并咪唑及其衍生物及其組合所組成的群組。該有機酸可能是任何適當有機酸,其包括但不限於芳香族有機酸(例如苯磺酸、甲苯磺酸)及胺基酸或其鹽。
非離子型表面活性劑可選自一系列化學藥品類 型,其包括但不限於長鏈醇類、乙氧基化醇類、乙氧基化乙炔二醇表面活性劑、聚乙二醇烷基醚類、聚丙二醇烷基醚類、葡萄糖苷烷基醚類、聚乙二醇辛基苯基醚類、聚乙二醇烷基苯基醚類、丙三醇烷基醚類、聚氧乙二醇山梨醇烷酯類、山梨醇烷酯類、椰油醯胺單乙醇胺、椰油醯胺二乙醇胺、氧化十二基二甲基胺、聚乙二醇和聚丙二醇的嵌段共聚物、聚乙氧基化牛脂胺類、氟表面活性劑。該等表面活性劑的分子量可介於數百至超過1百萬。這些材料的黏度也具有非常廣的分佈。
陰離子型表面活性劑包括,但不限於具有適當疏水性尾部的鹽類,例如烷基羧酸鹽、烷基聚丙烯酸鹽、烷基硫酸鹽、烷基磷酸鹽、烷基二羧酸鹽、烷基二硫酸鹽、烷基二磷酸鹽,例如烷氧基羧酸鹽、烷氧基硫酸鹽、烷氧基磷酸鹽、烷氧基二羧酸鹽、烷氧基二硫酸鹽、烷氧基二磷酸鹽,例如經取代的芳基羧酸鹽、經取代的芳基硫酸鹽、經取代的芳基磷酸鹽、經取代的芳基二羧酸鹽、經取代的芳基二硫酸鹽、經取代的芳基二磷酸鹽等等。此類型表面潤濕劑的相反離子包括,但不限於鉀、銨及其他正離子。這些陰離子型表面潤濕劑的分子量介於數百至數十萬。
陽離子型表面潤濕劑的分子骨架主要部分上有淨的正電荷。陽離子型表面活性劑通常是包含疏水鏈及陽離子電荷中心(例如胺類、季銨、苯甲烴銨(benzyalkonium)及烷基吡啶鎓離子(alkylpyridinium ion))之分子的鹵化物。
然而,於另一態樣中,該表面活性劑可能是兼具 有於主分子鏈上的正電荷(陽離子)及負電荷(陰離子)及其相關相反離子的兩性表面潤濕劑。該陽離子部分係以一級、二級或三級胺類或季銨陽離子為基礎。該陰離子部分可能變化更大而且包括磺酸鹽類,像是磺基甜菜鹼(sultaine)CHAPS(3-[(3-膽醯胺丙基)二甲基氨基]-1-丙磺酸鹽)及椰油醯胺丙基羥磺基甜菜鹼。甜菜鹼類例如椰油醯胺丙基甜菜鹼偕同銨的羧酸根。兩性表面活性劑當中有些可能具有偕同胺或銨的磷酸根陰離子,例如磷脂(phospholipid)、磷脂醯絲胺酸(phosphatidylserine)、磷脂醯乙醇胺(phosphatidylethanolamine)、磷脂醯膽鹼(phosphatidylcholine)及鞘磷脂(sphingomyelin)。
該第一材料包括但不限於選自由Cu、W、Co、Al及其組合所組成的群組的金屬膜;選自由Ta、TaN、Ti、TiN、Ru及其組合所組成的群組的阻障或襯墊膜;包括低-k材料的介電膜;及其組合;而且該至少一含矽材料包括但不限於氮化矽、氧化矽、碳化矽及其組合。
本發明將在後文中關聯關聯附圖描述,其中類似的編號表示類似的元件:圖1顯示包含即停鋁酸鹽添加物(鋁酸鈉)的阻障物CMP拋光漿料的SiN移除速率。
圖2顯示把SiN移除速率當成該阻障物CMP拋光漿料中的鋁酸鹽(鋁酸鈉)濃度的函數的結果。
圖3顯示使用含有鋁酸鹽(鋁酸鈉)而沒有氧化劑的阻障物CMP拋光漿料對於阻障層中的不同膜的移除速率。
圖4顯示使用含有鋁酸鹽(鋁酸鈉)及氧化劑的阻障物CMP拋光漿料對於阻障層中的不同膜的移除速率。
圖5顯示使用含有鋁酸鹽(鋁酸鉀)而沒有氧化劑的阻障物CMP拋光漿料對於阻障層中的不同膜的移除速率。
圖6顯示把氮化矽(SiN)及氧化矽(TEOS)移除速率當成該阻障物CMP拋光漿料中的鋁酸鹽濃度(鋁酸鉀)的函數。
圖7顯示使用含有鋁酸鹽(醋酸鋁)而沒有氧化劑的阻障物CMP拋光漿料對於阻障層中的不同膜的移除速率。
許多CMP應用涉及同時拋光二或更多層,其中該一或更多層係於相對於必須於更低許多的速率下拋光的另一層而言高速率下拋光。許多應用使用包含矽或矽化合物的停止層,該停止層包括但不限於氧化矽、氮化矽、氧氮化矽、碳化矽或氧碳化矽、多晶矽、非晶形矽或包含矽、氧、碳、氮、氫的任何其他組合。這可能非常難以達成,因為對該堆疊體中的其他膜的高移除速率可能只能藉著大量研磨料添加達成,所以無可避免地導致對該停止膜的較高移除速率。若用氧化矽粒子當成研磨粒而且為了效力的理由而需要較高pH(>7)的話,這個問題特別大。含矽膜例如SiN或SiO2於鹼性pH範圍中進行水解,而造成該等膜的較高移除速率。因此,有需要能停止於SiN及/或SiC而不需犧牲任何其他移除速率 或選擇性的添加物。
該第一材料包括但不限於選自由Cu、W、Co、Al及其組合所組成的群組中的金屬膜;選自由Ta、TaN、Ti、TiN、Ru及其組合所組成的群組中的阻障或襯墊膜;包括低-k材料的介電膜;及其組合;而且該至少一含矽材料包括但不限於氮化矽、氧化矽、碳化矽及其組合。
本發明的配方尤其有用於拋光含不同層材料的半導體晶圓。該晶圓含有至少一包含第一材料和至少一含矽材料的表面。
本發明的配方尤其有用於降低含矽膜例如氧化矽(SiO2)、氮化矽(SiN)、碳化矽(SiC)、氧碳化矽(SiOC)的移除速率。
本發明的組合物提供下列至少其一:(1)停止層的低移除速率;(2)保持不同類型其他膜/層的高移除速率;(3)對不同膜具有預期的選擇性;(4)預期的低晶圓內不均勻性(“WIW NU%”);及(5)CMP加工之後有少量殘留物存於被拋光的晶圓上。
本發明揭示於CMP漿料中使用的添加物,用於在CMP製程期間抑制含矽膜的移除速率;其中該添加物係水溶性鋁化合物。
本發明的CMP組合物包含研磨粒子、水溶性鋁化合物及水。組合物也可任意地包含其他功能性添加物,包括但不限於錯合或螯合劑、pH改質劑、移除速率選擇性改質劑、腐蝕抑制劑、氧化劑、表面活性劑、分散劑、觸媒及殺 生物劑或防腐蝕劑。
該水溶性鋁化合物添加物包括,但不限於,鋁酸鈉、鋁酸鉀、醋酸鋁、氯化鋁、硫酸鋁、氫氧化鋁及其組合。含鋁化合物較佳為鋁酸鹽類。或者,該鋁酸鹽能藉由使用鋁的化合物例如氫氧化鋁、Al(OH)3或鋁鹽例如醋酸鋁、氯化鋁、硫酸鋁等等與適當氫氧化物鹼例如氫氧化鉀、KOH或氫氧化鈉(NaOH)於該CMP漿料配方中現場產生。在鹼性pH中,該鹽類可能進行水解而且形成鋁酸根陰離子([Al(OH)4]-)。鋁酸根陰離子可能進行聚合而形成二聚性或聚合性鋁錯合物。
不欲受理論所限,咸相信含矽膜在CMP期間於表面處水解而且形成矽烷醇物種(Si-OH),一般將其視為為了達成CMP的高移除速率的必要步驟。鋁酸根物種隨後形成不溶性錯合物,其與Al-矽酸根類似。該不溶性錯合物扮作預防該等膜進一步水解的抑制劑,從而抑制該等含矽膜的移除速率。
水溶性鋁化合物的量相對於該CMP組合物的總重量介於約0.01重量%至約10重量%。較佳範圍為約0.05重量%至約3重量%,而且更佳範圍為約0.1重量%至約1重量%。
本發明的適合研磨粒包括,但不限於,高純度膠態氧化矽粒子、氧化鋁、氧化鈰、氧化鍺、氧化矽、氧化鈦、氧化鋯、晶格中經氧化鋁摻雜的膠態氧化矽、被氧化鈰塗覆的氧化矽粒子及其混合物。
較佳的微粒狀材料係膠態氧化矽。該膠態氧化矽能由矽酸鈉製成,或能由TEOS(原矽酸四乙酯)或TMOS(原 矽酸四甲酯)製成。更佳為帶有極小量微量金屬雜質(鹼金屬<300ppb而且重金屬<100ppb)的高純度膠態氧化矽。能應用的高純度膠態氧化矽實例係由日本Fuso Chemical Company購得的Fuso PL-3、PL2、PL3H及PL3L高純度膠態氧化矽粒子。
不同粒徑和類型膠態氧化矽粒子的混合物也可能產生改良性能。
該研磨料存有0.01重量%至20重量%的量,較佳地0.05重量%至10重量%,更佳地約0.1重量%至約5重量%。
該拋光組合物的pH高於7;較佳地約8至12;更佳地約10至12。
在該水溶性鋁化合物的溶解度較高的情形中本發明的配方於pH 7或更高下尤其有效。鹼性pH也適於必需保護金屬例如銅及鈷的CMP組合物。為了使該CMP漿料中所用的粒子得到改良的膠態安定性也需要較高的pH。
該拋光組合物可任意地包括氧化劑。
該氧化劑可能是任何適合的氧化劑。
適合的氧化劑包括,但不限於,包含至少一過氧基(O-O)的一或更多過氧化合物。適合的過氧化合物包括,舉例來說,但不限於,過氧化物、過硫酸鹽(例如,單過硫酸鹽及二過硫酸鹽)、過碳酸鹽及其酸類及其鹽類及其混合物。
其他適合的氧化劑包括,舉例來說,氧化鹵化物(例如,氯酸鹽、溴酸鹽、碘酸鹽、過氯酸鹽、過溴酸鹽、過碘酸鹽及其酸類及其混合物等)、過硼酸、過硼酸鹽、過碳酸 鹽、過氧酸(例如,過醋酸、過苯甲酸、間-氯過苯甲酸、其鹽類及其混合物等)、過錳酸鹽、鉻酸鹽、鈰化合物、鐵氰化物(例如,鐵氰化鉀)及其混合物等。有些有用於本文所述的組合物及方法的指定氧化劑包括,但不限於,過氧化氫、過碘酸、碘酸鉀、過錳酸鉀、過硫酸銨、鉬酸銨、硝酸鐵、硝酸、硝酸鉀及其混合物。
較佳的氧化劑係包括,舉例來說,過氧化氫及尿素-過氧化氫的過氧化物。
該氧化劑的量相對於該CMP組合物的總重量介於約0.01重量%至10重量%。較佳範圍係約0.1重量%至4重量%,而且更佳範圍係約0.5重量%至2重量%。
在CMP期間含矽膜例如SiN、SiC、TEOS的移除速率可能受到該水溶性鋁化合物所抑制,即使是在沒有氧化劑存在之下亦同。然而,某些應用例如金屬及阻障物CMP有可能需要添加氧化劑。
CMP漿料配方也可能任意地包括表面活性劑或表面潤濕劑。表面活性劑可用於種種不同用途例如減少晶圓上的缺陷,調整移除速率及減少過度拋光形貌。適合的表面活性劑包括,但不限於,a).非離子型表面潤濕劑;b).陰離子型表面潤濕劑;c).陽離子型表面潤濕劑;d).兩性表面潤濕劑;及其混合物。
於一態樣中,該表面活性劑可能是非離子型表面活性劑。非離子型表面活性劑可選自一系列化學藥品類型,其包括但不限於長鏈醇類、乙氧基化醇類、乙氧基化乙炔二 醇表面活性劑、聚乙二醇烷基醚類、聚丙二醇烷基醚類、葡萄糖苷烷基醚類、聚乙二醇辛基苯基醚類、聚乙二醇烷基苯基醚類、丙三醇烷基醚類、聚氧乙二醇山梨醇烷酯類、山梨醇烷酯類、椰油醯胺單乙醇胺、椰油醯胺二乙醇胺、氧化十二基二甲基胺、聚乙二醇和聚丙二醇的嵌段共聚物、聚乙氧基化牛脂胺類、氟表面活性劑。該等表面活性劑的分子量可介於數百至超過1百萬。這些材料的黏度也具有非常廣的分佈。
能用於該等CMP拋光漿料的數種市售可得的非離子型表面活性劑包括,但不限於乙氧基化醇類例如由Dow Chemical製造的Tergitol®系列(例如,Tergitol® 15530、Tergitol® 15S9)者;由Air Products and Chemicals有限公司製造的Surfynol®系列(例如Surfynol® 440及Surfynol® 465)及DynolTM系列(例如DynolTM 607及DynolTM 604)表面活性劑;氟化表面活性劑,例如由E.I.DuPont de Nemours and Company製造的Zonyl®群組(例如,Zonyl® FSO及Zonyl® FSN表面活性劑)者;烷氧基化表面活性劑例如由Evonik製造的Tego® Wet 510由Omnova製造的氟化PolyFox®非離子型表面活性劑(例如,PF159非離子型表面活性劑);或其組合。
在另一態樣中,該表面活性劑可能是陰離子型表面潤濕劑,其係分子骨架主要部分上有淨負電荷的化合物。這些化合物包括,但不限於具有適當疏水性尾部的鹽類,例如烷基羧酸鹽、烷基聚丙烯酸鹽、烷基硫酸鹽、烷基磷酸鹽、烷基二羧酸鹽、烷基二硫酸鹽、烷基二磷酸鹽,例如烷氧基 羧酸鹽、烷氧基硫酸鹽、烷氧基磷酸鹽、烷氧基二羧酸鹽、烷氧基二硫酸鹽、烷氧基二磷酸鹽,例如經取代的芳基羧酸鹽、經取代的芳基硫酸鹽、經取代的芳基磷酸鹽、經取代的芳基二羧酸鹽、經取代的芳基二硫酸鹽、經取代的芳基二磷酸鹽等等。此類型表面潤濕劑的相反離子包括,但不限於鉀、銨及其他正離子。這些陰離子型表面潤濕劑的分子量介於數百或數十萬。
在另一態樣中,該表面活性劑可能是陽離子型表面潤濕劑,其分子骨架主要部分上有淨的正電荷。陽離子型表面活性劑通常是包含疏水鏈及陽離子電荷中心(例如胺類、季銨、苯甲烴銨及烷基吡啶鎓離子)之分子的鹵化物。
然而,於另一態樣中,該表面活性劑可能是兼具有於主分子鏈上的正電荷(陽離子)及負電荷(陰離子)及其相關相反離子的兩性表面潤濕劑。該陽離子部分係以一級、二級或三級胺類或季銨陽離子為基礎。該陰離子部分可能變化更大而且包括磺酸鹽類,像是磺基甜菜鹼CHAPS(3-[(3-膽醯胺丙基)二甲基氨基]-1-丙磺酸鹽)及椰油醯胺丙基羥磺基甜菜鹼。甜菜鹼類例如椰油醯胺丙基甜菜鹼偕同銨的羧酸根。兩性表面活性劑當中有些可能具有偕同胺或銨的磷酸根陰離子,例如磷脂(phospholipid)、磷脂醯絲胺酸、磷脂醯乙醇胺、磷脂醯膽鹼及鞘磷脂。
適當的市售可得的表面活性劑實例包括Dow Chemicals所製造的TRITONTM、TergitolTM、DOWFAXTM群組的表面活性劑及Air Products and Chemicals所製造的名為 SUIRFYNOLTM、DYNOLTM、ZetasperseTM、NonidetTM和TomadolTM表面活性劑群組的不同表面活性劑。適合的表面活性劑也可包括包含環氧乙烷(EO)及環氧丙烷(PO)基團的聚合物。EO-PO聚合物的實例係來自BASF Chemicals的TetronicTM 90R4。
表面活性劑的量相對於該CMP組合物的總重量介於約0.0001重量%至約10重量%。較佳範圍係約0.001重量%至約1重量%,而且更佳範圍係約0.005重量%至約0.1重量%。
該CMP拋光組合物可另外包含pH調節劑以改善該拋光組合物的安定性,調整該拋光組合物的離子強度,而且改善處理和使用時的安全性。該pH調節劑可用以提高或降低該拋光組合物的pH。
降低該拋光組合物的pH的適當pH調節劑包括不同有機及無機酸類,其包括但不限於氫氯酸、硝酸、硫酸、氯醋酸、酒石酸、丁二酸、檸檬酸、蘋果酸、丙二酸、磺酸、磷酸、不同脂肪酸類、不同聚羧酸類、氯化氫及其混合物。
提高該拋光組合物的pH的適當pH調節劑包括不同有機或無機鹼類,其包括但不限於氫氧化鉀、氫氧化鈉、氨、氫氧化四價有機銨(例如氫氧化四甲基銨)、伸乙二胺、六氫吡嗪、聚乙烯亞胺、經改質的聚乙烯亞胺及其混合物。
該pH調節劑的量相對於該CMP組合物的總重量介於約0.0001重量%至約5重量%。較佳範圍係約0.0005%至約1重量%,而且更佳範圍係約0.0005重量%至約0.5重量 %,而且最佳範圍係約0.001重量%至約0.1重量%。
該CMP組合物可另外包含腐蝕抑制劑。
適合的腐蝕抑制劑包括,但不限於,分子中含有氮原子的化學添加物。腐蝕抑制劑的實例包括但不限於苯并三唑(BTA)及苯并三唑衍生物、三唑及其相關衍生物;咪唑、吡唑及其衍生物、苯并咪唑及其衍生物及其組合。
該腐蝕抑制劑的量相對於該CMP組合物的總重量介於約0.0005重量%至約0.5重量%。較佳範圍係約0.0025%至約0.15重量%,而且更佳範圍係約0.01重量%至約0.1重量%。
CMP組合物可另外包含有機酸。該有機酸可能是任何適合的有機酸,其包括但不限於芳香族有機酸及胺基酸或其鹽。該芳香族有機酸能被描述成能與晶圓表面上的一些膜交互作用的配位子。
該芳香族有機酸包括,但不限於苯磺酸或甲苯磺酸或任何其他有機酸或胺基酸。
該有機酸的量相對於該CMP組合物的總重量介於約0.1重量%至約5重量%。較佳範圍係約0.2重量%至約2重量%,而且更佳範圍係約0.25重量%至約1重量%。
CMP漿料可包括螯合劑或錯合劑。錯合劑可用於不同用途例如提高CMP時的移除速率,減少墊子髒污,提高該水溶性鋁化合物的溶解度,加大該等鋁化合物可溶的pH範圍。
適合的螯合劑包括,但不限於有機酸及其鹽類; 聚合性酸及其鹽類;水溶性共聚物及其鹽類;共聚物及其鹽類,其於同一共聚物分子中含有選自以下的至少二不同類型的酸基:羧酸基、磺酸基、磷酸及吡啶酸;聚乙烯基酸及其鹽類、無機矽酸鉀及矽酸銨;聚環氧乙烷;聚環氧丙烷;吡啶、吡啶衍生物、聯吡啶、聯吡啶衍生物及其組合。
該等有機酸包括但不限於胺基酸類;羧酸類;磷酸類;磺酸類;聚乙烯基酸類及吡啶酸類;聯吡啶酸類。
該聚合性酸及其鹽類包括但不限於具有選自由羧酸及其鹽類;磺酸及其鹽類;膦酸及其鹽類;吡啶酸及其鹽類的所組成的群組之官能基的聚合性化合物。實例為聚合性羧酸及其鹽類;聚合性磺酸及其鹽類;聚合性膦酸及其鹽類;聚合性吡啶酸及其鹽類。更具體的實例為聚丙烯酸及其鹽類;聚苯乙烯磺酸及其鹽類;聯吡啶酸及其鹽類。
該螯合劑的量相對於該CMP組合物的總重量介於約0.001重量%至約5重量%。較佳範圍係約0.01%至約2.0重量%,而且更佳範圍係約0.1重量%至約1.0重量%。
該等CMP漿料配方可包括觸媒以提高金屬膜的移除速率。觸媒可包含具有多重氧化態的Ag、Co、Cr、Cu、Fe、Mo、Mn、Nb、Ni、Os、Pd、Ru、Sn、Ti、V的金屬化合物及其混合物。金屬化合物可藉由將該化合物溶解而加於該CMP漿料。或者,表面含有具有多重氧化態的金屬化合物的粒子可依異質觸媒的形式使用。當CMP配方中使用具有過氧基(O-O)的氧化劑例如過氧化氫時觸媒將促進羥基游離基生成。羥基游離基生成將會提高金屬膜例如鎢的移除速率。 該等CMP配方的觸媒濃度可介於0.0001重量%至1重量%或更佳地介於0.001重量%與0.5重量%之間。
該CMP組合物可包含生物生長抑制劑或防腐劑以防止儲存期間的細菌和黴菌生長。
該生物生長抑制劑包括,但不限於,氯化四甲基銨、氯化四乙基銨、氯化四丙基銨、氯化烷基苯甲基二甲基銨及氫氧化烷基苯甲基二甲基銨(其中該烷基鏈介於1至約20個碳原子)、甲基異噻唑啉酮、5-氯-2-甲基-4-異噻唑啉-3-酮(氯甲基異噻唑啉酮或CMIT)、亞氯酸鈉及次氯酸鈉。市售可得的防腐劑當中的某些包括來自Dow Chemicals的KATHONTM及NEOLENETM產品群組及來自Lanxess的PreventolTM群組。美國專利第5,230,833號(Romberger等人)及美國專利申請案第US 20020025762號中揭露更多。其內容在此以引用的方式將其全文併入本文。
分散劑可用以改善粒子的膠態安定性。分散劑可包含表面活性劑及聚合物。分散劑的實例包括聚丙烯酸、聚甲基丙烯酸。
該分散劑的量相對於該CMP組合物的總重量介於約0.001重量%至約5重量%。較佳範圍係約0.01%至約1.0重量%。
在某些具體實施例中,該等配方能以濃縮形式製造而且於使用點處用水及任意氧化劑來稀釋。
在某些具體實施例中,配方能分成能於使用點處混合的二或更多部分輸運;富含研磨料的部分及富含化學藥 品的部分,以便消除任何安定性問題。
於一具體實施例中,本發明的組合物可用以選擇性地將導電金屬結構拋光至該結構四周的停止層,其中該停止層包含矽或矽化合物包括但不限於氧化矽、氮化矽、氧氮化矽、碳化矽或氧碳化矽、多晶矽、非晶形矽或包含矽、氧、碳、氮、氫的任何其他組合。導電金屬結構可包含金屬或合金例如銅、鎢、鈷。該空白金屬膜與該停止層之間的移除速率比大於10或更佳地大於50或又更佳地大於100。
於又另一具體實施例中,本發明的拋光漿料可用以拋光該導電金屬與周圍金屬之間夾雜一或更多金屬層的導電金屬結構。該夾雜金屬層可提供多數功能例如但不限於對抗金屬離子擴散的阻障物、襯底材料、膠合層。該夾雜金屬層可包含但不限於鈦、氮化鈦(TIN)、鈷、釕、鉭、氮化鉭及自形成阻障(self-forming barrier)膜,其係互連件金屬與多數金屬例如但不限於Mn、Cr、V、Ru、Zr、C、Mo、Ag、W的合金膜。該等結構也可包括包含矽或矽化合物的停止層,該矽化合物包括但不限於氧化矽、氮化矽、氧氮化矽、碳化矽或氧碳化矽、多晶矽、非晶形矽或包含矽、氧、碳、氮、氫的任何其他組合。空白金屬阻障層係於某移除速率,較佳地大於200Å/min或較佳地大於300Å/min,於1.5psi向下作用力下被拋光,同時將該停止膜的移除速率抑制於低於100Å/min或較佳地低於50Å/min或最佳地低於10Å/min。
通用實驗程序
本文所述的相關製程必連帶著以前述組合物用於圖案化基材表面的阻障物化學機械平坦化。
本文所述的拋光組合物及相關製程和系統能有效用於帶種種不同表面的基材的CMP,特別有用於減低停止層,例如SiN及/或SiC移除速率。
在該等製程中,將基材(例如,含有種種不同表面的圖案化晶圓)面向下置於不動貼於CMP拋光機轉動壓盤的拋光墊上。依此方式,使被拋光而且平坦化的基材與該拋光墊直接接觸。在該CMP加工期間用晶圓承載系統或拋光頭將該基材固持於適當位置而且靠著該基材背側施以向下壓力,同時使該壓盤與該基材旋轉。該拋光組合物在CMP加工期間施於(通常連續地)該墊子以促成材料的移除而將該基材平坦化。
拋光墊,Fujibo H7000HN墊子,由Narubeni America股份有限公司供應,係用於CMP製程。Co(PVD)阻絕層係由PVD製程來沉積。SiN及/或SiC膜係由PECVD沉積製程來沉積。TEOS氧化物膜係由化學氣相沉積法(CVD)使用原矽酸四乙酯當前驅物來沉積。
參數:
Å:埃-長度的單位
BP:背壓,以psi單位表示
CMP:化學機械平坦化=化學機械拋光
CS:載具速度
DF:向下作用力:CMP期間施加的壓力,單位psi
min:分鐘
ml:毫升
mV:毫伏特
psi:每平方吋磅數
PS:拋光設備的壓盤轉動速度,以rpm(每分鐘轉數)表示
SF:拋光組合物流量,ml/min
移除速率及移除選擇性
移除速率(RR)=(拋光前的膜厚度-拋光後的膜厚度)/拋光時間
Cu RR:於該CMP設備的2.0psi(軟質Fujibo墊子)向下壓力下測得的PVD Cu移除速率
SiN RR:於該CMP設備的2.0psi(軟質Fujibo墊子)向下壓力下測得的SiN移除速率
BD1 RR:於該CMP設備的2.0psi(軟質Fujibo墊子)向下壓力下測得的BD1移除速率
Co RR:於該CMP設備的2.0psi(軟質Fujibo墊子)向下壓力下測得的Co移除速率
TaN RR:於該CMP設備的2.0psi(軟質Fujibo墊子)向下壓力下測得的TaN移除速率
除非另行指明,否則所有百分比皆為重量百分比。
在以下所示的實施例中,使用以下提供的程序及實驗條件進行CMP實驗。
該等實施例所用的CMP設備為Mirra®,由加州,聖塔克拉拉,95054,Bowers大道3050號的Applied Materials公司製造。於該壓盤上使用由Narubeni America股份有限公司所供應的Fujibo H7000HN來進行供空白晶圓拋光研究。墊子係藉由拋光25個仿氧化物(藉由電漿強化CVD由TEOS前驅物沉積,PETEOS)晶圓而磨合(break-in)。為了使設備設定及墊子磨合適合,利用Air Products Chemical有限公司所供應的Syton® OX-K膠態氧化矽於基準條件下拋光二PETEOS監視器。拋光實驗係利用電鍍沉積銅、低-k介電層材料(Black Diamond®)、氮化鉭、PVD鈷及氮化矽晶圓來進行。這些空白晶圓係自加州,95126,坎貝爾大道1150號,Silicon Valley Microelectronics,及Advantiv股份有限公司購得。
在下列實施例中,化學藥品,例如鋁酸鹽,或用以產生該鋁酸鹽的化學藥品,例如氫氧化鋁或Al(OH)3、氫氧化鉀或KOH、氫氧化鈉或NaOH,係購自Sigma-Aldrich股份有限公司。
工作實施例
在下列工作實施例中,該等CMP拋光漿料包含腐蝕抑制劑例如苯并三唑(BTA)及苯并三唑衍生物、三唑或三唑衍生物(例如3-胺基-1,2,4-三唑、3,5-二胺基-1,2,4-三唑)、 當配位子的化學藥品添加物例如當表面潤濕劑/表面活性劑的苯磺酸、鋁酸鹽、研磨料、pH調節劑及任意地氧化劑及芳香族有機酸。
該等阻障物CMP漿料係用於拋光阻障物應用相關的不同類型膜。該等CMP拋光漿料的pH在10至11左右。
一阻障物CMP組合物(列於表I)係用作對照組或參照組組合物。
工作組阻障物CMP拋光漿料係藉由將不同量的鋁酸鹽加於該對照組組合物而獲得。或者,該鋁酸鹽能藉由混合化學計量的氫氧化鋁(Al(OH)3)與氫氧化鉀(KOH)或氫氧化鈉(NaOH)而在現場產生。
實施例1
將0.10重量%、0.15重量%及0.25重量%的鋁酸鈉(NaAlO2)加於該對照組組合物(如表I所示)以獲得表II所示 的阻障物CMP拋光漿料。該等CMP漿料係接著用以拋光SiN基材。將結果得到的SiN移除速率顯示於表II及圖1。
圖2提供把SiN移除速率當成該等漿料中的鋁酸鈉濃度的函數的結果。
結果顯示藉著0.10重量%的鋁酸鈉(NaAlO2)的添加使該SiN移除速率對比於沒任何鋁酸鹽添加物的對照組組合物降低了35%。該0.15重量%鋁酸鈉的添加使該SiN移除速率對比於該對照組組合物降低了43%。而藉著0.25重量%的鋁酸鈉的添加使該SiN移除速率滑落至0。
該等數據也指示該SiN移除速率可視需要做調整。
實施例2
實施例1所用的相同CMP漿料也被用以拋光阻障物應用相關的許多膜例如銅及低-k材料(BD1)。
將移除速率的結果顯示於表III及圖3。
結果顯示該鋁酸鈉添加物使該SiN移除速率降低,另一方面完全不會或僅最低限度地影響其他阻障膜的移除速率。
實施例3
測量藉著含有過氧化物(當氧化劑)的CMP拋光漿料來進行。
在不同膜鈷、銅及氮化鉭上測量移除速率。將結果顯示於表IV及圖4。
同樣地,結果顯示含過氧化物的CMP漿料中的鋁酸鈉添加物使SiN上的移除速率降低了,但是僅最低限度 地影響其他阻障膜的移除速率。
實施例4
將0.10重量%、0.20重量%、0.25重量%及0.3重量%的鋁酸鉀(KAlO2)加於該對照組組合物(如表I所示)以獲得該等阻障物CMP拋光漿料。
該鋁酸鉀(KAlO2)係藉由混合化學計量的氫氧化鋁(Al(OH)3)與氫氧化鉀(KOH)而在現場產物。
該等CMP漿料係用以阻障物應用相關的許多膜例如鈷、銅、低-k材料(BD1)、氧化矽(SiO2)(TEOS)及氮化矽(SiN)。
將移除速率的結果顯示於表V及圖5和6。
結果顯示藉著鋁酸鉀(KAlO2)的添加使該SiN移除速率及TEOS移除速率對比於沒任何鋁酸鹽添加物的對照組組合物皆降低了。該0.25重量%鋁酸鉀的添加使該SiN移除速率及TEOS移除速率降至0。
該等數據也指示該SiN移除速率及TEOS移除速率可視需要做調整。
由於使用鋁酸鈉的結果,使其他膜例如鈷、銅或低-k膜像是Black Diamond 1TM(BD1)的移除速率受到最低限制的影響。
實施例5
將0.2078重量%的醋酸鋁(AlAc)加於該對照組組合物(如表I所示)以獲得該阻障物CMP拋光組合物。
該CMP組合物係用以拋光阻障物應用相關的許多膜例如鈷、銅、低-k材料(BD1)、氧化矽(SiO2)(TEOS)及氮化矽(SiN)。
將移除速率的結果顯示於表VI及圖7。
結果顯示藉著0.2078重量%醋酸鋁的添加使該SiN移除速率對比於該對照組組合物降低了31%;而且TEOS移除速率對比於該對照組組合物降低了40%。同時,該組合物對於其他膜的移除速率沒有任何影響。
頃證實鋁酸鹽加於膠態氧化矽組合物造成含矽膜(例如SiN及TEOS)的移除速率降低,同時對其他膜例如鈷、銅或低-k膜像是Black Diamond 1TM(BD1)沒有或有最小限度的影響。
隨後的詳細描述僅提供較佳示範具體實施例,而且並非意圖限制本發明的範疇、適用性或組態。而是,該等較佳示範具體實施例在隨後的詳細描述將提供熟悉此技藝者關於實施本發明的較佳示範具體實施例的授權說明。元件的功能和配置可以完成不同變更而不會悖離附加申請專利範圍提出的發明精神及範疇。

Claims (21)

  1. 一種拋光組合物,其包含:0.01重量%至20重量%的研磨料,其係選自由高純度膠態氧化矽粒子、氧化鋁、氧化鈰、氧化鍺、氧化矽、氧化鈦、氧化鋯、晶格中經氧化鋁摻雜的膠態氧化矽及其混合物所組成的群組;0.01%至約10重量%的水溶性鋁化合物;及水;其中該拋光組合物的pH高於7。
  2. 如申請專利範圍第1項之拋光組合物,其中該水溶性鋁化合物係選自由鋁酸鈉、鋁酸鉀、醋酸鋁、氯化鋁、硫酸鋁、氫氧化鋁及其組合所組成的群組。
  3. 如申請專利範圍第1項之拋光組合物,其另外包含以下至少一者:0.0001重量%至約5重量%的pH調節劑;0.0001重量%至10重量%的表面活性劑,其係選自由a).非離子型表面潤濕劑;b).陰離子型表面潤濕劑;c).陽離子型表面潤濕劑;d).兩性表面潤濕劑;及其混合物所組成的群組;0.0005重量%至約0.5重量%的腐蝕抑制劑;0.01重量%至10重量%的氧化劑,其係選自由包含至少一 過氧基(O-O)的過氧化合物、氧化鹵化物、過硼酸、過硼酸鹽、過碳酸鹽、過氧酸、過錳酸鹽、鉻酸鹽、鈰化合物、鐵氰化物及其混合物所組成的群組;及0.1重量%至5重量%的有機酸。
  4. 如申請專利範圍第3項之拋光組合物,其中該腐蝕抑制劑係分子中含氮原子的化學添加物,該化學添加物係選自由苯并三唑(BTA)及其衍生物、三唑及其衍生物、咪唑及其衍生物、吡唑及其衍生物、苯并咪唑及其衍生物及其組合所組成的群組;該pH調節劑係選自由氫氯酸、硝酸、硫酸、氯醋酸、酒石酸、丁二酸、檸檬酸、蘋果酸、丙二酸、磺酸、磷酸、脂肪酸、聚羧酸、氯化氫及其混合物所組成的群組;或係選自由氫氧化鉀、氫氧化鈉、氨、氫氧化四價有機銨、伸乙二胺、六氫吡嗪、聚乙烯亞胺、經改質的聚乙烯亞胺及其混合物所組成的群組;而且該有機酸係選自由芳香族有機酸、胺基酸或其鹽及其組合所組成的群組。
  5. 如申請專利範圍第3項之拋光組合物,其中該非離子型表面潤濕劑係選自由以下所組成的群組:乙氧基化醇、乙氧基化乙炔二醇表面活性劑、聚乙二醇烷基醚、聚丙二醇烷基醚、葡萄糖苷烷基醚、聚乙二醇辛基苯基醚、 聚乙二醇烷基苯基醚、丙三醇烷基酯、聚氧乙二醇山梨醇烷酯、山梨醇烷酯、椰油醯胺單乙醇胺、椰油醯胺二乙醇胺、氧化十二基二甲基胺、聚乙二醇和聚丙二醇的嵌段共聚物、聚乙氧基化牛脂胺類、氟表面活性劑及其組合;該陰離子型表面潤濕劑係選自由以下所組成的群組:烷基羧酸鹽、烷基聚丙烯酸鹽、烷基硫酸鹽、烷基磷酸鹽、烷基二羧酸鹽、烷基二硫酸鹽、烷基二磷酸鹽、烷氧基羧酸鹽、烷氧基硫酸鹽、烷氧基磷酸鹽、烷氧基二羧酸鹽、烷氧基二硫酸鹽、烷氧基二磷酸鹽、經取代的芳基羧酸鹽、經取代的芳基硫酸鹽、經取代的芳基磷酸鹽、經取代的芳基二羧酸鹽、經取代的芳基二硫酸鹽、經取代的芳基二磷酸鹽及其組合;該陽離子型表面潤濕劑係包含疏水鏈及選自由胺、季銨、苯甲烴銨(benzyalkonium)及烷基吡啶鎓離子所組成的群組的陽離子電荷中心之分子的鹵化物;而且該兩性表面潤濕劑兼具有於主分子鏈上的正電荷(陽離子)及負電荷(陰離子)及其相關相反離子。
  6. 如申請專利範圍第3項之拋光組合物,其包含高純度膠態氧化矽粒子;選自由鋁酸鈉、鋁酸鉀、醋酸鋁、氫氧化鋁及其組合所組成的群組的水溶性鋁化合物;而且該拋光組合物的pH為8至12。
  7. 如申請專利範圍第6項之拋光組合物,其另外包含選自由苯并三唑(BTA)及其衍生物、三唑及其衍生物及其組合所組成的群組的腐蝕抑制劑;氫氧化鉀;苯磺酸;任意地包含至少一過氧基的過氧化合物;而且該拋光組合物的pH為10至12。
  8. 一種用於將半導體裝置化學機械平坦化之拋光方法,該半導體裝置包含至少一具有第一材料和第二材料的表面,該拋光方法包含以下步驟:a)使該至少一表面與拋光墊接觸;b)將拋光組合物運送至該至少一表面,該拋光組合物包含:0.01重量%至20重量%的研磨料,其係選自由高純度膠態氧化矽粒子、氧化鋁、氧化鈰、氧化鍺、氧化矽、氧化鈦、氧化鋯、晶格中經氧化鋁摻雜的膠態氧化矽及其混合物所組成的群組;0.01%至約10重量%的水溶性鋁化合物;及水;其中該拋光組合物的pH高於7;c)用該拋光組合物來拋光該至少一表面以移除該第一材料而且停止於該第二材料;其中該第一材料係選自由金屬、阻障或襯墊材料、介電材料及其組合所組成的群組;而且該第二材料包含至少一含矽材 料。
  9. 如申請專利範圍第8項之方法,其中該拋光組合物中的水溶性鋁化合物係選自由鋁酸鈉、鋁酸鉀、醋酸鋁、氯化鋁、硫酸鋁、氫氧化鋁及其組合所組成的群組。
  10. 如申請專利範圍第8項之方法,其中該拋光組合物另外包含以下至少一者:0.0001重量%至約5重量%的pH調節劑;0.0001重量%至10重量%的表面活性劑,其係選自由a).非離子型表面潤濕劑;b).陰離子型表面潤濕劑;c).陽離子型表面潤濕劑;d).兩性表面潤濕劑;及其混合物所組成的群組;0.0005重量%至約0.5重量%的腐蝕抑制劑;0.01重量%至10重量%的氧化劑,其係選自由包含至少一過氧基(O-O)的過氧化合物、氧化鹵化物(oxidized halide)、過硼酸、過硼酸鹽、過碳酸鹽、過氧酸、過錳酸鹽、鉻酸鹽、鈰化合物、鐵氰化物及其混合物所組成的群組;及0.1重量%至5重量%的有機酸。
  11. 如申請專利範圍第10項之方法,其中該拋光組合物中的腐蝕抑制劑係分子中含氮原子的化學添加物,該化學添加物係選自由苯并三唑(BTA)及其衍生物、 三唑及其衍生物、咪唑及其衍生物、吡唑及其衍生物、苯并咪唑及其衍生物及其組合所組成的群組;該pH調節劑係選自由氫氯酸、硝酸、硫酸、氯醋酸、酒石酸、丁二酸、檸檬酸、蘋果酸、丙二酸、磺酸、磷酸、脂肪酸、聚羧酸、氯化氫及其混合物所組成的群組;或係選自由氫氧化鉀、氫氧化鈉、氨、氫氧化四價有機銨、伸乙二胺、六氫吡嗪、聚乙烯亞胺、經改質的聚乙烯亞胺及其混合物所組成的群組;而且該有機酸係選自由芳香族有機酸、胺基酸或其鹽及其組合所組成的群組。
  12. 如申請專利範圍第10項之方法,其中該非離子型表面潤濕劑係選自由以下所組成的群組:乙氧基化醇、乙氧基化乙炔二醇表面活性劑、聚乙二醇烷基醚、聚丙二醇烷基醚、葡萄糖苷烷基醚、聚乙二醇辛基苯基醚、聚乙二醇烷基苯基醚、丙三醇烷基酯、聚氧乙二醇山梨醇烷酯、山梨醇烷酯、椰油醯胺單乙醇胺、椰油醯胺二乙醇胺、氧化十二基二甲基胺、聚乙二醇和聚丙二醇的嵌段共聚物、聚乙氧基化牛脂胺類、氟表面活性劑及其組合;該陰離子型表面潤濕劑係選自由以下所組成的群組:烷基羧酸鹽、烷基聚丙烯酸鹽、烷基硫酸鹽、烷基磷酸鹽、烷基二羧酸鹽、烷基二硫酸鹽、烷基二磷酸鹽、烷氧基羧酸鹽、烷氧基硫酸鹽、烷氧基磷酸鹽、烷氧基二羧酸鹽、烷 氧基二硫酸鹽、烷氧基二磷酸鹽、經取代的芳基羧酸鹽、經取代的芳基硫酸鹽、經取代的芳基磷酸鹽、經取代的芳基二羧酸鹽、經取代的芳基二硫酸鹽、經取代的芳基二磷酸鹽及其組合;該陽離子型表面潤濕劑係包含疏水鏈及選自由胺、季銨、苯甲烴銨及烷基啶錠離子所組成的群組的陽離子電荷中心之分子的鹵化物;而且該兩性表面潤濕劑兼具有於主分子鏈上的正電荷(陽離子)及負電荷(陰離子)及其相關相反離子。
  13. 如申請專利範圍第10項之方法,其中該拋光組合物包含高純度膠態氧化矽粒子;選自由鋁酸鈉、鋁酸鉀、醋酸鋁、氯化鋁、硫酸鋁、氫氧化鋁及其組合所組成的群組之水溶性化合物;而且該拋光組合物的pH為8至12;而且該第一材料係選自由以下所組成的群組:選自由Cu、W、Co、Al及其組合所組成的群組中的金屬膜;選自由Ta、TaN、Ti、TiN、Ru及其組合所組成的群組中的阻障或襯墊膜;低-k材料介電膜;及其組合;而且該至少一含矽材料係選自由氮化矽、氧化矽、碳化矽及其組合所組成的群組。
  14. 如申請專利範圍第13項之方法,其中該拋光組合物另外包含選自由苯并三唑(BTA)及其衍生物、三唑及其衍生物及其 組合所組成的群組的腐蝕抑制劑;氫氧化鉀;苯磺酸;任意地包含至少一過氧基的過氧化合物;而且該拋光組合物的pH為10至12。
  15. 一種用於化學機械平坦化之系統,其包含:一圖案化基材,其包含至少一具有第一材料和第二材料的表面,該第一材料係選自由金屬、阻障或襯墊材料、介電材料及其組合所組成的群組,而且該第二材料包含至少一含矽材料;一拋光墊;及供給該至少一表面的拋光組合物,該拋光組合物包含:0.01重量%至20重量%的研磨料,其係選自由高純度膠態氧化矽粒子、氧化鋁、氧化鈰、氧化鍺、氧化矽、氧化鈦、氧化鋯、晶格中經氧化鋁摻雜的膠態氧化矽及其混合物所組成的群組;0.01%至約10重量%的水溶性鋁化合物;及水;其中該拋光組合物的pH高於7;而且使該至少一表面與該拋光墊及該拋光組合物接觸。
  16. 如申請專利範圍第15項之系統,其中該拋光組合物中的水溶性鋁化合物係選自由鋁酸鈉、鋁酸 鉀、醋酸鋁、氯化鋁、硫酸鋁、氫氧化鋁及其組合所組成的群組。
  17. 如申請專利範圍第15項之系統,其中該拋光組合物另外包含以下至少一者:0.0001重量%至約5重量%的pH調節劑;0.0001重量%至10重量%的表面活性劑,其係選自由a).非離子型表面潤濕劑;b).陰離子型表面潤濕劑;c).陽離子型表面潤濕劑;d).兩性表面潤濕劑;及其混合物所組成的群組;0.0005重量%至約0.5重量%的腐蝕抑制劑;0.01重量%至10重量%的氧化劑,其係選自由包含至少一過氧基(O-O)的過氧化合物、氧化鹵化物、過硼酸、過硼酸鹽、過碳酸鹽、過氧酸、過錳酸鹽、鉻酸鹽、鈰化合物、鐵氰化物及其混合物所組成的群組;及0.1重量%至5重量%的有機酸。
  18. 如申請專利範圍第17項之系統,其中該拋光組合物中的腐蝕抑制劑係分子中含氮原子的化學添加物,該化學添加物係選自由苯并三唑(BTA)及其衍生物、三唑及其衍生物、咪唑及其衍生物、吡唑及其衍生物、苯并咪唑及其衍生物及其組合所組成的群組;該pH調節劑係選自由氫氯酸、硝酸、硫酸、氯醋酸、酒石酸、丁二酸、檸檬酸、蘋果酸、丙二酸、磺酸、磷酸、脂 肪酸、聚羧酸、氯化氫及其混合物所組成的群組;或係選自由氫氧化鉀、氫氧化鈉、氨、氫氧化四價有機銨、伸乙二胺、六氫吡嗪、聚乙烯亞胺、經改質的聚乙烯亞胺及其混合物所組成的群組;而且該有機酸係選自由芳香族有機酸、胺基酸或其鹽及其組合所組成的群組。
  19. 如申請專利範圍第17項之系統,其中該非離子型表面潤濕劑係選自由以下所組成的群組:乙氧基化醇、乙氧基化乙炔二醇表面活性劑、聚乙二醇烷基醚、聚丙二醇烷基醚、葡萄糖苷烷基醚、聚乙二醇辛基苯基醚、聚乙二醇烷基苯基醚、丙三醇烷基酯、聚氧乙二醇山梨醇烷酯、山梨醇烷酯、椰油醯胺單乙醇胺、椰油醯胺二乙醇胺、氧化十二基二甲基胺、聚乙二醇和聚丙二醇的嵌段共聚物、聚乙氧基化牛脂胺類、氟表面活性劑及其組合;該陰離子型表面潤濕劑係選自由以下所組成的群組:烷基羧酸鹽、烷基聚丙烯酸鹽、烷基硫酸鹽、烷基磷酸鹽、烷基二羧酸鹽、烷基二硫酸鹽、烷基二磷酸鹽、烷氧基羧酸鹽、烷氧基硫酸鹽、烷氧基磷酸鹽、烷氧基二羧酸鹽、烷氧基二硫酸鹽、烷氧基二磷酸鹽、經取代的芳基羧酸鹽、經取代的芳基硫酸鹽、經取代的芳基磷酸鹽、經取代的芳基二羧酸鹽、經取代的芳基二硫酸鹽、經取代的芳基二磷酸鹽及其組合; 該陽離子型表面潤濕劑係包含疏水鏈及選自由胺、季銨、苯甲烴銨及烷基啶錠離子所組成的群組的陽離子電荷中心之分子的鹵化物;而且該兩性表面潤濕劑兼具有於主分子鏈上的正電荷(陽離子)及負電荷(陰離子)及其相關相反離子。
  20. 如申請專利範圍第17項之系統,其中該拋光組合物包含高純度膠態氧化矽粒子;水溶性鋁化合物選自由鋁酸鈉、鋁酸鉀、醋酸鋁、氯化鋁、硫酸鋁、氫氧化鋁及其組合所組成的群組;而且該拋光組合物的pH為8至12;該金屬膜係選自由Cu、W、Co、Al及其組合所組成的群組;該阻障或襯墊膜係選自由Ta、TaN、Ti、TiN、Ru及其組合所組成的群組;及該介電膜係一低-k材料;而且該至少一含矽材料係選自由氮化矽、氧化矽、碳化矽及其組合所組成的群組。
  21. 如申請專利範圍第20項之系統,其中該拋光組合物另外包含選自由苯并三唑(BTA)及其衍生物、三唑及其衍生物及其組合所組成的群組的腐蝕抑制劑;氫氧化鉀;苯磺酸;任意地包含至少一過氧基的過氧化合物;而且該拋光組合物的pH為10至12。
TW105130890A 2015-09-25 2016-09-23 停止於含矽層的添加物 TWI596175B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201562233251P 2015-09-25 2015-09-25
US15/268,956 US10144850B2 (en) 2015-09-25 2016-09-19 Stop-on silicon containing layer additive

Publications (2)

Publication Number Publication Date
TW201714993A true TW201714993A (zh) 2017-05-01
TWI596175B TWI596175B (zh) 2017-08-21

Family

ID=56990377

Family Applications (2)

Application Number Title Priority Date Filing Date
TW106123365A TW201738341A (zh) 2015-09-25 2016-09-23 停止於含矽層的添加物
TW105130890A TWI596175B (zh) 2015-09-25 2016-09-23 停止於含矽層的添加物

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW106123365A TW201738341A (zh) 2015-09-25 2016-09-23 停止於含矽層的添加物

Country Status (8)

Country Link
US (2) US10144850B2 (zh)
EP (1) EP3153558B1 (zh)
JP (2) JP6480394B2 (zh)
KR (1) KR101954386B1 (zh)
CN (1) CN106566412B (zh)
IL (1) IL248020B (zh)
SG (1) SG10201607960VA (zh)
TW (2) TW201738341A (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI754376B (zh) * 2019-09-11 2022-02-01 美商羅門哈斯電子材料Cmp控股公司 選擇性化學機械拋光鈷、氧化鋯、多晶矽及二氧化矽膜之方法

Families Citing this family (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10144850B2 (en) * 2015-09-25 2018-12-04 Versum Materials Us, Llc Stop-on silicon containing layer additive
KR20170044522A (ko) * 2015-10-15 2017-04-25 삼성전자주식회사 화학적 기계적 연마용 슬러리 조성물, 그의 제조 방법, 그를 이용한 연마 방법
US10344186B2 (en) * 2016-06-22 2019-07-09 Cabot Microelectronics Corporation Polishing composition comprising an amine-containing surfactant
CN108117839B (zh) * 2016-11-29 2021-09-17 安集微电子科技(上海)股份有限公司 一种具有高氮化硅选择性的化学机械抛光液
US10377014B2 (en) * 2017-02-28 2019-08-13 Ecolab Usa Inc. Increased wetting of colloidal silica as a polishing slurry
CN109251671B (zh) * 2017-07-13 2021-09-17 安集微电子科技(上海)股份有限公司 一种化学机械抛光液
KR102571098B1 (ko) * 2017-08-09 2023-08-24 가부시끼가이샤 레조낙 연마액 및 연마 방법
US10822524B2 (en) * 2017-12-14 2020-11-03 Rohm And Haas Electronic Materials Cmp Holdings, I Aqueous compositions of low dishing silica particles for polysilicon polishing
US10584266B2 (en) * 2018-03-14 2020-03-10 Cabot Microelectronics Corporation CMP compositions containing polymer complexes and agents for STI applications
JP7351839B2 (ja) * 2018-03-28 2023-09-27 フジフイルム エレクトロニック マテリアルズ ユー.エス.エー., インコーポレイテッド ルテニウムバルクの化学機械研磨組成物
US11549034B2 (en) 2018-08-09 2023-01-10 Versum Materials Us, Llc Oxide chemical mechanical planarization (CMP) polishing compositions
US20200095502A1 (en) * 2018-09-26 2020-03-26 Versum Materials Us, Llc High Oxide VS Nitride Selectivity, Low And Uniform Oxide Trench Dishing In Shallow Trench Isolation(STI) Chemical Mechanical Planarization Polishing(CMP)
US20200102476A1 (en) * 2018-09-28 2020-04-02 Versum Materials Us, Llc Barrier Slurry Removal Rate Improvement
US10640681B1 (en) * 2018-10-20 2020-05-05 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Chemical mechanical polishing composition and method for tungsten
US11180678B2 (en) * 2018-10-31 2021-11-23 Versum Materials Us, Llc Suppressing SiN removal rates and reducing oxide trench dishing for Shallow Trench Isolation (STI) process
CN109575818A (zh) * 2018-12-28 2019-04-05 天津洙诺科技有限公司 一种低钠抛光液及其制备方法和应用
US11608451B2 (en) 2019-01-30 2023-03-21 Versum Materials Us, Llc Shallow trench isolation (STI) chemical mechanical planarization (CMP) polishing with tunable silicon oxide and silicon nitride removal rates
KR20210148429A (ko) * 2019-04-29 2021-12-07 버슘머트리얼즈 유에스, 엘엘씨 선택적 화학적 기계적 평탄화 연마
KR102337949B1 (ko) * 2019-07-10 2021-12-14 주식회사 케이씨텍 멀티 필름 연마용 cmp 슬러리 조성물 및 그를 이용한 연마 방법
KR20210018607A (ko) * 2019-08-06 2021-02-18 삼성디스플레이 주식회사 연마 슬러리, 이를 이용한 표시 장치의 제조방법 및 표시 장치
TWI795674B (zh) * 2019-09-24 2023-03-11 美商慧盛材料美國責任有限公司 阻障物化學機械平坦化(cmp)研磨組合物、系統及其研磨方法
US11732157B2 (en) * 2019-10-15 2023-08-22 Fujifilm Electronic Materials U.S.A., Inc. Polishing compositions and methods of use thereof
KR102570805B1 (ko) * 2019-11-01 2023-08-24 삼성에스디아이 주식회사 텅스텐 패턴 웨이퍼 연마용 cmp 슬러리 조성물 및 이를 이용한 텅스텐 패턴 웨이퍼 연마 방법
WO2021113285A1 (en) * 2019-12-04 2021-06-10 Versum Materials Us, Llc High oxide film removal rate shallow trench isolation (sti) chemical mechanical planarization (cmp) polishing
KR20220133287A (ko) 2020-02-13 2022-10-04 후지필름 일렉트로닉 머티리얼스 유.에스.에이., 아이엔씨. 연마 조성물 및 이의 사용 방법
US11680186B2 (en) * 2020-11-06 2023-06-20 Fujifilm Electronic Materials U.S.A., Inc. Polishing compositions and methods of using same
JP2024505893A (ja) * 2021-01-26 2024-02-08 シーエムシー マテリアルズ リミティド ライアビリティ カンパニー ホウ素ドープポリシリコンを研磨するための組成物及び方法
EP4289912A1 (en) * 2021-02-04 2023-12-13 Fujimi Incorporated Polishing composition
CN115365996A (zh) * 2022-08-23 2022-11-22 福建省南安市宏炜新材料有限公司 N-Si基板的化学机械抛光工艺

Family Cites Families (54)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3922393A (en) 1974-07-02 1975-11-25 Du Pont Process for polishing silicon and germanium semiconductor materials
US4169337A (en) * 1978-03-30 1979-10-02 Nalco Chemical Company Process for polishing semi-conductor materials
JPS6086187A (ja) 1983-10-17 1985-05-15 Toshiba Ceramics Co Ltd 半導体ウエ−ハ研摩用砥粒
US4654315A (en) 1985-04-08 1987-03-31 Gte Products Corporation Low dielectric loss silicon nitride based material
US5230833A (en) 1989-06-09 1993-07-27 Nalco Chemical Company Low sodium, low metals silica polishing slurries
US4959113C1 (en) * 1989-07-31 2001-03-13 Rodel Inc Method and composition for polishing metal surfaces
US5104421B1 (en) * 1990-03-23 1993-11-16 Fujimi Abrasives Co.,Ltd. Polishing method of goods and abrasive pad therefor
MY119713A (en) * 1996-08-30 2005-07-29 Showa Denko Kk Abrasive composition for magnetic recording disc substrate
JPH11186202A (ja) 1997-12-17 1999-07-09 Shin Etsu Handotai Co Ltd 半導体シリコンウエーハ研磨用研磨剤及び研磨方法
JP2000256655A (ja) 1999-03-04 2000-09-19 Hitachi Chem Co Ltd Cmp研磨剤及び基板の研磨方法
JP2003517194A (ja) * 1999-12-14 2003-05-20 ロデール ホールディングス インコーポレイテッド 半導体基盤用研磨組成物
WO2001060940A1 (en) 2000-02-16 2001-08-23 Rodel Inc Biocides for polishing slurries
KR100826072B1 (ko) * 2000-05-12 2008-04-29 닛산 가가쿠 고교 가부시키 가이샤 연마용 조성물
US6454821B1 (en) 2000-06-21 2002-09-24 Praxair S. T. Technology, Inc. Polishing composition and method
JP2002338232A (ja) 2001-05-18 2002-11-27 Nippon Chem Ind Co Ltd 二次凝集コロイダルシリカとその製造方法及びそれを用いた研磨剤組成物
MY144587A (en) * 2001-06-21 2011-10-14 Kao Corp Polishing composition
JP4003116B2 (ja) * 2001-11-28 2007-11-07 株式会社フジミインコーポレーテッド 磁気ディスク用基板の研磨用組成物及びそれを用いた研磨方法
DE10228116A1 (de) * 2002-06-24 2004-01-29 Sälzle, Erich, Dr. Verfahren zum Polieren von Glasgegenständen
US7553345B2 (en) * 2002-12-26 2009-06-30 Kao Corporation Polishing composition
JP4076852B2 (ja) 2002-12-26 2008-04-16 花王株式会社 微小うねり低減剤
JP2004253775A (ja) * 2003-01-31 2004-09-09 Nec Electronics Corp 化学機械的研磨方法
US7071105B2 (en) 2003-02-03 2006-07-04 Cabot Microelectronics Corporation Method of polishing a silicon-containing dielectric
JP4206313B2 (ja) * 2003-08-08 2009-01-07 花王株式会社 磁気ディスク用研磨液組成物
US6969555B2 (en) 2003-10-06 2005-11-29 General Electric Company Aluminate coating for a silicon containing substrate
US7153335B2 (en) * 2003-10-10 2006-12-26 Dupont Air Products Nanomaterials Llc Tunable composition and method for chemical-mechanical planarization with aspartic acid/tolyltriazole
US7022255B2 (en) * 2003-10-10 2006-04-04 Dupont Air Products Nanomaterials Llc Chemical-mechanical planarization composition with nitrogen containing polymer and method for use
US7514363B2 (en) * 2003-10-23 2009-04-07 Dupont Air Products Nanomaterials Llc Chemical-mechanical planarization composition having benzenesulfonic acid and per-compound oxidizing agents, and associated method for use
TWI288046B (en) * 2003-11-14 2007-10-11 Showa Denko Kk Polishing composition and polishing method
JP4342918B2 (ja) * 2003-11-28 2009-10-14 株式会社東芝 研磨布および半導体装置の製造方法
US7223697B2 (en) * 2004-07-23 2007-05-29 International Business Machines Corporation Chemical mechanical polishing method
US20070037892A1 (en) 2004-09-08 2007-02-15 Irina Belov Aqueous slurry containing metallate-modified silica particles
US7988878B2 (en) * 2004-09-29 2011-08-02 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Selective barrier slurry for chemical mechanical polishing
US8038752B2 (en) * 2004-10-27 2011-10-18 Cabot Microelectronics Corporation Metal ion-containing CMP composition and method for using the same
US8592314B2 (en) * 2005-01-24 2013-11-26 Showa Denko K.K. Polishing composition and polishing method
US7316977B2 (en) 2005-08-24 2008-01-08 Air Products And Chemicals, Inc. Chemical-mechanical planarization composition having ketooxime compounds and associated method for use
US7678702B2 (en) * 2005-08-31 2010-03-16 Air Products And Chemicals, Inc. CMP composition of boron surface-modified abrasive and nitro-substituted sulfonic acid and method of use
JP4911961B2 (ja) 2005-12-06 2012-04-04 日揮触媒化成株式会社 異方形状シリカゾルの製造方法
EP1813656A3 (en) 2006-01-30 2009-09-02 FUJIFILM Corporation Metal-polishing liquid and chemical mechanical polishing method using the same
US20070176142A1 (en) 2006-01-31 2007-08-02 Fujifilm Corporation Metal- polishing liquid and chemical-mechanical polishing method using the same
JP4990543B2 (ja) * 2006-03-23 2012-08-01 富士フイルム株式会社 金属用研磨液
US8591763B2 (en) * 2006-03-23 2013-11-26 Cabot Microelectronics Corporation Halide anions for metal removal rate control
US8163049B2 (en) * 2006-04-18 2012-04-24 Dupont Air Products Nanomaterials Llc Fluoride-modified silica sols for chemical mechanical planarization
US20080149884A1 (en) 2006-12-21 2008-06-26 Junaid Ahmed Siddiqui Method and slurry for tuning low-k versus copper removal rates during chemical mechanical polishing
CN101220255B (zh) * 2007-01-11 2010-06-30 长兴开发科技股份有限公司 化学机械研磨浆液与化学机械平坦化方法
JP2008280229A (ja) 2007-04-13 2008-11-20 Hitachi Chem Co Ltd 表面修飾二酸化ケイ素粒子の製造法及び研磨液
US7915071B2 (en) * 2007-08-30 2011-03-29 Dupont Air Products Nanomaterials, Llc Method for chemical mechanical planarization of chalcogenide materials
WO2011099313A1 (ja) * 2010-02-15 2011-08-18 日立化成工業株式会社 Cmp研磨液及び研磨方法
CN101831244A (zh) * 2010-05-10 2010-09-15 上海高纳粉体技术有限公司 高精度氧化铝抛光液及其制备方法
US8288283B2 (en) * 2010-12-07 2012-10-16 Texas Instruments Incorporated Aluminum enhanced palladium CMP process
MY170711A (en) * 2012-08-29 2019-08-27 Hoya Corp Magnetic-disk glass substrate and magnetic disk
SG11201501453WA (en) * 2012-09-28 2015-05-28 Hoya Corp Magnetic-disk glass substrate and magnetic disk
JP2016524325A (ja) * 2013-05-15 2016-08-12 ビーエーエスエフ ソシエタス・ヨーロピアBasf Se 少なくとも1種のiii−v族材料を含有する基板または層を研磨するための化学機械研磨(cmp)組成物を使用する方法
WO2016043089A1 (ja) 2014-09-16 2016-03-24 山口精研工業株式会社 サファイア基板用研磨剤組成物
US10144850B2 (en) * 2015-09-25 2018-12-04 Versum Materials Us, Llc Stop-on silicon containing layer additive

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI754376B (zh) * 2019-09-11 2022-02-01 美商羅門哈斯電子材料Cmp控股公司 選擇性化學機械拋光鈷、氧化鋯、多晶矽及二氧化矽膜之方法

Also Published As

Publication number Publication date
TWI596175B (zh) 2017-08-21
TW201738341A (zh) 2017-11-01
JP2019049008A (ja) 2019-03-28
US20190062598A1 (en) 2019-02-28
CN106566412B (zh) 2022-09-06
CN106566412A (zh) 2017-04-19
JP2017105980A (ja) 2017-06-15
US10144850B2 (en) 2018-12-04
US20170088748A1 (en) 2017-03-30
IL248020A0 (en) 2016-11-30
SG10201607960VA (en) 2017-04-27
KR101954386B1 (ko) 2019-03-05
JP6480394B2 (ja) 2019-03-06
EP3153558A1 (en) 2017-04-12
IL248020B (en) 2021-09-30
EP3153558B1 (en) 2018-03-21
KR20170039574A (ko) 2017-04-11

Similar Documents

Publication Publication Date Title
TWI596175B (zh) 停止於含矽層的添加物
KR102422713B1 (ko) 세리아-코팅된 실리카 연마재를 사용하는 배리어 화학 기계적 평탄화 슬러리
US7153335B2 (en) Tunable composition and method for chemical-mechanical planarization with aspartic acid/tolyltriazole
US7316977B2 (en) Chemical-mechanical planarization composition having ketooxime compounds and associated method for use
US7678702B2 (en) CMP composition of boron surface-modified abrasive and nitro-substituted sulfonic acid and method of use
EP1090083B1 (en) Chemical mechanical polishing slurry useful for copper/tantalum substrates
TWI677570B (zh) 阻絕物的化學機械平坦化組合物
US20050076579A1 (en) Bicine/tricine containing composition and method for chemical-mechanical planarization
US20050079803A1 (en) Chemical-mechanical planarization composition having PVNO and associated method for use
KR101144419B1 (ko) 금속-함유 기판의 화학 기계적 평탄화를 위한 방법 및 조성물
TW202007753A (zh) 用於降低的氧化物侵蝕的鎢化學機械研磨
JP6999602B2 (ja) タングステン化学機械研磨組成物
JP2022060218A (ja) 低ディッシングおよび低浸食トポグラフィを伴うタングステン化学機械平坦化(cmp)