JP2017105980A - ストップ‐オンシリコンコーティング層添加剤 - Google Patents

ストップ‐オンシリコンコーティング層添加剤 Download PDF

Info

Publication number
JP2017105980A
JP2017105980A JP2016187157A JP2016187157A JP2017105980A JP 2017105980 A JP2017105980 A JP 2017105980A JP 2016187157 A JP2016187157 A JP 2016187157A JP 2016187157 A JP2016187157 A JP 2016187157A JP 2017105980 A JP2017105980 A JP 2017105980A
Authority
JP
Japan
Prior art keywords
group
acid
alkyl
combinations
aluminum
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2016187157A
Other languages
English (en)
Other versions
JP6480394B2 (ja
Inventor
シュテンダー マティアス
Stender Matthias
シュテンダー マティアス
ゲイリー グレアム メイトランド
Gary Graham Maitland
ゲイリー グレアム メイトランド
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Air Products and Chemicals Inc
Original Assignee
Air Products and Chemicals Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Air Products and Chemicals Inc filed Critical Air Products and Chemicals Inc
Publication of JP2017105980A publication Critical patent/JP2017105980A/ja
Application granted granted Critical
Publication of JP6480394B2 publication Critical patent/JP6480394B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/04Aqueous dispersions
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B13/00Machines or devices designed for grinding or polishing optical surfaces on lenses or surfaces of similar shape on other work; Accessories therefor
    • B24B13/01Specific tools, e.g. bowl-like; Production, dressing or fastening of these tools
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/02Polishing compositions containing abrasives or grinding agents
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1409Abrasive particles per se
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1436Composite particles, e.g. coated particles
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1454Abrasive powders, suspensions and pastes for polishing
    • C09K3/1463Aqueous liquid suspensions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/32Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76819Smoothing of the dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08KUse of inorganic or non-macromolecular organic substances as compounding ingredients
    • C08K3/00Use of inorganic substances as compounding ingredients
    • C08K3/18Oxygen-containing compounds, e.g. metal carbonyls
    • C08K3/20Oxides; Hydroxides
    • C08K3/22Oxides; Hydroxides of metals
    • C08K2003/2237Oxides; Hydroxides of metals of titanium
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08KUse of inorganic or non-macromolecular organic substances as compounding ingredients
    • C08K3/00Use of inorganic substances as compounding ingredients
    • C08K3/18Oxygen-containing compounds, e.g. metal carbonyls
    • C08K3/20Oxides; Hydroxides
    • C08K3/22Oxides; Hydroxides of metals
    • C08K2003/2237Oxides; Hydroxides of metals of titanium
    • C08K2003/2241Titanium dioxide
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08KUse of inorganic or non-macromolecular organic substances as compounding ingredients
    • C08K3/00Use of inorganic substances as compounding ingredients
    • C08K3/18Oxygen-containing compounds, e.g. metal carbonyls
    • C08K3/20Oxides; Hydroxides
    • C08K3/22Oxides; Hydroxides of metals
    • C08K2003/2244Oxides; Hydroxides of metals of zirconium
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08KUse of inorganic or non-macromolecular organic substances as compounding ingredients
    • C08K3/00Use of inorganic substances as compounding ingredients
    • C08K3/18Oxygen-containing compounds, e.g. metal carbonyls
    • C08K3/20Oxides; Hydroxides
    • C08K3/22Oxides; Hydroxides of metals
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08KUse of inorganic or non-macromolecular organic substances as compounding ingredients
    • C08K3/00Use of inorganic substances as compounding ingredients
    • C08K3/34Silicon-containing compounds
    • C08K3/36Silica

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Materials Engineering (AREA)
  • Dispersion Chemistry (AREA)
  • Composite Materials (AREA)
  • Mechanical Engineering (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Finish Polishing, Edge Sharpening, And Grinding By Specific Grinding Devices (AREA)

Abstract

【課題】他の層に対する除去速度、低いディッシング及び低欠陥等の他の要求を満たす他を与える一方、(ケイ素を含む層等の)ストップ層について非常に低い除去速度のCMPを実施できるCMPスラリー、方法及びシステムの提供。【解決手段】高純度のコロイド状シリカ粒子、アルミナ、セリア、ゲルマニア、シリカ、チタニア、ジルコニア、格子中のアルミナドープコロイド状シリカ及びこれらの混合物から選択される0.01〜20質量%の研磨材、0.01〜10質量%の水溶性アルミニウム化合物、並びに水を含み、7より大きなpHを有する、研磨組成物。前記水溶性アルミニウム化合物が、アルミン酸ナトリウム、アルミン酸カリウム、酢酸アルミニウム、塩化アルミニウム、硫酸アルミニウム、水酸化アルミニウム及びこれらの組み合わせから選択される研磨組成物。【選択図】なし

Description

関連特許出願の相互参照
本件は、2015年9月25日に出願されたUS仮出願第62/233,251号の優先権を主張する。仮出願第62/233,251号の開示は、参照により本開示に組み入れられる。
本発明は、例えばパターン化半導体ウエハ等のパターン化基材表面のCMPのための化学機械平滑化/平滑化(「CMP」)研磨配合物(CMP組成物又はCMP配合物、CMPスラリー又はスラリーが互換的に用いられる)に関する。
また、より詳細には、また、本開示に記載されるのは、バリア、低k又は超低k、誘電、及び金属のライン又はバイアス又はトレンチ等のマルチタイプ膜を有するパターン化ウエハを研磨するためのCMP研磨組成物である。
集積回路(IC)製造プロセスにおいて、複数のCMP工程がある。CMP処理が適用される際、多段階のCMPプロセスが利用されてよく、工程1銅CMPプロセスと呼ばれる銅表層の最初の除去及び平滑化、次いでバリア層CMPプロセスを含む。バリア層CMPプロセスは、しばしばバリア又は工程2CMPプロセスと呼ばれる。
ステージ2においてバリアCMP組成物が利用されるCMP処理は、パターン化ウエハの表面上の過剰の金属層及び他の膜を除去し、平滑化して全体の平滑化を達成するためによく用いられる。
パターン化ウエハ構造の銅損失等の重要な誘電材及び金属の問題に対処するために、 CMPストップ層を用いることができる。CMPストップ層は、金属CMP、特にバリア層CMPが、バリア層の下にある誘電層を除去することを止めることができる。CMPストップ層は、過剰研磨から構造を保護することにおいて効果的である。CMPストップ層は、窒化ケイ素(SiNと略される)、酸化ケイ素(SiO2)又は炭化ケイ素(SiC)等のケイ素を含む材料から製造されてよい。したがって、幾つかのCMP応用は、それらがある種の膜積層においてストップ層が用いられてよいため、SiN及び/又はSiCに関して非常に低い除去速度を要求する。
浅いトレンチの分離(STI)応用に関して非常に低いSiN速度を達成するために、当分野においてなされた研究がある。Babuら(Journal of The Electrochemical Society,156,12,H936‐H943,2009)又はCarterら(US特許第7071105号、Electrochemical and Solid‐State Letters,8,8,G218‐G221,2005)は、窒化ケイ素に対して酸化ケイ素の選択性を増大させる添加剤について報告した。
主に、ある種の有機酸の添加、例としてはピコリン酸、がCMPスラリー中で用いられた。しかしながら全てのこれらの先行技術の例は、ピコリン酸などのセリアと共に働く添加剤が、シリカ粒子とは全く働かないように、研磨剤としてのシリカ粒子とは完全に異なる除去メカニズムが特徴である研磨剤としてセリア粒子を用いる。
さらに、STIスラリーは、通常4〜7のpH範囲において用いられ、それは金属膜についての潜在的な浸食の問題にのために、先端のバリア応用に関して適していない。
加えて、セリアスラリーは、一般に十分な金属又はバリア膜除去速度を達成するために要求される過酸化水素又は他の適した酸化剤との組み合わせで用いることができない。
したがって、他の層に対する除去速度、低いディッシング(dishing)及び低欠陥等の他の要求を満たす他を与える一方、(ケイ素を含む層等の)ストップ層について非常に低い除去速度のCMPを実施することができるCMPスラリー、方法及びシステムに対する大きな必要がある。
本開示に記載されるのは、要求を満足するCMP研磨組成物、方法、及びシステムである。本発明は、CMPプロセス中のケイ素を含む膜の除去速度を抑制するためのCMPスラリーにおける添加剤の使用であって、添加剤が水溶性アルミニウム化合物である、使用を開示する。
1つの実施態様において、本開示に記載されるのは、
高純度コロイド状シリカ粒子、アルミナ、セリア、ゲルマニア、シリカ、チタニア、ジルコニア、格子中のアルミナドープコロイド状シリカ及びこれらの混合物からなる群より選択される0.01質量%から20質量%の研磨剤、
0.01質量%から約10質量%の水溶性アルミニウム化合物、
任意選択的に、
0.0001質量%から約5質量%のpH調整剤、
a)非イオン性表面湿潤剤、b)アニオン性表面湿潤剤、c)カチオン性表面湿潤剤、d)両性表面湿潤剤及びこれらの混合物からなる群より選択される0.0001質量%から10質量%の界面活性剤、
0.0005質量%から約0.5質量%の浸食防止剤、
少なくとも1つの過酸化基(O‐O)を含む過酸化化合物、酸化ハロゲン化物、過ホウ酸、過ホウ酸塩、過炭酸塩、ペルオキシ酸、過マンガン酸塩、クロム酸塩、セリウム化合物、フェリシアン化物及びこれらの混合物からなる群より選択される0.01質量%から10質量%の酸化剤、及び
0.1質量%から5質量%の有機酸、
並びに
水を含み、
7より大きなpH、好ましくは約8から12、より好ましくは約10から12のpHを有する、CMP研磨組成物である。
さらなる実施態様において、本開示に記載されるのは、第1の材料と、少なくとも1種のケイ素を含む材料を含む第2の材料とを有する少なくとも1つの表面を含む半導体デバイスの化学機械平滑化のための研磨方法であって、研磨方法が、
a)少なくとも1つの表面を研磨パッドに接触させる工程と、
b)研磨組成物を少なくとも1つの表面へ輸送する工程であって、研磨組成物が、
高純度コロイド状シリカ粒子、アルミナ、セリア、ゲルマニア、シリカ、チタニア、ジルコニア、格子中のアルミナドープコロイド状シリカ及びこれらの混合物からなる群より選択される0.01質量%から20質量%の研磨剤、
0.01質量%から約10質量%の水溶性アルミニウム化合物、
任意選択的に、
0.0001質量%から約5質量%のpH調整剤、
a)非イオン性表面湿潤剤、b)アニオン性表面湿潤剤、c)カチオン性表面湿潤剤、d)両性表面湿潤剤及びこれらの混合物からなる群より選択される0.0001質量%から10質量%の界面活性剤、
0.0005質量%から約0.5質量%の浸食防止剤、
少なくとも1つの過酸化基(O‐O)を含む過酸化化合物、酸化ハロゲン化物、過ホウ酸、過ホウ酸塩、過炭酸塩、ペルオキシ酸、過マンガン酸塩、クロム酸塩、セリウム化合物、フェリシアン化物、及びこれらの混合物からなる群より選択される0.01質量%から10質量%の酸化剤、及び
0.1質量%から5質量%の有機酸、
並びに
水を含み、
7より大きなpH、好ましくは約8から12、より好ましくは約10から12のpHを有する、工程と、
c)少なくとも1つの表面を研磨組成物により研磨して第1の材料を除去し、第2の材料をストップ‐オンする工程と、を含む、方法である。
さらに別の実施態様において、本開示に記載されるのは、化学機械平滑化のためのシステムであって、システムが、
第1の材料と、少なくとも1種のケイ素を含む材料を含む第2の材料とを有する少なくとも1つの表面を含むパターン化基材、
研磨パッド、及び
少なくとも1つの表面への研磨組成物を含み、研磨組成物が、
高純度コロイド状シリカ粒子、アルミナ、セリア、ゲルマニア、シリカ、チタニア、ジルコニア、格子中のアルミナドープコロイド状シリカ及びこれらの混合物からなる群より選択される0.01質量%から20質量%の研磨剤、
0.01質量%から約10質量%の水溶性アルミニウム化合物、
任意選択的に、
0.0001質量%から約5質量%のpH調整剤、
a)非イオン性表面湿潤剤、b)アニオン性表面湿潤剤、c)カチオン性表面湿潤剤、d)両性表面湿潤剤及びこれらの混合物からなる群より選択される0.0001質量%から10質量%の界面活性剤、
0.0005質量%から約0.5質量%の浸食防止剤、
少なくとも1つの過酸化基(O‐O)を含む過酸化化合物、酸化ハロゲン化物、過ホウ酸、過ホウ酸塩、過炭酸塩、ペルオキシ酸、過マンガン酸塩、クロム酸塩、セリウム化合物、フェリシアン化物及びこれらの混合物からなる群より選択される0.01質量%から10質量%の酸化剤、及び
0.1質量%から5質量%の有機酸、
並びに
水を含み、
7より大きなpH、好ましくは約8から12、より好ましくは約10から12のpHを有し、
少なくとも1つの表面が、研磨パッド及び研磨組成物と接触している、システムである。
水溶性アルミニウム化合物添加剤としては、これらに限定されないが、アルミン酸ナトリウム、アルミン酸カリウム、酢酸アルミニウム、塩化アルミニウム、硫酸アルミニウム、水酸化アルミニウム及びこれらの組み合わせが挙げられる。アルミニウム化合物は、添加された濃度にて、スラリー配合物において可溶であるのがよい。アルミン酸塩は、アルミニウム化合物の好ましい形態である。アルミン酸塩は、CMPスラリー配合物において、水酸化アルミニウム(Al(OH)3)等のアルミニウムの化合物、又は酢酸アルミニウム、塩化アルミニウム、硫酸アルミニウム等のアルミニウム塩を水酸化カリウム(KOH)、又は水酸化ナトリウム(NaOH)等の適した水酸化物塩基と共に用いることにより、インサイチューで生成させることができる。
水溶性アルミニウム化合物は、0.01質量%から約10質量%、又はより好ましくは0.05%から約5質量%の間、又はより好ましくは0.1質量%及び1質量%の間を範囲とする濃度において存在してよい。
研磨組成物におけるpH調整剤は、塩酸、硝酸、硫酸、クロロ酢酸、酒石酸、コハク酸、クエン酸、リンゴ酸、マロン酸、スルホン酸、リン酸、脂肪酸、ポリカルボン酸、塩化水素及びこれらの混合物からなる群より選択され、または水酸化カリウム、水酸化ナトリウム、アンモニア、4級有機アンモニウム水酸化物(例えば水酸化テトラメチルアンモニウム)、エチレンジアミン、ピぺラジン、ポリエチレンイミン、変性ポリエチレンイミン及びこれらの混合物からなる群より選択される。
研磨組成物における浸食防止剤は、分子内に窒素原子を含む化学添加剤であり、好ましくは、ベンゾトリアゾール(BTA)及びその誘導体、トリアゾール及びその誘導体(例えば3‐アミノ‐1,2,4‐トリアゾール及び3,5‐ジアミノ‐1,2,4‐トリアゾール)、イミダゾール(imidizole)及びその誘導体、ピラゾール及びその誘導体、ベンゾイミダゾール(benzoimidizaole)及びその誘導体並びにこれらの組み合わせからなる群より選択される。有機酸は、これらに限定されないが、芳香族有機酸(例えばベンゼンスルホン酸、トルエンスルホン酸)及びアミノ酸又はこれらの塩を含む任意の適した有機酸であることができる。
非イオン性界面活性剤は、これらに限定されないが、長鎖アルコール、エトキシ化アルコール、エトキシ化アセチレンジオール界面活性剤、ポリエチレングリコールアルキルエーテル、プロピレングリコールアルキルエーテル、グルコシドアルキルエーテル、ポリエチレングリコールオクチルフェニルエーテル、ポリエチレングリコールアルキルフェニルエーテル、グリセロールアルキルエステル、ポリオキシエチレングリコールソルビタン(sorbiton)アルキルエステル、ソルビタンアルキルエステル、コカミドモノエタノールアミン、コカミドジエタノールアミン、ドデシルジメチルアミンオキシド、ポリエチレングリコール及びポリプロピレングリコールのブロックコポリマー、ポリエトキシ化タローアミン、フルオロ化界面活性剤を含む化学種の範囲より選択されてよい。界面活性剤の分子量は、数百から100万を超える範囲としてよい。これらの材料の粘度も非常に広い分布を有する。
アニオン性界面活性剤としては、これらに限定されないが、アルキルカルボン酸塩、アルキルポリアクリル塩、アルキル硫酸塩、アルキルリン酸塩、アルキルジカルボン酸塩、アルキルジ硫酸塩、アルキルジリン酸塩等の、アルコキシカルボン酸塩、アルコキシ硫酸塩、アルコキシリン酸塩、アルコキシジカルボン酸塩、アルコキシジ硫酸塩、アルコキシジリン酸塩等の、置換されたアリールカルボン酸塩、置換されたアリール硫酸塩、置換されたアリールリン酸塩、置換されたアリールジカルボン酸塩、置換されたアリールジ硫酸塩、置換されたアリールジリン酸塩等の適した疎水性尾部を有する塩が挙げられる。表面湿潤剤のこの種類に対する対イオンとしては、これらに限定されないが、カリウム、アンモニウム及び他の陽イオンが挙げられる。これらのアニオン性表面湿潤剤の分子量は、数百から数十万を範囲とする。
カチオン性表面湿潤剤は、分子骨格の主要な部分上に正味の正の電荷を有する。カチオン性界面活性剤は、典型的には疎水性鎖、並びにアミン、4級アンモニウム、ベンザルコニウム(benzyalkonium)及びアルキルピリジウムイオン等のカチオン電荷中心を含む分子のハロゲン化物である。
さらに、別の側面において、界面活性剤は、主要な分子鎖上の正(カチオン性)及び負(アニオン性)の電荷の両方をそれらに相対的な対イオンと共に有する両性表面湿潤剤であることができる。カチオン性部位は、1級、2級若しくは3級アミン、又は4級アンモニウムカチオンに基づく。アニオン性部位はより変化に富むことができ、スルタインCHAPS(3‐[(3‐コラミドプロピル)ジメチルアンモニオ]‐1‐プロパンスルホン酸塩)及びコカミドプロピルヒドロキシスルタインにあるような硫酸塩が挙げられる。コカミドプロピルベタイン等のベタインは、アンモニウムを有するカルボン酸塩を有する。両性界面活性剤の幾つかは、リン酸脂質、ホスファチジルセリン、ホスファチジルエタノールアミン、ホスファチジルコリン、及びスフィンゴミエリン等のアミン又はアンモニウムを有するリン酸アニオンを有してよい。
第1の材料としては、これらに限定されないが、Cu、W、Co、Al及びこれらの組み合わせからなる群より選択される金属膜、Ta、TaN、Ti、TiN、Ru及びこれらの組み合わせからなる群より選択されるバリア又はライナー膜、低k材料を含む誘電膜並びにこれらの組み合わせが挙げられ、少なくとも1種のケイ素を含む材料としては、これらに限定されないが、窒化ケイ素、酸化ケイ素、炭化ケイ素及びこれらの組み合わせが挙げられる。
本発明はこれより添付の図面とともに記載され、同等の数字は同等の要素を示している。
図1は、ストップ‐オンアルミン酸塩添加剤(アルミン酸ナトリウム)を含むバリアCMP研磨スラリーのSiN除去速度を示す。 図2は、バリアCMP研磨スラリー中のアルミン酸塩(アルミン酸ナトリウム)の濃度の関数としてのSiN除去速度を示す。 図3は、酸化剤なしでアルミン酸塩(アルミン酸ナトリウム)を含むバリアCMP研磨スラリーを用いる際のバリア層における異なる膜の除去速度を示す。 図4は、アルミン酸塩(アルミン酸ナトリウム)及び酸化剤を含むバリアCMP研磨スラリーを用いる際のバリア層における異なる膜の除去速度を示す。 図5は、酸化剤なしでアルミン酸塩(アルミン酸カリウム)を含むバリアCMP研磨スラリーを用いる際のバリア層における異なる膜の除去速度を示す。 図6は、バリアCMP研磨スラリー中のアルミン酸塩(アルミン酸カリウム)濃度の関数としての窒化ケイ素(SiN)及び酸化ケイ素(TEOS)除去速度を示す。 図7は、酸化剤なしでアルミン酸塩(酢酸アルミニウム)を含むバリアCMP研磨スラリーを用いる際のバリア層における異なる膜の除去速度を示す。
多くのCMP応用は、2つ又はそれより多くの層の同時の研磨を含み、1つ又はそれより多くの層は、かなり低い速度において研磨される必要のある別の層(ストップ層)に対して高い速度で研磨される。多くの応用は、ケイ素、又はこれらに限定されないが、酸化ケイ素、窒化ケイ素、酸窒化ケイ素、炭化ケイ素若しくは酸炭化ケイ素、ポリシリコン、非晶質シリコン、若しくはケイ素、酸素、酸素、炭素、窒素、水素を含む任意の他の組み合わせを含むケイ素の化合物を含むストップ層を用いる。これは、積層における他の膜に対する高い除去速度が、ストップ膜に対してより高い除去速度を必然的にもたらす高い研磨剤量でのみ達成できる場合があるため、達成することが非常に困難である可能性がある。このことは、シリカ粒子が研磨剤として用いられ、より高いpH(>7)が実施上の理由で必要になる場合に特に問題となる。SiN又はSiO2等のケイ素を含む膜は、アルカリ性のpH範囲において加水分解を受け、膜のより高い除去速度をもたらす。したがって、いかなる他の除去速度又は選択性を犠牲にすることなくSiN及び/又はSiC上で停止させることのできる添加剤に対する必要がある。
第1の材料としては、これらに限定されないが、Cu、W、Co、Al及びこれらの組み合わせからなる群より選択される金属膜、Ta、TaN、Ti、TiN、Ru及びこれらの組み合わせからなる群より選択されるバリア又はライナー膜、低k材料を含む誘電膜並びにこれらの組み合わせが挙げられ、少なくとも1種のケイ素を含む材料としては、これらに限定されないが、窒化ケイ素、酸化ケイ素、炭化ケイ素及びこれらの組み合わせが挙げられる。
本発明の配合物は、異なる濃度の材料含む半導体ウエハを研磨することに関して特に有用である。ウエハは、少なくとも、第1の材料と、少なくとも1種のケイ素を含む材料とを含む表面を含む。
本発明の配合物は、酸化ケイ素(SiO2)、窒化ケイ素(SiN)、炭化ケイ素(SiC)、酸化炭化ケイ素(SiOC)等のケイ素を含む膜の除去速度を減少させることに関して特に有用である。
本発明の組成物は以下のうちの少なくとも1つを与える:(1)ストップ層の低い除去速度、(2)様々な種類の他の膜/層の高い除去速度を維持すること、(3)種々の膜間における望ましい選択性、(4)ウエハ内不均一性(「WIW NU%」)の望ましい低水準、及び(5)CMP処理の後に、1つ又は複数の研磨されたウエハ上に存在する1つ又は複数の低い残留物濃度。
本発明は、CMPプロセス中のケイ素を含む膜の除去速度を抑制するためのCMPスラリーにおける添加剤の使用であって、添加剤が水溶性アルミニウム化合物である、使用を開示する。
本発明のCMP組成物は、研磨剤粒子、水溶性アルミニウム化合物及び水を含む。任意選択的に、組成物は、これらに限定されないが、錯形成又はキレート剤、pH調整剤、除去速度選択性補正剤、浸食防止剤、酸化剤、界面活性剤、分散剤、触媒及び殺生物剤又は保存料を含む他の機能性添加剤も含んでよい。
水溶性アルミニウム化合物添加剤としては、これらに限定されないが、アルミン酸ナトリウム、アルミン酸カリウム、酢酸アルミニウム、塩化アルミニウム、硫酸アルミニウム、水酸化アルミニウム及びこれらの組み合わせが挙げられる。アルミニウムを含む化合物に関して好ましいのはアルミン酸塩である。代わりに、アルミン酸塩は、CMPスラリー配合物において、水酸化アルミニウム(Al(OH)3)等のアルミニウムの化合物、又は酢酸アルミニウム、塩化アルミニウム、硫酸アルミニウム等のアルミニウム塩を水酸化カリウム(KOH)、又は水酸化ナトリウム(NaOH)等の適した水酸化物塩基と共に用いることにより、インサイチューで生成させることができる。アルカリ性のpHにおいて、塩は加水分解を受けてアルミン酸アニオン([Al(OH)4-)を形成してよい。アルミン酸アニオンは、重合化を受けて二量体又は多量体アルミニウム錯体を形成してよい。
理論により制限されることはないが、CMP中に、Siを含む膜が加水分解し、表面にシラノール種(SiOH)を形成すると考えられる(これは一般的にCMPに関して高い除去速度を達成するための必須の工程として考えられている)。アルミン酸種は、その後Al‐シリケートと似たそれとの不溶性錯体を形成する。不溶性錯体は、膜のさらなる加水分解に対して防止剤としてはたらき、それによりSiを含む膜の除去速度を抑制する。
水溶性アルミニウム化合物の量は、CMP組成物の総質量に対して約0.01質量%から約10質量%を範囲とする。好ましい範囲は、約0.05質量%から約3質量%であり、より好ましい範囲は約0.1質量%から約1質量%である。
本発明に関して適した研磨剤としては、これらに限定されないが、高純度コロイド状シリカ粒子、アルミナ、セリア、ゲルマニア、シリカ、チタニア、ジルコニア、格子中のアルミナドープコロイド状シリカ、セリアコートシリカ粒子及びこれらの混合物が挙げられる。
好ましい粒子状材料はコロイド状シリカである。コロイド状シリカは、ナトリウムシリケートから製造されることができ、またはTEOS(テトラエチルオルトシリケート)又はTMOS(テトラメチルオルトシリケート)から製造されることができる。依然として好ましいのは、非常に低い濃度の痕跡金属不純物(アルカリ金属300ppb未満及び重金属100ppb未満)を含む高純度コロイド状シリカである。用いられることができる高純度コロイド状シリカの例は、扶桑化学工業、日本より得られるFuso PL‐3、PL2、PL3H及びPL3L高純度コロイド状シリカ粒子である。
異なる粒子サイズ及び種類のコロイド状シリカ粒子の混合物が、改善された性能を生み出す場合もある。
研磨剤は、0.01質量%から20質量%、好ましくは0.05質量%から10質量%、より好ましくは約0.1質量%から約5質量%の量において存在する。
研磨組成物は7より大きな、好ましくは約8から12、より好ましくは約10から12のpHを有する。
本発明の配合物は、水溶性アルミニウム化合物の溶解度がより高くなるpH7又はそれより高いpHにおいて特に効果的である。アルカリ性のpHは、銅及びコバルト等の金属の保護を必要とするCMP組成物にも適している。より高いpHは、CMPスラリーにおいて用いられる粒子の改善されたコロイド安定性に対しても望ましい。
研磨組成物は、任意選択的に酸化剤を含んでよい。
酸化剤は、任意の適した酸化剤であることができる。
適した酸化剤としては、これらに限定されないが、少なくとも1つ過酸化基(O‐O)を含む1つ又はそれより多くの過酸化化合物が挙げられる。適した過酸化化合物としては、例えば、これらに限定されないが、過酸化物、過硫酸塩(例えば、一過硫酸塩及び二過硫酸塩)、過炭酸塩、及びこれらの酸、及びこれらの塩、及びこれらの混合物が挙げられる。
他の適した酸化剤としては、例えば、酸化ハロゲン化物(例えば、塩素酸塩、臭素酸塩、ヨウ素酸塩、過塩素酸塩、過臭素酸塩、過ヨウ素酸塩、及びこれらの酸、及びこれらの混合物など)、過ホウ酸、過ホウ酸塩、過炭酸塩、ペルオキシ酸(例えば、過酢酸、過安息香酸、m‐クロロ過安息香酸、これらの塩、これらの混合物など)、過マンガン酸塩、クロム酸塩、セリウム化合物、フェリシアン化物(例えば、フェリシアン化カリウム)、これらの混合物などが挙げられる。本開示に記載の組成物及び方法において有用である幾つかの特定の酸化剤としては、これらに限定されないが、過酸化水素、過ヨウ素酸、ヨウ素酸カリウム、過マンガン酸カリウム、過硫酸アンモニウム、モリブデン酸アンモニウム、硝酸鉄、硝酸、硝酸カリウム及びこれらの混合物が挙げられる。
好ましい酸化剤は、例えば、過酸化水素及び尿素‐過酸化水素を含む過酸化物である。
酸化剤の量は、CMP組成物の総質量に対して約0.01質量%から10質量%を範囲とする。好ましい範囲は、約0.1質量%から4質量%であり、より好ましい範囲は、約0.5質量%から2質量%である。
CMP配合物中の、SiN、SiC、TEOS等のSiを含む膜の除去速度は、酸化剤のない場合でさえ水溶性アルミニウム化合物により抑制されることができる。しかしながら、金属及びバリアCMP等のある種の応用は、酸化剤の添加を必要とするであろう。
CMPスラリー配合物は、任意選択的に界面活性剤又は表面湿潤剤も含んでよい。界面活性剤は、ウエハの欠陥を低減すること、除去速度を調整すること、及び研磨後のトポグラフィーを減少させること等の種々の目的のために用いられてよい。適した界面活性剤としては、これらに限定されないが、a)非イオン性表面湿潤剤、b)アニオン性表面湿潤剤、c)カチオン性表面湿潤剤、d)両性表面湿潤剤、及びこれらの混合物が挙げられる。
1つの側面において、界面活性剤は非イオン性界面活性剤であることができる。非イオン性界面活性剤は、これらに限定されないが、長鎖アルコール、エトキシ化アルコール、エトキシ化アセチレンジオール界面活性剤、ポリエチレングリコールアルキルエーテル、プロピレングリコールアルキルエーテル、グルコシドアルキルエーテル、ポリエチレングリコールオクチルフェニルエーテル、ポリエチレングリコールアルキルフェニルエーテル、グリセロールアルキルエステル、ポリオキシエチレングリコールソルビタンアルキルエステル、ソルビタンアルキルエステル、コカミドモノエタノールアミン、コカミドジエタノールアミン、ドデシルジメチルアミンオキシド、ポリエチレングリコール及びポリプロピレングリコールのブロックコポリマー、ポリエトキシ化タローアミン、フルオロ界面活性剤を含む化学種の範囲から選択されてよい。界面活性剤の分子量は、数百から100万を超える範囲としてよい。これらの材料の粘度も非常に広い分布を有する。
CMP研磨スラリーに用いられることができる複数の市販で入手できる非イオン性界面活性剤としては、これらに限定されないが、Dow Chemical社により製造されたTergitol(登録商標)シリーズ(例えば、Tergitol(登録商標) 15530、Tergitol(登録商標)15S9)のもの等のエトキシ化アルコール、Air Products and Chemicals社により製造されたSurfynol(登録商標)シリーズ(例えば、Surfynol(登録商標)440及びSurfynol(登録商標)465)及びDynolTMシリーズ(例えばDynolTM 607及びDynolTM 604)の界面活性剤、E.I.DuPont de Nemours and Companyにより製造されたZonyl(登録商標)ファミリー(例えば、Zonyl(登録商標)FSO、及びZonyl(登録商標)FSN界面活性剤)のもの等のフッ素化界面活性剤、Evonik社から製造されたTego(登録商標)Wet 510等のアルコキシ化界面活性剤、Omnova社により製造されたフッ素化PolyFox(登録商標)非イオン性界面活性剤(例えば、PF159非イオン性界面活性剤)、又はこれらの組み合わせが挙げられる。
別の側面において、界面活性剤は、分子骨格の主要な部分上に正味の負の電荷を有する化合物であるアニオン性表面湿潤剤であることができる。これらの化合物としては、これらに限定されないが、アルキルカルボン酸塩、アルキルポリアクリル塩、アルキル硫酸塩、アルキルリン酸塩、アルキルジカルボン酸塩、アルキルジ硫酸塩、アルキルジリン酸塩等の、アルコキシカルボン酸塩、アルコキシ硫酸塩、アルコキシリン酸塩、アルコキシジカルボン酸塩、アルコキシジ硫酸塩、アルコキシジリン酸塩等の、置換されたアリールカルボン酸塩、置換されたアリール硫酸塩、置換されたアリールリン酸塩、置換されたアリールジカルボン酸塩、置換されたアリールジ硫酸塩、置換されたアリールジリン酸塩等の適した疎水性尾部を有する塩が挙げられる。表面湿潤剤のこの種類に対する対イオンとしては、これらに限定されないが、カリウム、アンモニウム及び他の陽イオンが挙げられる。これらのアニオン性表面湿潤剤の分子量は、数百から数十万を範囲とする。
別の側面において、界面活性剤は分子骨格の主要な部分上に正味の正の電荷を有するカチオン性表面湿潤剤であることができる。カチオン性界面活性剤は、典型的には疎水性鎖、並びにアミン、4級アンモニウム、ベンザルコニウム及びアルキルピリジウムイオン等のカチオン電荷中心を含む分子のハロゲン化物である。
さらに、別の側面において、界面活性剤は、主要な分子鎖上の正(カチオン性)及び負(アニオン性)の電荷の両方をそれらに相対的な対イオンと共に有する両性表面湿潤剤であることができる。カチオン性部位は、1級、2級若しくは3級アミン、又は4級アンモニウムカチオンに基づく。アニオン性部位はより変化に富むことができ、スルタインCHAPS(3‐[(3‐コラミドプロピル)ジメチルアンモニオ]‐1‐プロパンスルホン酸塩)及びコカミドプロピルヒドロキシスルタインにあるような硫酸塩が挙げられる。コカミドプロピルベタイン等のベタインは、アンモニウムを有するカルボン酸塩を有する。両性界面活性剤の幾つかは、リン酸脂質、ホスファチジルセリン、ホスファチジルエタノールアミン、ホスファチジルコリン、及びスフィンゴミエリン等のアミン又はアンモニウムを有するリン酸アニオンを有してよい。
適した市販で入手できる界面活性剤の例としては、Dow Chemicals社により製造された界面活性剤のTRITONTM、TergitolTM、DOWFAXTMファミリー、並びにAir Products and Chemicals社により製造されたSUIRFYNOLTM、DYNOLTM、ZetasperseTM、NonidetTM及びTomadolTM界面活性剤ファミリーにおける種々の界面活性剤が挙げられる。界面活性剤の適した界面活性剤は、エチレンオキシド(EO)及びプロピレンオキシド(PO)基を含むポリマーも含んでよい。EO‐POポリマーの例は、BASF Chemicals社のTetronicTM 90R4である。
界面活性剤の量は、CMP組成物の総質量に対して約0.0001質量%から約10質量%を範囲とする。好ましい範囲は、約0.001質量%から約1質量%であり、より好ましい範囲は、約0.005質量%から約0.1質量%である。
CMP研磨組成物は、研磨組成物の安定性を改善するため、研磨組成物のイオン強度を調整するため、並びに取扱い及び使用における安全性を改善するために、さらにpH調整剤を含んでよい。pH調整剤は研磨組成物のpHを上げる、又は下げるために用いられてよい。
研磨組成物のpHを低くするのに適したpH調整剤としては、これらに限定されないが、塩酸、硝酸、硫酸、クロロ酢酸、酒石酸、コハク酸、クエン酸、リンゴ酸、マロン酸、スルホン酸、リン酸、種々の脂肪酸、種々のポリカルボン酸、塩化水素及びこれらの混合物を含む種々の有機及び無機酸が挙げられる。
研磨組成物のpHを上げるのに適したpH調整剤としては、これらに限定されないが、水酸化カリウム、水酸化ナトリウム、アンモニア、4級有機アンモニウム水酸化物(例えば水酸化テトラメチルアンモニウム)、エチレンジアミン、ピぺラジン、ポリエチレンイミン、変性ポリエチレンイミン及びこれらの混合物を含む有機又は無機塩基が挙げられる。
pH調整剤の量は、CMP組成物の総質量に対して約0.0001質量%から約5質量%を範囲とする。好ましい範囲は、約0.0005質量%から約1質量%であり、より好ましい範囲は、約0.0005質量%から約0.5質量%であり、最も好ましい範囲は、約0.001質量%から約0.1質量%である。
CMP組成物は、さらに浸食防止剤を含んでよい。
適した浸食防止剤としては、これらに限定されないが、分子内に窒素原子を含む化学添加剤が挙げられる。浸食防止剤の例としては、これらに限定されないが、ベンゾトリアゾール(BTA)及びベンゾトリアゾール誘導体、トリアゾール及びその関連する誘導体、イミダゾール、ピラゾール及びその誘導体、ベンゾイミダゾール及びその誘導体、並びにこれらの組み合わせが挙げられる。
浸食防止剤の量は、CMP組成物の総質量に対して約0.0005質量%から約0.5質量%を範囲とする。好ましい範囲は、約0.0025質量%から約0.15質量%であり、より好ましい範囲は、約0.01質量%から約0.1質量%である。
CMP組成物は、さらに有機酸を含んでよい。有機酸は、これらに限定されないが、芳香族有機酸及びアミノ酸又はこれらの塩を含む任意の適した有機酸であることができる。芳香族有機酸は、ウエハの表面上の幾つかの膜との幾つかの相互作用を有することができる配位子として記載されることができる。
芳香族有機酸としては、これらに限定されないが、ベンゼンスルホン酸又はトルエンスルホン酸又は任意の他の有機酸又はアミノ酸が挙げられる。
有機酸の量は、CMP組成物の総質量に対して約0.1質量%から約5質量%を範囲とする。好ましい範囲は、約0.2質量%から約2質量%であり、より好ましい範囲は、約0.25質量%から約1質量%である。
CMPスラリーは、キレート又は錯形成剤を含んでよい。錯形成剤は、CMP中の除去速度を増加させる、パッドひずみを減少させる、水溶性アルミニウム化合物の溶解性を増加させる、アルミニウム化合物が溶解するpH範囲を増大させる等の種々の目的を担ってよい。
適したキレート剤としては、これらに限定されないが、有機酸及びその塩、ポリマー酸及びの塩、水溶性コポリマー及びその塩、コポリマーの同じ分子内にカルボン酸基、スルホン酸基、リン酸及びピリジン酸から選択される酸基の少なくとも2つの異なる種類を含むコポリマー及びその塩、ポリビニル酸及びその塩、無機カリウムシリケート及びアンモニウムシリケート、ポリエチレンオキシド、ポリプロピレンオキシド、ピリジン、ピリジン誘導体、ビピリジン、ビピリジン誘導体並びにこれらの組み合わせが挙げられる。
有機酸としては、これらに限定されないが、アミノ酸、カルボン酸、リン酸、硫酸、ポリビニル酸、及びピリジン酸、ビピリジン酸が挙げられる。
ポリマー酸及びその塩としては、これらに限定されないが、カルボン酸及びその塩、スルホン酸及びその塩、リン酸及びその塩、ピリジン酸及びその塩からなる群より選択される官能基を有するポリマー化合物が挙げられる。例は、ポリマーカルボン酸及びその塩、ポリマースルホン酸及びその塩、ポリマーリン酸及びその塩、ポリマーピリジン酸及びその塩である。より特定の例は、ポリアクリル酸及びその塩、ポリスチレンスルホン酸及びその塩、ビピリジン酸及びその塩である。
キレート剤の量は、CMP組成物の総質量に対して約0.001質量%から約5質量%を範囲とする。好ましい範囲は、約0.01質量%から約2.0質量%であり、より好ましい範囲は、約0.1質量%から約1.0質量%である。
CMPスラリー配合物は、金属膜の除去速度を増加させるために触媒を含んでよい。触媒は、複数の酸化状態を有するAg、Co、Cr、Cu、Fe、Mo、Mn、Nb、Ni、Os、Pd、Ru、Sn、Ti、Vの金属化合物及びこれらの混合物を含んでよい。金属化合物は、化合物を溶解させることによりCMPスラリーに添加されてよい。代わりに、複数の酸化状態を有する金属の化合物を含む表面を有する粒子が不均一触媒として用いられてよい。触媒は、過酸化水素等の過酸化基(O‐O)を有する酸化剤がCMP配合物において用いられる際、ヒドロキシラジカルの形成を促進する。ヒドロキシラジカルの形成は、タングステン等の金属膜の除去速度を増加させるであろう。CMP配合物における触媒濃度は、0.0001質量%から1質量%、又はより好ましくは、約0.001質量%及び約0.5質量%の間を範囲としてよい。
CMP組成物は、保管中のバクテリア及び菌成長を妨げるために生物学的成長防止剤又は保存料を含んでよい。
生物学的成長防止剤としては、これらに限定されないが、塩化テトラメチルアンモニウム、塩化テトラエチルアンモニウム、塩化テトラプロピルアンモニウム、塩化アルキルベンジルジメチルアンモニウム、及び水酸化アルキルベンジルジメチルアンモニウム(アルキル鎖は1から約20の炭素原子を範囲とする)、メチルイソチアゾリノン、5‐クロロ‐2‐メチル‐4‐イソチアゾリン‐3‐オン(クロロメチルイソチアゾリノン又はCMIT)、亜塩素酸ナトリウム、及び次亜塩素酸ナトリウムが挙げられる。市販で入手できる保存料の幾つかとしては、Dow Chemicals社からのKATHONTM及びNEOLENETM製品ファミリー、及びLanxess社からのPreventolTMファミリーが挙げられる。より多くがUS特許第5,230,833号(Rombergerら)及びUS特許出願公開第20020025762号に開示されている。これらの内容は、その全体において記載されているかのように、参照により本開示に組み入れられる。
分散剤は、粒子のコロイド安定性を改善するために用いられてよい。分散剤は界面活性剤及びポリマーを含んでよい。分散剤の例としては、ポリアクリル酸、ポリメタクリル酸が挙げられる。
分散剤の量は、CMP組成物の総質量に対して約0.001質量%から約5質量%を範囲とする。好ましい範囲は、約0.01質量%から約1.0質量%である。
ある実施態様において、配合物は濃縮された形態において製造されることができ、水及び任意選択的に酸化剤により使用の時点において、及び希釈されることができる。
ある実施態様において、配合物は、任意の安定性の問題を取り除くために使用の時点において混合されるように、2つ又はそれより多くの部分、すなわち研磨剤に富んだ部分及び化学種に富んだ部分で輸送されることができる。
1つの実施態様において、本発明の組成物は、構造を取り囲むストップ層に対して、選択的に導電金属構造を研磨するのに用いられてよく、ストップ層は、これらに限定されないが、ケイ素、又はこれらに限定されないが、酸化ケイ素、窒化ケイ素、酸窒化ケイ素、炭化ケイ素若しくは酸炭化ケイ素、ポリシリコン、非晶質シリコン、若しくはケイ素、酸素、酸素、炭素、窒素、水素を含む任意の他の組み合わせを含むケイ素の化合物を含む。導電金属構造は、銅、タングステン、コバルト等の金属又は合金を含んでよい。ブランケット金属膜とストップ層との除去速度比は10より大きく、又はより好ましくは50より大きく、又はさらに好ましくは100より大きい。
さらに別の実施態様において、本発明の研磨スラリーは、導電金属及び取り囲んでいる層の間に挟まれた1つ又はそれより多くの金属層を有する導電金属構造を研磨するのに用いられてよい。挟まれた金属層は、これらに限定されないが、金属イオン拡散に対してのバリア、ライナー材料、接着層等の機能を与えてよい。挟まれた金属層は、これらに限定されないが、チタン、窒化チタン(TIN)、コバルト、ルテニウム、タンタル、窒化タンタル、及びこれらに限定されないが、Mn、Cr、V、Ru、Zr、C、Mo、Ag、W等の金属との相互結合金属の合金膜である自己形成バリア膜を含んでよい。構造は、これらに限定されないが、ケイ素、又は酸化ケイ素、窒化ケイ素、酸窒化ケイ素、炭化ケイ素、又は酸炭化ケイ素、ポリシリコン、非晶質シリコン、若しくはケイ素、酸素、酸素、炭素、窒素、水素を含む任意の他の組み合わせを含むケイ素の化合物を含むストップ層も含んでよい。ストップ膜の除去速度を100オングストローム/分より小さく、又は好ましくは50オングストローム/分より小さく、又は最も好ましくは10オングストローム/分より小さく抑制しつつ、ブランケット金属バリア層は、1.5psiの下向きの力にて、好ましくは200Å/分より大きな、又は好ましくは300Å/分より大きな除去速度で研磨される。
基本的な実験手順
本開示に記載の関連するプロセスは、パターン化基材表面のバリア化学機械平滑化に関する前述の組成物の使用を伴う。
本開示に記載の研磨組成物並びに関連するプロセス及びシステムは、種々の表面を有する基材のCMPに効果的であり、SiN及び/又はSiC等のストップ層除去速度を遅らせることに関して特に有用である。
プロセスにおいて、基材(例えば、種々の表面を有するパターン化ウエハ)は、CMP研磨機の回転可能なプラテンに固定的に取り付けられた研磨パッドに対し面を下にして配置される。この方式において、研磨され、平滑化される基材は、研磨パッドに直接接触して配置される。プラテン及び基材を回転させつつ、ウエハキャリアシステム又は研磨ヘッドを用いて所定位置に基材を保持し、CMP処理中の基材の背面に対して下向きの圧力を適用する。研磨組成物がCMP処理中にパッド上に(通常は連続的に)適用され、材料の除去をもたらして基材が平滑化される。
研磨パッド:Narubeni America Corporationにより供給されたFujibo H7000HNパッドがCMPプロセスに用いられた。Co(PVD)バリア層をPVDプロセスにより堆積させた。SiN及び/又はSiC膜をPECVD堆積プロセスにより堆積させた。TEOS酸化物膜を、前駆体としてテトラエチルオルトシリケートを用いる気相化学堆積法(CVD)により堆積させた。
パラメータ
Å:オングストローム ‐長さの単位
BP:背圧、psi単位
CMP:化学機械平滑化=化学機械研磨
CS:キャリア速度
DF:下向きの力:CMP中に適用される圧力、psi単位
min:分
ml:ミリリットル
mV:ミリボルト
psi:ポンド毎平方インチ
PS:研磨ツールのプラテン回転速度、rpm(分あたりの回転数)
SF:研磨組成物フロー、ml/min
除去速度及び除去選択性
除去速度(RR)=(研磨前の膜厚み−研磨後の膜厚み)/研磨時間
CuRR:CMPツールの2.0psiの(柔らかいFujiboパッドによる)下向きの圧力において計測されたPVDCo除去速度
SiNRR:CMPツールの2.0psiの(柔らかいFujiboパッドによる)下向きの圧力において計測されたSiN除去速度
BD1RR:CMPツールの2.0psiの(柔らかいFujiboパッドによる)下向きの圧力において計測されたBD1除去速度
CoRR:CMPツールの2.0psiの(柔らかいFujiboパッドによる)下向きの圧力において計測されたCo除去速度
TaNRR:CMPツールの2.0psiの(柔らかいFujiboパッドによる)下向きの圧力において計測されたTaN除去速度。
全てのパーセントは、別段の示唆がない限り質量パーセントである。
以下に表された例において、CMP実験は以下に与えられた手順及び実験条件を用いて実施された。
例において用いられたCMPツールは、3050 Boweres Avenue,Santa Clara,California,95054にあるApplied Materials社により製造されたMirra(登録商標)である。Narubeni America Corporationにより供給されたFujibo H7000HNパッドがブランケットウエハ研磨研究のためにプラテン上で用いられた。パッドは、(TEOS前駆体によるプラズマ増強CVD、PETEOSにより堆積させた)25個のダミー酸化物ウエハを研磨することによって調製された。ツール設定とパッドの調製を適格とするために、2つのPETEOSモニタが、Air Products Chemical社により供給されたSyton(登録商標)OX‐Kコロイド状シリカにより、基準値条件で研磨された。研磨実験は、電気めっき堆積銅、低k誘電層材料(Black Diamond(登録商標))、窒化タンタル、PVDコバルト、及び窒化ケイ素ウエハを用いて実施された。これらのブランケットウエハは、Silicon Valley Microelectronics,1150Campbell Ave,CA,95126及びAdvantiv Corporatioから購入された。
例において、水酸化アルミニウムすなわちAl(OH)3、水酸化カリウムすなわちKOH、水酸化ナトリウムすなわちNaOH等のアルミン酸塩、又はアルミン酸塩を生成させるために用いられる化学種等の化学種はSigma−Aldrich Corporationから購入された。
以下の実験例において、CMP研磨スラリーは、ベンゾトリアゾール(BTA)及びベンゾトリアゾール誘導体、トリアゾール又は3‐アミノ‐1,2,4‐トリアゾール、3,5‐ジアミノ‐1,2,4‐トリアゾール等のトリアゾール誘導体等の浸食防止剤、表面湿潤剤/界面活性剤としてのベンゼンスルホン酸等の配位子としての化学添加剤、アルミン酸塩、研磨剤、pH調整剤、並びに任意選択的に酸化剤及び芳香族有機酸を含んだ。
バリアCMPスラリーは、バリア応用に適切である様々な種類の膜を研磨するために用いられた。CMP研磨スラリーのpHは10〜11程度であった。
(表1に示された)バリアCMP組成物は、コントロール又は参照組成物として用いられた。
実験のバリアCMP研磨スラリーは、異なる量のアルミン酸塩をコントロール組成物に加えることによって得られた。代わりに、アルミン酸塩は、量論量の水酸化アルミニウム(Al(OH)3)を水酸化カリウム(KOH)又は水酸化ナトリウム(NaOH)と混合することにより、インサイチューで生成させることができる。
例1
0.10質量%、0.15質量%及び0.25質量%のアルミン酸ナトリウム(NaAlO2)が(表1に示された)コントロール組成物に加えられ、表IIに示されたようなバリアCMP研磨スラリーを得た。CMPスラリーは、次いで、SiN基材を研磨するのに用いられた。SiN除去速度の結果は、表II及び図1に示された。
図2は、スラリーにおけるアルミン酸ナトリウムの濃度の関数としてSiN除去速度を与えた。
結果は、0.10質量%のアルミン酸ナトリウム(NaAlO2)の添加により、SiN除去速度が、いかなるアルミン酸塩添加剤も含まないコントロール組成物に対して35%減少したことを示した。0.15質量%のアルミン酸ナトリウムの添加は、SiN除去速度をコントロール組成物に対し43%減少させた。一方で、0.25質量%のアルミン酸ナトリウムの添加により、SiN除去速度は0に低下した。
データは、SiN除去速度は所望のように調整することができることも示した。
例2
例1において用いられたのと同じCMPスラリーが、銅及び低‐K(BD1)等のバリア応用に適切である複数の膜を研磨するためにも用いられた。
除去速度の結果は、表III及び図3に示された。
結果は、アルミン酸ナトリウム添加剤がSiN除去速度を減少させる一方で他のバリア膜の除去速度には全く影響しない、又は最小限の影響しかなかったことを示した。
例3
測定は、(酸化剤として)過酸化物を含むCMP研磨スラリーにより実施された。
除去速度が、コバルト、銅及び窒化タンタルの異なる膜について測定された。結果が表IV及び図4に示された。
再び、結果は、過酸化物を含むCMPスラリーにおけるアルミン酸ナトリウム添加剤がSiNの除去速度を減少させたが、他のバリア膜の除去速度には最小限の影響しかなかったことを示した。
例4
0.10質量%、0.20質量%、0.25質量%及び0.3質量%のアルミン酸カリウム(KAlO2)が、(表Iに示された)コントロール組成物に加えられ、バリアCMP研磨スラリーを得た。
アルミン酸カリウム(KAlO2)は、量論量の水酸化アルミニウム(Al(OH)3)を水酸化カリウム(KOH)と混合することによりインサイチューで生成させた。
CMPスラリーは、コバルト、銅、低‐K(BD1)、酸化ケイ素(SiO2)(TEOS)、及び窒化ケイ素(SiN)等のバリア応用に適切である複数の膜を研磨するために用いられた。
除去速度の結果が、表V並びに図5及び6に示された。
結果は、アルミン酸カリウム(KAlO2)の添加により、SiN除去速度及びTEOS除去速度の両方は、いかなるアルミン酸塩添加剤も含まないコントロール組成物に対して減少したことを示した。0.25質量%のアルミン酸カリウムの添加により、SiN除去速度及びTEOS除去速度は0に低下した。
データは、SiN除去速度及びTEOS除去速度は所望のように調整することができることも示した。
アルミン酸ナトリウムの使用に関する結果のように、コバルト、銅、又はBlack Diamond 1TM(BD1)などの低‐K膜等の他の膜の除去速度は、最小の影響を受けた。
例5
0.2078質量%の酢酸アルミニウム(AlAc)が(表Iに示された)コントロール組成物に加えられ、バリアCMP研磨組成物を得た。
CMP組成物は、コバルト、銅、低‐K(BD1)、酸化ケイ素(SiO2)(TEOS)、及び窒化シリコン(SiN)等のバリア応用に適切である複数の膜を研磨するために用いられた。
除去速度の結果が、表VI及び図7に示された。
結果は、0.2078質量%の酢酸アルミニウムの添加により、SiN除去速度はコントロール組成物に対して31%減少し、TEOS除去速度はコントロール組成物に対して40%減少したことを示した。一方で、組成物は他の膜の除去速度について全く影響しなかった。
コロイド状シリカ組成物へのアルミン酸塩の添加が、(SiN及びTEOS等の)ケイ素を含む膜に対する除去速度の低減をもたらす一方で、コバルト、銅、又はBlack Diamond 1TM(BD1)などの低‐K膜等膜等の他の膜について全く影響がない又は最小の影響をもたらすことが実証された。
次の詳細な説明は、好ましい例示的な実施態様のみを与え、本発明の範囲、適用性、又は構成を制限することを意図しない。むしろ、好ましい例示的な実施態様の次の詳細な説明は、当業者が本発明の好ましい例示的な実施態様を実施することを可能にする記載を与えるであろう。種々の変更が、添付の特許請求の範囲に記載されるように、本発明の精神及び範囲から逸脱することなく、要素の機能及び配置においてなされてよい。

Claims (21)

  1. 高純度のコロイド状シリカ粒子、アルミナ、セリア、ゲルマニア、シリカ、チタニア、ジルコニア、格子中のアルミナドープコロイド状シリカ及びこれらの混合物からなる群より選択される0.01質量%から20質量%の研磨材、
    0.01質量%から約10質量%の水溶性アルミニウム化合物、
    並びに
    水を含み、
    7より大きなpHを有する、研磨組成物。
  2. 前記水溶性アルミニウム化合物が、アルミン酸ナトリウム、アルミン酸カリウム、酢酸アルミニウム、塩化アルミニウム、硫酸アルミニウム、水酸化アルミニウム及びこれらの組み合わせからなる群より選択される、請求項1に記載の研磨組成物。
  3. 0.0001質量%から約5質量%のpH調整剤、
    a)非イオン性表面湿潤剤、b)アニオン性表面湿潤剤、c)カチオン性表面湿潤剤、d)両性表面湿潤剤及びこれらの混合物からなる群より選択される0.0001質量%から10質量%の界面活性剤、
    0.0005質量%から約0.5質量%の浸食防止剤、
    少なくとも1つの過酸化基(O‐O)を含む過酸化化合物、酸化ハロゲン化物、過ホウ酸、過ホウ酸塩、過炭酸塩、ペルオキシ酸、過マンガン酸塩、クロム酸塩、セリウム化合物、フェリシアン化物及びこれらの混合物からなる群より選択される0.01質量%から10質量%の酸化剤、並びに
    0.1質量%から5質量%の有機酸のうちの少なくとも1種をさらに含む、請求項1に記載の研磨組成物。
  4. 前記浸食防止剤が、ベンゾトリアゾール(BTA)及びその誘導体、トリアゾール及びその誘導体、イミダゾール及びその誘導体、ピラゾール及びその誘導体、ベンゾイミダゾール及びその誘導体並びにこれらの組み合わせからなる群より選択される、分子内に窒素原子を含む化学添加剤であり、
    前記pH調整剤が、塩酸、硝酸、硫酸、クロロ酢酸、酒石酸、コハク酸、クエン酸、リンゴ酸、マロン酸、スルホン酸、リン酸、脂肪酸、ポリカルボン酸、塩化水素及びこれらの混合物からなる群より選択され、または水酸化カリウム、水酸化ナトリウム、アンモニア、4級有機アンモニウム水酸化物、エチレンジアミン、ピぺラジン、ポリエチレンイミン、変性ポリエチレンイミン及びこれらの混合物からなる群より選択され、
    前記有機酸が、芳香族有機酸、アミノ酸又はこれらの塩並びにこれらの組み合わせからなる群より選択される、請求項3に記載の研磨組成物。
  5. 前記非イオン性表面湿潤剤が、エトキシ化アルコール、エトキシ化アセチレンジオール界面活性剤、ポリエチレングリコールアルキルエーテル、プロピレングリコールアルキルエーテル、グルコシドアルキルエーテル、ポリエチレングリコールオクチルフェニルエーテル、ポリエチレングリコールアルキルフェニルエーテル、グリセロールアルキルエステル、ポリオキシエチレングリコールソルビタンアルキルエステル、ソルビタンアルキルエステル、コカミドモノエタノールアミン、コカミドジエタノールアミン、ドデシルジメチルアミンオキシド、ポリエチレングリコール及びポリプロピレングリコールのブロックコポリマー、ポリエトキシ化タローアミン、フルオロ界面活性剤並びにこれらの組み合わせからなる群より選択され、
    前記アニオン性表面湿潤剤が、アルキルカルボン酸塩、アルキルポリアクリル塩、アルキル硫酸塩、アルキルリン酸塩、アルキルジカルボン酸塩、アルキルジ硫酸塩、アルキルジリン酸塩、アルコキシカルボン酸塩、アルコキシ硫酸塩、アルコキシリン酸塩、アルコキシジカルボン酸塩、アルコキシジ硫酸塩、アルコキシジリン酸塩、置換されたアリールカルボン酸塩、置換されたアリール硫酸塩、置換されたアリールリン酸塩、置換されたアリールジカルボン酸塩、置換されたアリールジ硫酸塩、置換されたアリールジリン酸塩及びこれらの組み合わせからなる群より選択され、
    前記カチオン性表面湿潤剤が、疎水性鎖、並びにアミン、4級アンモニウム、ベンザルコニウム及びアルキルピリジウムイオンからなる群より選択されるカチオン電荷中心を含む分子のハロゲン化物であり、
    前記両性表面湿潤剤が、主要な分子鎖上の正(カチオン性)及び負(アニオン性)の電荷の両方をそれらに相対的な対イオンと共に有する、請求項3に記載の研磨組成物。
  6. 高純度コロイド状シリカ粒子、水溶性アルミニウム化合物であって、アルミン酸ナトリウム、アルミン酸カリウム、酢酸アルミニウム、水酸化アルミニウム及びこれらの組み合わせからなる群より選択される水溶性アルミニウム化合物を含み、8から12のpHを有する、請求項3に記載の研磨組成物。
  7. ベンゾトリアゾール(BTA)及びその誘導体、トリアゾール及びその誘導体並びにこれらの組み合わせからなる群より選択される浸食防止剤、水酸化カリウム、ベンゼンスルホン酸、任意選択的に、少なくとも1つの過酸化基を含む過酸化化合物をさらに含み、10から12のpHを有する、請求項6に記載の研磨組成物。
  8. 第1の材料と、第2の材料とを有する少なくとも1つの表面を含む半導体デバイスの化学機械平滑化のための研磨方法であって、
    a)少なくとも1つの表面を研磨パッドに接触させる工程と、
    b)研磨組成物を少なくとも1つの表面へ輸送する工程であって、前記研磨組成物が、
    高純度コロイド状シリカ粒子、アルミナ、セリア、ゲルマニア、シリカ、チタニア、ジルコニア、格子中のアルミナドープコロイド状シリカ及びこれらの混合物からなる群より選択される0.01質量%から20質量%の研磨剤、
    0.01質量%から約10質量%の水溶性アルミニウム化合物、
    並びに
    水を含み、
    7より大きなpHを有する、工程と、
    c)少なくとも1つの表面を研磨組成物により研磨して第1の材料を除去し、第2の材料をストップ‐オンする工程と、を含み、
    前記第1の材料が金属、バリア又はライナー材料、誘電材料及びこれらの組み合わせからなる群より選択され、前記第2の材料が少なくとも1種のケイ素を含む材料を含む、方法。
  9. 前記研磨組成物中の前記水溶性アルミニウム化合物が、アルミン酸ナトリウム、アルミン酸カリウム、酢酸アルミニウム、塩化アルミニウム、硫酸アルミニウム、水酸化アルミニウム及びこれらの組み合わせからなる群より選択される、請求項8に記載の方法。
  10. 前記研磨組成物が、
    0.0001質量%から約5質量%のpH調整剤、
    a)非イオン性表面湿潤剤、b)アニオン性表面湿潤剤、c)カチオン性表面湿潤剤、d)両性表面湿潤剤及びこれらの混合物からなる群より選択される0.0001質量%から10質量%の界面活性剤、
    0.0005質量%から約0.5質量%の浸食防止剤、
    少なくとも1つの過酸化基(O‐O)を含む過酸化化合物、酸化ハロゲン化物、過ホウ酸、過ホウ酸塩、過炭酸塩、ペルオキシ酸、過マンガン酸塩、クロム酸塩、セリウム化合物、フェリシアン化物及びこれらの混合物からなる群より選択される0.01質量%から10質量%の酸化剤、並びに
    0.1質量%から5質量%の有機酸のうちの少なくとも1種をさらに含む、請求項8に記載の方法。
  11. 前記研磨組成物中の前記浸食防止剤が、ベンゾトリアゾール(BTA)及びその誘導体、トリアゾール及びその誘導体、イミダゾール及びその誘導体、ピラゾール及びその誘導体、ベンゾイミダゾール及びその誘導体並びにこれらの組み合わせからなる群より選択される、分子内に窒素原子を含む化学添加剤であり、
    前記pH調整剤が、塩酸、硝酸、硫酸、クロロ酢酸、酒石酸、コハク酸、クエン酸、リンゴ酸、マロン酸、スルホン酸、リン酸、脂肪酸、ポリカルボン酸、塩化水素及びこれらの混合物からなる群より選択され、または水酸化カリウム、水酸化ナトリウム、アンモニア、4級有機アンモニウム水酸化物、エチレンジアミン、ピぺラジン、ポリエチレンイミン、変性ポリエチレンイミン及びこれらの混合物からなる群より選択され、
    前記有機酸が、芳香族有機酸、アミノ酸又はこれらの塩並びにこれらの組み合わせからなる群より選択される、請求項10に記載の方法。
  12. 前記非イオン性表面湿潤剤が、エトキシ化アルコール、エトキシ化アセチレンジオール界面活性剤、ポリエチレングリコールアルキルエーテル、プロピレングリコールアルキルエーテル、グルコシドアルキルエーテル、ポリエチレングリコールオクチルフェニルエーテル、ポリエチレングリコールアルキルフェニルエーテル、グリセロールアルキルエステル、ポリオキシエチレングリコールソルビタンアルキルエステル、ソルビタンアルキルエステル、コカミドモノエタノールアミン、コカミドジエタノールアミン、ドデシルジメチルアミンオキシド、ポリエチレングリコール及びポリプロピレングリコールのブロックコポリマー、ポリエトキシ化タローアミン、フルオロ界面活性剤並びにこれらの組み合わせからなる群より選択され、
    前記アニオン性表面湿潤剤が、アルキルカルボン酸塩、アルキルポリアクリル塩、アルキル硫酸塩、アルキルリン酸塩、アルキルジカルボン酸塩、アルキルジ硫酸塩、アルキルジリン酸塩、アルコキシカルボン酸塩、アルコキシ硫酸塩、アルコキシリン酸塩、アルコキシジカルボン酸塩、アルコキシジ硫酸塩、アルコキシジリン酸塩、置換されたアリールカルボン酸塩、置換されたアリール硫酸塩、置換されたアリールリン酸塩、置換されたアリールジカルボン酸塩、置換されたアリールジ硫酸塩、置換されたアリールジリン酸塩及びこれらの組み合わせからなる群より選択され、
    前記カチオン性表面湿潤剤が、疎水性鎖、並びにアミン、4級アンモニウム、ベンザルコニウム及びアルキルピリジウムイオンからなる群より選択されるカチオン電荷中心を含む分子のハロゲン化物であり、
    前記両性表面湿潤剤が、主要な分子鎖上の正(カチオン性)及び負(アニオン性)の電荷の両方をそれらに相対的な対イオンと共に有する、請求項10に記載の方法。
  13. 前記研磨組成物が、高純度コロイド状シリカ粒子、水溶性化合物であって、アルミン酸ナトリウム、アルミン酸カリウム、酢酸アルミニウム、塩化アルミニウム、硫酸アルミニウム、水酸化アルミニウム及びこれらの組み合わせからなる群より選択される水溶性化合物を含み、8から12のpHを有し、前記第1の材料が、Cu、W、Co、Al及びこれらの組み合わせからなる群より選択される金属膜、Ta、TaN、Ti、TiN、Ru及びこれらの組み合わせからなる群より選択されるバリア又はライナー膜、低k材料誘電膜並びにこれらの組み合わせからなる群より選択され、前記少なくとも1種のケイ素を含む材料が、窒化ケイ素、酸化ケイ素、炭化ケイ素及びこれらの組み合わせからなる群より選択される、請求項10に記載の方法。
  14. 前記研磨組成物が、ベンゾトリアゾール(BTA)及びその誘導体、トリアゾール及びその誘導体並びにこれらの組み合わせからなる群より選択される浸食防止剤、水酸化カリウム、ベンゼンスルホン酸、任意選択的に、少なくとも1つの過酸化基を含む過酸化化合物をさらに含み、10から12のpHを有する、請求項13に記載の方法。
  15. 化学機械平滑化のためのシステムであって、システムが、
    金属、バリア又はライナー材料、誘電材料及びこれらの組み合わせからなる群より選択される第1の材料と、少なくとも1種のケイ素を含む材料を含む第2の材料とを有する少なくとも1つの表面を含むパターン化基材、
    研磨パッド、及び
    少なくとも1つの表面への研磨組成物を含み、前記研磨組成物が、
    高純度コロイド状シリカ粒子、アルミナ、セリア、ゲルマニア、シリカ、チタニア、ジルコニア、格子中のアルミナドープコロイド状シリカ及びこれらの混合物からなる群より選択される0.01質量%から20質量%の研磨剤、
    0.01質量%から約10質量%の水溶性アルミニウム化合物、
    並びに
    水を含み、
    7より大きなpHを有し、
    前記少なくとも1つの表面が、研磨パッド及び研磨組成物と接触している、システム。
  16. 前記研磨組成物中の前記水溶性アルミニウム化合物が、アルミン酸ナトリウム、アルミン酸カリウム、酢酸アルミニウム、塩化アルミニウム、硫酸アルミニウム、水酸化アルミニウム及びこれらの組み合わせからなる群より選択される、請求項15に記載のシステム。
  17. 前記研磨組成物が、
    0.0001質量%から約5質量%のpH調整剤、
    a)非イオン性表面湿潤剤、b)アニオン性表面湿潤剤、c)カチオン性表面湿潤剤、d)両性表面湿潤剤及びこれらの混合物からなる群より選択される0.0001質量%から10質量%の界面活性剤、
    0.0005質量%から約0.5質量%の浸食防止剤、
    少なくとも1つの過酸化基(O‐O)を含む過酸化化合物、酸化ハロゲン化物、過ホウ酸、過ホウ酸塩、過炭酸塩、ペルオキシ酸、過マンガン酸塩、クロム酸塩、セリウム化合物、フェリシアン化物及びこれらの混合物からなる群より選択される0.01質量%から10質量%の酸化剤、並びに
    0.1質量%から5質量%の有機酸のうちの少なくとも1種をさらに含む、請求項15に記載のシステム。
  18. 前記研磨組成物中の前記浸食防止剤が、ベンゾトリアゾール(BTA)及びその誘導体、トリアゾール及びその誘導体、イミダゾール及びその誘導体、ピラゾール及びその誘導体、ベンゾイミダゾール及びその誘導体並びにこれらの組み合わせからなる群より選択される、分子内に窒素原子を含む化学添加剤であり、
    前記pH調整剤が、塩酸、硝酸、硫酸、クロロ酢酸、酒石酸、コハク酸、クエン酸、リンゴ酸、マロン酸、スルホン酸、リン酸、脂肪酸、ポリカルボン酸、塩化水素及びこれらの混合物からなる群より選択され、または水酸化カリウム、水酸化ナトリウム、アンモニア、4級有機アンモニウム水酸化物、エチレンジアミン、ピぺラジン、ポリエチレンイミン、変性ポリエチレンイミン及びこれらの混合物からなる群より選択され、
    前記有機酸が、芳香族有機酸、アミノ酸又はこれらの塩並びにこれらの組み合わせからなる群より選択される、請求項17に記載のシステム。
  19. 前記非イオン性表面湿潤剤が、エトキシ化アルコール、エトキシ化アセチレンジオール界面活性剤、ポリエチレングリコールアルキルエーテル、プロピレングリコールアルキルエーテル、グルコシドアルキルエーテル、ポリエチレングリコールオクチルフェニルエーテル、ポリエチレングリコールアルキルフェニルエーテル、グリセロールアルキルエステル、ポリオキシエチレングリコールソルビタンアルキルエステル、ソルビタンアルキルエステル、コカミドモノエタノールアミン、コカミドジエタノールアミン、ドデシルジメチルアミンオキシド、ポリエチレングリコール及びポリプロピレングリコールのブロックコポリマー、ポリエトキシ化タローアミン、フルオロ界面活性剤並びにこれらの組み合わせからなる群より選択され、
    前記アニオン性表面湿潤剤が、アルキルカルボン酸塩、アルキルポリアクリル塩、アルキル硫酸塩、アルキルリン酸塩、アルキルジカルボン酸塩、アルキルジ硫酸塩、アルキルジリン酸塩、アルコキシカルボン酸塩、アルコキシ硫酸塩、アルコキシリン酸塩、アルコキシジカルボン酸塩、アルコキシジ硫酸塩、アルコキシジリン酸塩、置換されたアリールカルボン酸塩、置換されたアリール硫酸塩、置換されたアリールリン酸塩、置換されたアリールジカルボン酸塩、置換されたアリールジ硫酸塩、置換されたアリールジリン酸塩及びこれらの組み合わせからなる群より選択され、
    前記カチオン性表面湿潤剤が、疎水性鎖、並びにアミン、4級アンモニウム、ベンザルコニウム及びアルキルピリジウムイオンからなる群より選択されるカチオン電荷中心を含む分子のハロゲン化物であり、
    前記両性表面湿潤剤が、主要な分子鎖上の正(カチオン性)及び負(アニオン性)の電荷の両方をそれらに相対的な対イオンと共に有する、請求項17に記載のシステム。
  20. 前記研磨組成物が、高純度コロイド状シリカ粒子、水溶性アルミニウム化合物であって、アルミン酸ナトリウム、アルミン酸カリウム、酢酸アルミニウム、塩化アルミニウム、硫酸アルミニウム、水酸化アルミニウム及びこれらの組み合わせからなる群より選択される水溶性アルミニウム化合物を含み、8から12のpHを有し、
    前記金属膜が、Cu、W、Co、Al及びこれらの組み合わせからなる群より選択され、前記バリア又はライナー膜が、Ta、TaN、Ti、TiN、Ru及びこれらの組み合わせからなる群より選択され、前記誘電膜が、低k材料であり、
    前記少なくとも1種のケイ素を含む材料が、窒化ケイ素、酸化ケイ素、炭化ケイ素及びこれらの組み合わせからなる群より選択される、請求項17に記載のシステム。
  21. 前記研磨組成物が、ベンゾトリアゾール(BTA)及びその誘導体、トリアゾール及びその誘導体並びにこれらの組み合わせからなる群より選択される浸食防止剤、水酸化カリウム、ベンゼンスルホン酸、任意選択的に、少なくとも1つの過酸化基を含む過酸化化合物をさらに含み、10から12のpHを有する、請求項20に記載のシステム。
JP2016187157A 2015-09-25 2016-09-26 ストップ‐オンシリコンコーティング層添加剤 Active JP6480394B2 (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201562233251P 2015-09-25 2015-09-25
US62/233,251 2015-09-25
US15/268,956 US10144850B2 (en) 2015-09-25 2016-09-19 Stop-on silicon containing layer additive
US15/268,956 2016-09-19

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2018225411A Division JP2019049008A (ja) 2015-09-25 2018-11-30 ストップ‐オンシリコンコーティング層添加剤

Publications (2)

Publication Number Publication Date
JP2017105980A true JP2017105980A (ja) 2017-06-15
JP6480394B2 JP6480394B2 (ja) 2019-03-06

Family

ID=56990377

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2016187157A Active JP6480394B2 (ja) 2015-09-25 2016-09-26 ストップ‐オンシリコンコーティング層添加剤
JP2018225411A Pending JP2019049008A (ja) 2015-09-25 2018-11-30 ストップ‐オンシリコンコーティング層添加剤

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2018225411A Pending JP2019049008A (ja) 2015-09-25 2018-11-30 ストップ‐オンシリコンコーティング層添加剤

Country Status (8)

Country Link
US (2) US10144850B2 (ja)
EP (1) EP3153558B1 (ja)
JP (2) JP6480394B2 (ja)
KR (1) KR101954386B1 (ja)
CN (1) CN106566412B (ja)
IL (1) IL248020B (ja)
SG (1) SG10201607960VA (ja)
TW (2) TW201738341A (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2019143119A (ja) * 2017-12-14 2019-08-29 ローム アンド ハース エレクトロニック マテリアルズ シーエムピー ホウルディングス インコーポレイテッド ポリシリコン研磨用の低ディッシングシリカ粒子の水性組成物
JP2020065051A (ja) * 2018-09-26 2020-04-23 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー シャロートレンチアイソレーション(sti)の化学機械平坦化研磨(cmp)において酸化物/窒化物選択性を高め、酸化物トレンチのディッシングを低く均一化する方法
WO2022168860A1 (ja) * 2021-02-04 2022-08-11 株式会社フジミインコーポレーテッド 研磨用組成物

Families Citing this family (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10144850B2 (en) * 2015-09-25 2018-12-04 Versum Materials Us, Llc Stop-on silicon containing layer additive
KR20170044522A (ko) * 2015-10-15 2017-04-25 삼성전자주식회사 화학적 기계적 연마용 슬러리 조성물, 그의 제조 방법, 그를 이용한 연마 방법
WO2017223225A1 (en) * 2016-06-22 2017-12-28 Cabot Microelectronics Corporation Polishing composition comprising an amine-containing surfactant
CN108117839B (zh) * 2016-11-29 2021-09-17 安集微电子科技(上海)股份有限公司 一种具有高氮化硅选择性的化学机械抛光液
US10377014B2 (en) * 2017-02-28 2019-08-13 Ecolab Usa Inc. Increased wetting of colloidal silica as a polishing slurry
CN109251671B (zh) * 2017-07-13 2021-09-17 安集微电子科技(上海)股份有限公司 一种化学机械抛光液
CN110997856B (zh) * 2017-08-09 2021-10-29 昭和电工材料株式会社 研磨液和研磨方法
US10584266B2 (en) * 2018-03-14 2020-03-10 Cabot Microelectronics Corporation CMP compositions containing polymer complexes and agents for STI applications
EP3774647A4 (en) * 2018-03-28 2022-04-06 FUJIFILM Electronic Materials U.S.A, Inc. CHEMICAL-MECHANICAL POLISHING COMPOSITION FOR RUTHENIUM MATERIALS
US11718767B2 (en) 2018-08-09 2023-08-08 Versum Materials Us, Llc Chemical mechanical planarization composition for polishing oxide materials and method of use thereof
US20200102476A1 (en) * 2018-09-28 2020-04-02 Versum Materials Us, Llc Barrier Slurry Removal Rate Improvement
US10640681B1 (en) * 2018-10-20 2020-05-05 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Chemical mechanical polishing composition and method for tungsten
US11180678B2 (en) * 2018-10-31 2021-11-23 Versum Materials Us, Llc Suppressing SiN removal rates and reducing oxide trench dishing for Shallow Trench Isolation (STI) process
CN109575818A (zh) * 2018-12-28 2019-04-05 天津洙诺科技有限公司 一种低钠抛光液及其制备方法和应用
US11608451B2 (en) 2019-01-30 2023-03-21 Versum Materials Us, Llc Shallow trench isolation (STI) chemical mechanical planarization (CMP) polishing with tunable silicon oxide and silicon nitride removal rates
KR20210148429A (ko) * 2019-04-29 2021-12-07 버슘머트리얼즈 유에스, 엘엘씨 선택적 화학적 기계적 평탄화 연마
KR102337949B1 (ko) * 2019-07-10 2021-12-14 주식회사 케이씨텍 멀티 필름 연마용 cmp 슬러리 조성물 및 그를 이용한 연마 방법
KR20210018607A (ko) * 2019-08-06 2021-02-18 삼성디스플레이 주식회사 연마 슬러리, 이를 이용한 표시 장치의 제조방법 및 표시 장치
US11292938B2 (en) * 2019-09-11 2022-04-05 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Method of selective chemical mechanical polishing cobalt, zirconium oxide, poly-silicon and silicon dioxide films
TWI795674B (zh) * 2019-09-24 2023-03-11 美商慧盛材料美國責任有限公司 阻障物化學機械平坦化(cmp)研磨組合物、系統及其研磨方法
KR20220083728A (ko) * 2019-10-15 2022-06-20 후지필름 일렉트로닉 머티리얼스 유.에스.에이., 아이엔씨. 연마 조성물 및 이의 사용 방법
KR102570805B1 (ko) * 2019-11-01 2023-08-24 삼성에스디아이 주식회사 텅스텐 패턴 웨이퍼 연마용 cmp 슬러리 조성물 및 이를 이용한 텅스텐 패턴 웨이퍼 연마 방법
JP2023504728A (ja) * 2019-12-04 2023-02-06 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー 高い酸化物膜の除去速度のシャロートレンチアイソレーション(sti)化学機械平坦化(cmp)研磨
US11414568B2 (en) * 2020-02-13 2022-08-16 Fujifilm Electronic Materials U.S.A., Inc. Polishing compositions and methods of use thereof
US11680186B2 (en) * 2020-11-06 2023-06-20 Fujifilm Electronic Materials U.S.A., Inc. Polishing compositions and methods of using same
TW202235557A (zh) * 2021-01-26 2022-09-16 美商Cmc材料股份有限公司 用於拋光硼摻雜之多晶矽之組合物及方法
CN115365996A (zh) * 2022-08-23 2022-11-22 福建省南安市宏炜新材料有限公司 N-Si基板的化学机械抛光工艺

Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3922393A (en) * 1974-07-02 1975-11-25 Du Pont Process for polishing silicon and germanium semiconductor materials
JPS6086187A (ja) * 1983-10-17 1985-05-15 Toshiba Ceramics Co Ltd 半導体ウエ−ハ研摩用砥粒
JPH11186202A (ja) * 1997-12-17 1999-07-09 Shin Etsu Handotai Co Ltd 半導体シリコンウエーハ研磨用研磨剤及び研磨方法
JP2000256655A (ja) * 1999-03-04 2000-09-19 Hitachi Chem Co Ltd Cmp研磨剤及び基板の研磨方法
JP2002028850A (ja) * 2000-06-21 2002-01-29 Praxair St Technol Inc 研磨用組成物及び方法
JP2002338232A (ja) * 2001-05-18 2002-11-27 Nippon Chem Ind Co Ltd 二次凝集コロイダルシリカとその製造方法及びそれを用いた研磨剤組成物
JP2004204117A (ja) * 2002-12-26 2004-07-22 Kao Corp 微小うねり低減剤
JP2006520530A (ja) * 2003-02-03 2006-09-07 キャボット マイクロエレクトロニクス コーポレイション ケイ素含有誘電体の研磨方法
US20070049025A1 (en) * 2005-08-24 2007-03-01 Siddiqui Junaid A Chemical-mechanical planarization composition having ketooxime compounds and associated method for use
JP2007153692A (ja) * 2005-12-06 2007-06-21 Catalysts & Chem Ind Co Ltd 異方形状シリカゾルの製造方法
JP2008182213A (ja) * 2006-12-21 2008-08-07 Dupont Air Products Nanomaterials Llc 化学機械研磨の間に銅除去速度に対して低kを調整する方法およびスラリー
WO2016043089A1 (ja) * 2014-09-16 2016-03-24 山口精研工業株式会社 サファイア基板用研磨剤組成物

Family Cites Families (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4169337A (en) * 1978-03-30 1979-10-02 Nalco Chemical Company Process for polishing semi-conductor materials
US4654315A (en) 1985-04-08 1987-03-31 Gte Products Corporation Low dielectric loss silicon nitride based material
US5230833A (en) 1989-06-09 1993-07-27 Nalco Chemical Company Low sodium, low metals silica polishing slurries
US4959113C1 (en) * 1989-07-31 2001-03-13 Rodel Inc Method and composition for polishing metal surfaces
US5104421B1 (en) * 1990-03-23 1993-11-16 Fujimi Abrasives Co.,Ltd. Polishing method of goods and abrasive pad therefor
MY119713A (en) * 1996-08-30 2005-07-29 Showa Denko Kk Abrasive composition for magnetic recording disc substrate
WO2001044395A1 (en) * 1999-12-14 2001-06-21 Rodel Holdings, Inc. Polishing compositions for semiconductor substrates
US20020025762A1 (en) 2000-02-16 2002-02-28 Qiuliang Luo Biocides for polishing slurries
WO2001085868A1 (en) * 2000-05-12 2001-11-15 Nissan Chemical Industries, Ltd. Polishing composition
MY144587A (en) * 2001-06-21 2011-10-14 Kao Corp Polishing composition
JP4003116B2 (ja) * 2001-11-28 2007-11-07 株式会社フジミインコーポレーテッド 磁気ディスク用基板の研磨用組成物及びそれを用いた研磨方法
DE10228116A1 (de) * 2002-06-24 2004-01-29 Sälzle, Erich, Dr. Verfahren zum Polieren von Glasgegenständen
US7553345B2 (en) * 2002-12-26 2009-06-30 Kao Corporation Polishing composition
JP2004253775A (ja) * 2003-01-31 2004-09-09 Nec Electronics Corp 化学機械的研磨方法
JP4206313B2 (ja) * 2003-08-08 2009-01-07 花王株式会社 磁気ディスク用研磨液組成物
US6969555B2 (en) 2003-10-06 2005-11-29 General Electric Company Aluminate coating for a silicon containing substrate
US7022255B2 (en) * 2003-10-10 2006-04-04 Dupont Air Products Nanomaterials Llc Chemical-mechanical planarization composition with nitrogen containing polymer and method for use
US7153335B2 (en) * 2003-10-10 2006-12-26 Dupont Air Products Nanomaterials Llc Tunable composition and method for chemical-mechanical planarization with aspartic acid/tolyltriazole
US7514363B2 (en) * 2003-10-23 2009-04-07 Dupont Air Products Nanomaterials Llc Chemical-mechanical planarization composition having benzenesulfonic acid and per-compound oxidizing agents, and associated method for use
TWI288046B (en) * 2003-11-14 2007-10-11 Showa Denko Kk Polishing composition and polishing method
JP4342918B2 (ja) * 2003-11-28 2009-10-14 株式会社東芝 研磨布および半導体装置の製造方法
US7223697B2 (en) * 2004-07-23 2007-05-29 International Business Machines Corporation Chemical mechanical polishing method
US20070037892A1 (en) 2004-09-08 2007-02-15 Irina Belov Aqueous slurry containing metallate-modified silica particles
US7988878B2 (en) * 2004-09-29 2011-08-02 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Selective barrier slurry for chemical mechanical polishing
US8038752B2 (en) * 2004-10-27 2011-10-18 Cabot Microelectronics Corporation Metal ion-containing CMP composition and method for using the same
US8592314B2 (en) * 2005-01-24 2013-11-26 Showa Denko K.K. Polishing composition and polishing method
US7678702B2 (en) * 2005-08-31 2010-03-16 Air Products And Chemicals, Inc. CMP composition of boron surface-modified abrasive and nitro-substituted sulfonic acid and method of use
TW200734436A (en) 2006-01-30 2007-09-16 Fujifilm Corp Metal-polishing liquid and chemical mechanical polishing method using the same
US20070176142A1 (en) 2006-01-31 2007-08-02 Fujifilm Corporation Metal- polishing liquid and chemical-mechanical polishing method using the same
JP4990543B2 (ja) * 2006-03-23 2012-08-01 富士フイルム株式会社 金属用研磨液
US8591763B2 (en) * 2006-03-23 2013-11-26 Cabot Microelectronics Corporation Halide anions for metal removal rate control
US8163049B2 (en) * 2006-04-18 2012-04-24 Dupont Air Products Nanomaterials Llc Fluoride-modified silica sols for chemical mechanical planarization
CN101220255B (zh) * 2007-01-11 2010-06-30 长兴开发科技股份有限公司 化学机械研磨浆液与化学机械平坦化方法
JP2008280229A (ja) 2007-04-13 2008-11-20 Hitachi Chem Co Ltd 表面修飾二酸化ケイ素粒子の製造法及び研磨液
US7915071B2 (en) * 2007-08-30 2011-03-29 Dupont Air Products Nanomaterials, Llc Method for chemical mechanical planarization of chalcogenide materials
JP5533889B2 (ja) * 2010-02-15 2014-06-25 日立化成株式会社 Cmp研磨液及び研磨方法
CN101831244A (zh) * 2010-05-10 2010-09-15 上海高纳粉体技术有限公司 高精度氧化铝抛光液及其制备方法
US8288283B2 (en) * 2010-12-07 2012-10-16 Texas Instruments Incorporated Aluminum enhanced palladium CMP process
JP5612235B2 (ja) * 2012-08-29 2014-10-22 Hoya株式会社 磁気ディスク用ガラス基板、磁気ディスク
US9607647B2 (en) * 2012-09-28 2017-03-28 Hoya Corporation Magnetic-disk glass substrate and magnetic disk
KR20160009644A (ko) * 2013-05-15 2016-01-26 바스프 에스이 적어도 하나의 iii-v 재료를 포함하는 물질 또는 층을 연마하기 위한 cmp 조성물의 용도
US10144850B2 (en) * 2015-09-25 2018-12-04 Versum Materials Us, Llc Stop-on silicon containing layer additive

Patent Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3922393A (en) * 1974-07-02 1975-11-25 Du Pont Process for polishing silicon and germanium semiconductor materials
JPS6086187A (ja) * 1983-10-17 1985-05-15 Toshiba Ceramics Co Ltd 半導体ウエ−ハ研摩用砥粒
JPH11186202A (ja) * 1997-12-17 1999-07-09 Shin Etsu Handotai Co Ltd 半導体シリコンウエーハ研磨用研磨剤及び研磨方法
JP2000256655A (ja) * 1999-03-04 2000-09-19 Hitachi Chem Co Ltd Cmp研磨剤及び基板の研磨方法
JP2002028850A (ja) * 2000-06-21 2002-01-29 Praxair St Technol Inc 研磨用組成物及び方法
JP2002338232A (ja) * 2001-05-18 2002-11-27 Nippon Chem Ind Co Ltd 二次凝集コロイダルシリカとその製造方法及びそれを用いた研磨剤組成物
JP2004204117A (ja) * 2002-12-26 2004-07-22 Kao Corp 微小うねり低減剤
JP2006520530A (ja) * 2003-02-03 2006-09-07 キャボット マイクロエレクトロニクス コーポレイション ケイ素含有誘電体の研磨方法
US20070049025A1 (en) * 2005-08-24 2007-03-01 Siddiqui Junaid A Chemical-mechanical planarization composition having ketooxime compounds and associated method for use
JP2007153692A (ja) * 2005-12-06 2007-06-21 Catalysts & Chem Ind Co Ltd 異方形状シリカゾルの製造方法
JP2008182213A (ja) * 2006-12-21 2008-08-07 Dupont Air Products Nanomaterials Llc 化学機械研磨の間に銅除去速度に対して低kを調整する方法およびスラリー
WO2016043089A1 (ja) * 2014-09-16 2016-03-24 山口精研工業株式会社 サファイア基板用研磨剤組成物

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2019143119A (ja) * 2017-12-14 2019-08-29 ローム アンド ハース エレクトロニック マテリアルズ シーエムピー ホウルディングス インコーポレイテッド ポリシリコン研磨用の低ディッシングシリカ粒子の水性組成物
JP2020065051A (ja) * 2018-09-26 2020-04-23 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー シャロートレンチアイソレーション(sti)の化学機械平坦化研磨(cmp)において酸化物/窒化物選択性を高め、酸化物トレンチのディッシングを低く均一化する方法
WO2022168860A1 (ja) * 2021-02-04 2022-08-11 株式会社フジミインコーポレーテッド 研磨用組成物

Also Published As

Publication number Publication date
SG10201607960VA (en) 2017-04-27
TW201738341A (zh) 2017-11-01
KR101954386B1 (ko) 2019-03-05
US20190062598A1 (en) 2019-02-28
TW201714993A (zh) 2017-05-01
EP3153558A1 (en) 2017-04-12
JP2019049008A (ja) 2019-03-28
CN106566412A (zh) 2017-04-19
US10144850B2 (en) 2018-12-04
EP3153558B1 (en) 2018-03-21
US20170088748A1 (en) 2017-03-30
IL248020A0 (en) 2016-11-30
JP6480394B2 (ja) 2019-03-06
IL248020B (en) 2021-09-30
KR20170039574A (ko) 2017-04-11
TWI596175B (zh) 2017-08-21
CN106566412B (zh) 2022-09-06

Similar Documents

Publication Publication Date Title
JP6480394B2 (ja) ストップ‐オンシリコンコーティング層添加剤
JP6480381B2 (ja) セリア被覆シリカ研磨剤を使用したバリア化学機械平坦化スラリー
KR101954380B1 (ko) 배리어 화학적 기계적 평탄화용 첨가제
TWI507492B (zh) 化學機械硏磨漿組合物及使用其於銅及穿矽通孔應用的方法
JP4075985B2 (ja) 研磨用組成物およびそれを用いた研磨方法
TWI677570B (zh) 阻絕物的化學機械平坦化組合物
TWI478227B (zh) 用於基板之化學機械研磨之方法
US20050076579A1 (en) Bicine/tricine containing composition and method for chemical-mechanical planarization
US7316977B2 (en) Chemical-mechanical planarization composition having ketooxime compounds and associated method for use
US7678702B2 (en) CMP composition of boron surface-modified abrasive and nitro-substituted sulfonic acid and method of use
JP5613283B2 (ja) 研磨スラリー組成物
TWI798163B (zh) 一種鹼性化學機械拋光液

Legal Events

Date Code Title Description
A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20170721

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20170804

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20171225

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20180123

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20180420

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20180713

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20180731

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20181130

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20181207

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20190108

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20190207

R150 Certificate of patent or registration of utility model

Ref document number: 6480394

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250