TW201530770A - 半導體結構及其製造方法 - Google Patents

半導體結構及其製造方法 Download PDF

Info

Publication number
TW201530770A
TW201530770A TW103114346A TW103114346A TW201530770A TW 201530770 A TW201530770 A TW 201530770A TW 103114346 A TW103114346 A TW 103114346A TW 103114346 A TW103114346 A TW 103114346A TW 201530770 A TW201530770 A TW 201530770A
Authority
TW
Taiwan
Prior art keywords
dielectric layer
phase
layer
dielectric
semiconductor structure
Prior art date
Application number
TW103114346A
Other languages
English (en)
Other versions
TWI556445B (zh
Inventor
Su-Horng Lin
Lin-Jung Wu
Original Assignee
Taiwan Semiconductor Mfg
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Mfg filed Critical Taiwan Semiconductor Mfg
Publication of TW201530770A publication Critical patent/TW201530770A/zh
Application granted granted Critical
Publication of TWI556445B publication Critical patent/TWI556445B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02356Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment to change the morphology of the insulating layer, e.g. transformation of an amorphous layer into a crystalline layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/268Bombardment with radiation with high-energy radiation using electromagnetic radiation, e.g. laser radiation
    • H01L21/2686Bombardment with radiation with high-energy radiation using electromagnetic radiation, e.g. laser radiation using incoherent radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28176Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation with a treatment, e.g. annealing, after the formation of the definitive gate conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28185Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation with a treatment, e.g. annealing, after the formation of the gate insulator and before the formation of the definitive gate conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42364Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the insulating layer, e.g. thickness or uniformity
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Optics & Photonics (AREA)
  • Electromagnetism (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)

Abstract

本揭露的某些實施例提供一種半導體結構。該半導體結構包括一基板、一置於該基板之上之高介電係數介電層、及一在該高介電係數介電層之上之閘極層。該高介電係數介電層係部分地結晶且包含一自約10埃至約30埃之間的平均厚度。本揭露的某些實施例提供一種用於製造一半導體結構之方法。該方法包含(i)形成一具有一自約10埃至約30埃之間的厚度之高介電係數介電層於一基板之上、(ii)形成一閘極層於該介電層之上、及(iii)藉由微波照射將該介電層之至少一部分自一第一相轉換成一第二相。

Description

半導體結構及其製造方法
本揭露係關於半導體結構及其製造方法。
半導體積體電路(IC)產業經歷了快速的成長。在IC材料與設計方面的技術進展使得每一世代的IC都比前一世代具有更小且更複雜的電路。這些進展增加了處理以及製造IC的複雜度,且IC的處理及製造也需要相似的發展以實現前述技術進展。
在IC演變的過程中,功能密度(functional density,也就是每單位晶片面積內之互連裝置的數目)一般是增加的,而幾何大小(geometry size,也就是一製程所能產生的最小的元件(或線))是減少的。由於遞減尺度會增加生產效率以及降低相關聯的成本,這個過程一般來說會提供益處。然而,此遞減過程也對生產及處理具有閘極堆疊的IC裝置產生嚴峻的挑戰,例如在控制閘極漏電的同時減少氧化物厚度。
據此,需要一種處理前述問題的製造一半導體裝置的方法。
10‧‧‧半導體電晶體結構
100‧‧‧基板
101‧‧‧高介電係數介電層
101A‧‧‧部分
101B‧‧‧部分
101C‧‧‧部分
103‧‧‧閘極層
103A‧‧‧功函數金屬層
103B‧‧‧閘極填充金屬層
105‧‧‧側壁間隔件
107‧‧‧界面層
109‧‧‧硬遮罩
110‧‧‧晶圓支撐件
113‧‧‧半導體鰭
115‧‧‧層間介電質
117‧‧‧微波
20‧‧‧半導體電晶體結構
30‧‧‧半導體電晶體結構
40‧‧‧半導體電晶體結構
50‧‧‧半導體堆疊
60‧‧‧半導體堆疊
70‧‧‧半導體堆疊
601‧‧‧操作
603‧‧‧操作
605‧‧‧操作
605'‧‧‧操作
701‧‧‧操作
703‧‧‧操作
705‧‧‧操作
707‧‧‧操作
707'‧‧‧操作
T‧‧‧厚度
本揭露之特徵可由下列說明書內容以及相應圖示充分表達。然而本揭露所屬技術領域中具有通常知識者應瞭解,如業界的一般做法,本揭露圖式上某些特徵並無按照相對比例繪製。事實上,本揭露圖式上某些特徵之尺寸會任意放大或縮小,以達到清楚的說明效果。
圖1及圖2所示為根據本揭露的某些實施例之一具有部分地結晶的閘極介電層之半導體結構的剖面圖。
圖3及圖4所示為根據本揭露的某些實施例之一具有一金屬閘極以及部分地結晶的閘極介電層之半導體結構的剖面圖。
圖5顯示晶相轉換溫度與不同介電質厚度之間的關係。
圖6所示為根據本揭露的某些實施例之一用於製造一具有部分地結晶的閘極介電層之半導體結構的方法之操作。
圖7所示為根據本揭露的某些實施例之一用於製造一具有部分地結晶的閘極介電層之半導體結構的方法之操作。
圖8至圖12所示為根據本揭露的某些實施例之一用於製造一具有部分地結晶的閘極介電層之半導體結構的方法之操作的剖面圖。
圖13揭示根據本揭露的某些實施例之在一晶相轉換操作的過程中之微波頻率及功率條件。
圖14揭示根據本揭露的某些實施例之在一晶相轉換操作的過程中之微波頻率及功率條件。
圖15揭示根據本揭露的某些實施例之在一晶相轉換操作的過程中之微波頻率及功率條件。
本揭露的某些實施例提供一種半導體結構。該半導體結構包括一基板、一置於該基板之上之高介電係數介電層、及一在該高介電係數介電層之上之閘極層。該高介電係數介電層係部分地結晶的且包含一自約10埃(Å)至約30埃之間的平均厚度。
本揭露的某些實施例提供一種用於製造一半導體結構之方法。該方法包含(i)形成一具有一自約10埃至約30埃之間的厚度之介電層於一基板之上、(ii)形成一閘極層於該介電層之上、及(iii)藉由微波照射及熱處理將該介電層之一部分自一第一相轉換成一第二相。
本揭露的某些實施例提供一種用於製造一半導體結構之方法。該方法包含(i)形成一具有一自約10埃至約30埃之間的厚度之高介電係數介電層於一基板之上、(ii)形成一閘極層於該介電層之上、及(iii)藉由微波照射將該介電層之至少一部分自一第一相轉換成一第二相。
以下揭露內容提供實施本發明標的物不同特徵的不同實施例或範例。以下特定的元件示例以及組合方法係用以簡化本揭露,該些特 定的元件示例以及組合方法僅為範例,而本揭露的範圍並不局限於此。舉例而言,形成一第一特徵於一第二特徵之上之敘述可包含該第一特徵與該第二特徵直接接觸之實施例,或可包含該第一特徵與該第二特徵之間存在其它特徵,因此該第一特徵與該第二特徵並無直接接觸之實施例。再者,本揭露可重複使用元件標號/文字符號於不同的實施例中。該重複使用之目的在於簡化與明確敘述內容,而不具決定不同實施例中特定元件或組合的關係。
進一步而言,空間上之相對用語,例如「在…下方」、「之下」、「低於」、「之上」、「上方的」,及其同類用語可出現於本揭露中,係用以描述圖式中一元件或特徵與另一元件或另一特徵的相對關係。空間上之相對用語係用以涵蓋元件於使用時在圖式描繪之外的不同方位或角度。元件或裝置可以不同方位配置(旋轉90度或其它角度),而本揭露描述空間相對關係之用語亦可以相應地被解釋。
定義
在此處,一「基板」指的是:一基本的(elementary)半導體,其包括呈結晶結構、多晶結構或非晶結構的矽或鍺;一化合物半導體,其包括碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦、及/或銻化銦;一合金半導體,其包括矽化鍺(SiGe)、磷砷化鎵(GaAsP)、砷化鋁銦(AlInAs)、砷化鋁鎵(AlGaAs)、砷化銦鎵(GaInAs)、磷化銦鎵(GaInP)、及/或砷磷化銦鎵(GaInAsP);其他合適的材料;及/或其組合。在本揭露中,一「基板」亦包括一具有一矽化鍺梯度特徵之合金半導體基板,其中矽及鍺的成分會在一處從一個比例變化成在該矽化鍺梯度特徵之另一處的另一個比例。在本揭露中的一「基板」亦包括一形成於一矽基板上之受應力的矽化鍺。此外,在本揭露中的「基板」可為一在絕緣體上的半導體,例如一絕緣層上矽(silicon on insulator,縮寫為SOI),或一薄膜電晶體(TFT)。
此處所謂的「k值」指的是一材料在室溫下於1千赫茲(kHz)的頻率之相對介電係數。一材料在上述條件下的相對介電係數是一比值,該比值是由經施加的電壓而儲存於一材料中的電能量相對於儲存於真空中的能量之比。換句話說,那也是一使用該材料作為介電質的電容器的一電 容值相對於使用真空作為介電質的相似電容器的一電容值之比。在上述條件下,二氧化矽的k值為3.9。本揭露中所謂的「高介電係數(高k值)」及/或「較高的介電係數(較高的k值)」指的是大於3.9的k值。同樣地,本揭露中所謂的「低介電係數(低k值)」及/或「較低的介電係數(較低的k值)」指的是小於或等於3.9的k值。
此處所謂的「高介電係數介電層(高k介電層)」指的是一具有一高介電係數之介電層,包括氧化鉿(HfO2)、氧化鉿矽(HfSiO)、氮氧化鉿矽(HfSiON)、氧化鉿鉭(HfTaO)、氧化鉿鈦(HfTiO)、氧化鉿鋯(HfZrO)、金屬氧化物、金屬氮化物、金屬矽酸鹽、過渡金屬氧化物、過渡金屬氮化物、過渡金屬矽酸鹽、金屬氮氧化物、金屬鋁酸鹽、鋯矽酸鹽、鋯鋁酸鹽、氧化矽、氮化矽、氮氧化矽、氧化鋯、氧化鈦、氧化鋁、二氧化鉿-氧化鋁(HfO2-Al2O3)合金、其他合適的高介電係數介電材料、及/或其組合。
此處所謂的「閘極層」指的是一由介電材料組成的閘極,例如含矽材料,其包括:多晶矽、氮化矽、氮氧化矽、及碳化矽;含鍺材料;其他合適的介電材料;及/或其組合。在本揭露中,一「閘極層」亦指由一導電材料所組成的閘極,例如鋁、銅、鎢、鈦、鉭、氮化鈦、氮化鉭、矽化鎳、矽化鈷、碳化鉭(TaC)、氮化矽鉭(TaSiN)、氮化鉭碳(TaCN)、鋁化鈦(TiAl)、氮化鈦鋁(TiAlN)、其他合適材料、及/或其組合。
隨著電晶體技術從40奈米製程縮小到28奈米製程,對閘極介電質的要求也變得更嚴格以維持等效氧化物厚度(EOT)並防止會造成高功耗並降低器件可靠性的漏電流。舉例來說,吾人皆知k值為25的二氧化鉿(HfO2)可取代二氧化矽以作為下一世代器件的閘極介電質。然而,當電晶體進一步從28奈米製程縮小到20奈米或是16奈米,對更高k值的要求依然存在。改變一介電材料的晶相(crystal phase)可實質地增加或減少其k值,而不用尋找其他更高k值的介電材料。例如,一非晶的HfO2之k值約為20,而一立方晶的HfO2之k值約為26且一立方晶的HfO2之k值約為29。由此觀之,吾人可在不改變基本材料而是在誘發介電材料的晶相改變的情況下而有效地增加閘極介電質的k值。
剛沉積的HfO2可呈一非晶相。可執行一退火(annealing)操作以誘發該非晶的HfO2之晶形重排並因此增加其結晶度。舉例來說,一層45埃的HfO2可藉由在攝氏750度施加一退火操作而從一非晶相轉換成一多晶相。下一世代的器件可能需要在攝氏1200度至1300度之間的熱處理,而一通常的閘極堆疊只能承受超過攝氏800度僅數毫秒(milliseconds)。從一微觀角度與一宏觀角度來看,退火操作均造成高熱預算(thermal budget)的負擔。從微觀上來說,加熱操作(也就是熱能量過程)在閘極堆疊間產生層間擴散(interlayer diffusion),尤其是當一金屬閘極結構與高介電係數閘極介電質共存時。當製造具有高k-閘極堆疊的元件時,在提供足夠能量以供該閘極堆疊的諸疊層進行退火以及預防層間擴散之間會產生一取捨。從宏觀上來說,由於施加高熱,不同材料間不匹配的熱膨脹係數(CTE)會誘發應力而使晶圓凹陷的問題惡化。隨著晶圓尺寸的增加,例如,會使用於次世代技術的450毫米晶圓,晶圓凹陷的問題更形顯著。
總的來說,藉由加熱誘發晶相轉換會產生可預期的晶圓凹陷以及層間擴散問題。本揭露的某些實施例提供一選擇性的加熱操作,其中只有半導體結構的一預定層會被加熱,且其能量足以在該預定層中產生相轉換。在某些實施例中,一具有特定範圍頻率的微波輻射被施加至半導體結構。該特定的頻率範圍經選擇以導致該半導體結構中的極性(polar)材料(也就是介電材料)的分子鍵之震動及/或旋轉。
在本揭露的某些實施例中,一微波輻射處理被引入至半導體元件的處理流程中且被施加至半導體元件的閘極堆疊。藉由施加一微波輻射處理,電磁波使一目標材料的分子震盪,使該目標材料的全部體積從內部開始均勻地加熱(也就是容積式(volumetrically)加熱)。微波能量的吸收係高度地相依於該材料的固有特性(例如,一介電損耗因子,a dielectric loss factor)。當被調諧至一特定的微波頻率時,微波能量只會在該閘極堆疊的目標層被吸收,而在此時該閘極堆疊中的其他層保持不受影響。
圖1所示為根據本揭露的某些實施例之一半導體電晶體結構10之一閘極部分。該半導體電晶體結構10包含一基板100、一具有一自約10埃(Å)至約30埃的厚度T之高介電係數介電層101、及一置於該高 介電係數介電層101上之閘極層103。一非必要的側壁間隔件105可圍繞該閘極堆疊(即,包括至少該高介電係數介電層101及該閘極層103)。由圖1可知,該高介電係數介電層101之數個部分(101A、101B、101C)係結晶的,而該高介電係數介電層101之其他部分係非晶的或是具有某些不構成結晶結構的原子短程秩序排列(short range orders)。在某些實施例中,該等結晶的部分之每一者(101A、101B、101C)可為單晶的或多晶的,且該結晶的部分所佔據之區域可自該高介電係數介電層101之一頂部表面延伸至一底部表面(例如部分101A)、位於該高介電係數介電層101的中間(例如部分101B)、或僅與該高介電係數介電層101之一個表面連接(例如部分101C)。結晶部分(101A、101B、101C)的形狀可為不規則的且每一結晶部分彼此不具相關性。
圖2所示為根據本揭露的某些實施例之一半導體電晶體結構20之一閘極部分。圖2中使用與圖1之元件相同的元件符號之元件指的是相同的元件或是其等效元件且為簡潔起見而不在此重述。在圖2中,一界面層107位於該高介電係數介電層101與該基板100之間。在某些實施例中該界面層107係該基板100之原生氧化層(native oxide)。在其他實施例中,該界面層107係一沉積的氧化物層,其具有少量電子缺陷且與該基板100形成良好的界面。在某些實施例中,該界面層107可包括一經生長的SiO2層及/或進一步包括SiON。然而,只要形成於該基板100與該高介電係數介電層101之間的界面不會使該器件之一正常電性效能惡化,該界面層107就可被省略。
由圖2可知,結晶部分(101A、101B、101C)可在該高介電係數介電層101中被發現而不是在該界面層107。儘管在某些實施例中該高介電係數介電層101與該界面層107皆由絕緣體所組成,該高介電係數介電層101與該界面層107之極性不同,且因此該兩層會吸收的能帶(energy bands)不同。換句話說,一特定的微波頻率可選擇性地被該高介電係數介電層101吸收而不被該界面層107吸收,致使選擇性加熱產生在該高介電係數介電層101中。在某些實施例中,該界面層107係非晶的且該高介電係數介電層101係至少部分地結晶的。
在圖2中,該高介電係數介電層101中的結晶部分(101A、101B、101C)可具有多於一種結晶結構。在某些實施例中,部分101A係立方晶的而部分101B及101C係四方晶的。然而,結晶部分(101A、101B、101C)可為相同的結晶結構。在某些實施例中,該高介電係數介電層101可被均勻地結晶化而只具有一單一的四方晶相。
圖3所示為根據本揭露的某些實施例之一具有一金屬閘極之半導體電晶體結構30的一閘極部分。圖3中使用與圖1及圖2之元件相同的元件符號之元件指的是相同的元件或是其等效元件且為簡潔起見而不在此重述。在圖3中,閘極層103係一金屬閘極,其包括至少一閘極填充金屬層103B及一功函數金屬層103A。在某些實施例中,該半導體電晶體結構30係一鰭式場效電晶體(FinFET)金屬閘極結構。圖3中之該閘極層103係位於一半導體鰭113及該基板100上方。在某些實施例中,該閘極層103可進一步包括襯裡層(liner layers)、界面層、晶種層(seed layers)、黏著層、障壁層、或類似的層。例如,若需要針對一P型金氧半電晶體(PMOS)元件之一P型功函數金屬(P金屬),則可使用氮化鈦(TiN)、氮化鎢(WN)、或鎢(W)。另一方面,若需要針對一N型金氧半電晶體(NMOS)元件之一N型功函數金屬(N金屬),則可使用TiAl、TiAlN、或TaCN。在某些實施例中,金屬閘極可包括經摻雜的導電金屬氧化物材料。
一層間介電質(interlayer dielectric,縮寫為ILD)115圍繞金屬閘極且置於該半導體鰭113上。如先前所論及的,儘管該高介電係數介電層101及該層間介電質115皆由絕緣體所組成,該高介電係數介電層101與該層間介電質115之極性不同,且因此那兩個層會吸收的能帶不同。參照圖3,該高介電係數介電層101之一橫向部分係位於該閘極層103與該半導體鰭113之間且該高介電係數介電層101之一縱向部分亦形成於該側壁間隔件105及該閘極層103之間。在某些實施例中,結晶部分(該高介電係數介電層101中帶陰影的部分)可在該高介電係數介電層101的各處中形成。
圖4所示為根據本揭露的某些實施例之一具有一金屬閘極及一FinFET結構之半導體電晶體結構40的一閘極部分。圖4中使用與圖1至圖3之元件相同的元件符號之元件指的是相同的元件或是其等效元件且 為簡潔起見而不在此重述。由於該高介電係數介電層101之結晶部分(該高介電係數介電層101中帶陰影的部分)係由微波選擇性加熱操作而形成,故可防止每層具有數量級為數十埃的厚度的金屬閘極層產生層間擴散。
圖5為一雙對數座標圖(log-log plot),其顯示不同介電質厚度與晶相轉換溫度(此後略稱為「轉換溫度」)之間的一關係。該座標圖中有三條曲線,其分別代表高介電係數介電材料HfO2、Zr0.5Hf0.5O2、及ZrO2之前述關係。如圖5所示,當介電層的厚度大於1000奈米(nm)時,轉換溫度達到一飽和值。在一個例子中,巨觀整體(bulk)ZrO2轉換溫度接近絕對溫度1500度(K),而一20奈米ZrO2層具有一約為室溫(300K)之轉換溫度。在另一個例子中,巨觀整體HfO2轉換溫度接近超過2000K,而一2奈米HfO2層具有一約為室溫之轉換溫度。由該雙對數座標圖可知Zr0.5Hf0.5O2之轉換溫度介於ZrO2曲線以及HfO2曲線之間。
參照圖5,該座標圖的右下角表示處於一低溫之一厚膜。處於這些條件的高介電係數介電材料HfO2、Zr0.5Hf0.5O2、及ZrO2常具有單斜晶結構。與此相對,該座標圖的左上角表示處於一高溫之一薄膜,且處於這些條件的高介電係數介電材料HfO2、Zr0.5Hf0.5O2、及ZrO2具有四方晶結構。由於次世代元件中要求比2奈米薄的一閘極介電質,當施加於高介電係數介電層的溫度上升至約900K(即,攝氏627度)時,一HfO2層可從單斜晶相被轉換成四方晶相,且可預期k值增加45%。
圖6所示為一用於製造一半導體結構之方法的操作程序。該方法包括形成具有一自約10埃至約30埃之間的厚度之一介電層於一基板之上之操作601以及形成一閘極層於該介電層之上之操作603。可在進行形成一閘極層於該介電層之上之操作603的之前或之後進行一繪於一虛線框中的轉換操作605,其藉由微波照射及熱處理將該介電層之一部分自一第一相轉換成一第二相。
在某些實施例中,形成具有一自約10埃至約30埃之間的厚度之一介電層於一基板上之操作601包括一原子層沉積(atomic layer deposition,縮寫為ALD)操作,該ALD操作具有一鉿源脈波及一氧源脈波(各別的例子如HfCl4以及H2O)之次循環以形成一鉿-氧層(比如,HfOx, 其例為HfO2)。該ALD操作可包括一N2載體氣體且可由一或多個沖淨(purge)過程穿插。一沖淨可在該鉿源脈波之後且在引入該氧源脈波之前。一沖淨亦可在該氧源脈波之後,其中自腔室中沖淨反應產物及/或過多的反應物。在某些實施例中,可採用任何合適的數目之一鉿源脈波及一氧源脈波之次循環以形成具有一自約10埃至約30埃之間的厚度之HfO2層。在某些實施例中,由一ALD操作沉積的HfO2層係非晶的。
在某些實施例中,形成一閘極層於該介電層之上之操作603包括形成一多晶矽閘極或一金屬閘極。該閘極層可由習知沉積方式、光微影(photolithography)圖案化、及蝕刻過程、及/或其組合所形成。沉積過程可包括物理氣相沉積(PVD)、化學汽相沉積(CVD)、原子層沉積(ALD)、電漿輔助化學氣相沉積(PECVD)、減壓化學汽相沉積(RPCVD)、有機金屬化學汽相沉積(MOCVD)、濺鍍(sputtering)、鍍覆(plating)、其他合適的方法、及/或其組合。光微影圖案化過程可包括光阻塗佈(如,旋轉塗佈(spin-on coating))、軟烘烤、光罩對準、曝光、曝光後烘烤、使光阻顯影、沖洗、乾燥(如,硬烘烤)、其他適合的程序、及/或其組合。光微影曝光過程亦可由其他適當的方法來實施或替代,例如無罩光微影術、電子束寫入(electron-beam writing)、離子束寫入(ion-beam writing)、及分子印記(molecular imprint)。蝕刻過程可包括乾蝕刻、濕蝕刻、及/或其他蝕刻方法(如,反應式離子蝕刻)。蝕刻過程亦可為純化學的(電漿蝕刻)、純物理的(離子研磨(ion milling))、及/或其組合。
在某些實施例中,金屬閘極可由使用一「前閘極(gate first)」或一「後閘極(gate last)」操作(如,包括一犧牲多晶矽閘極)形成。金屬閘極可由任何合適的製程形成為任何的厚度,例如ALD、CVD、PVD、RPCVD、PECVD、MOCVD、濺鍍、電鍍、其他合適的製程、及/或其組合。
在某些實施例中,藉由微波照射及熱處理將介電層之一部分自一第一相轉換成一第二相之操作605可包括分別的一退火操作及一微波照射或同時施用該退火操作及該微波照射。在某些實施例中,一熱處理可為一常見的加熱爐處理,將整個半導體結構加熱至一低於攝氏650度之溫度。在其他實施例中,一熱處理可為一快速熱退火(rapid thermal anneal, 縮寫為RTA)操作,其發送長度為一毫秒的熱脈衝至該半導體結構。可在2.54GHz的一頻率、2.5KW的一功率、低於10分鐘的一期間施加該微波照射,以選擇性地加熱該半導體結構之介電層。
參見圖6,可在第一形成操作601之後或是第二形成操作603之後執行轉換操作605或605'。然而,在某些實施例中,執行兩個轉換操作605及605'亦屬本揭露所預期的範圍之內。一適合的操作順序可根據該熱處理的溫度而決定。例如,如果一熱處理所達的溫度可能潛在性地導致金屬閘極層間的層間擴散(比如說攝氏1000度),則應在金屬閘極層形成之前採用轉換操作605。據此,如果一熱處理所達的溫度不會在晶圓中產生嚴重的應力或導致金屬閘極層間的層間擴散,則可採用轉換操作605及605'的其中一者。
在圖6中,轉換操作605或605'將介電層自一第一晶相轉換成一第二晶相。在某些實施例中,剛沉積的高介電係數介電層係非晶的,且在熱處理及微波照射之後,該非晶的介電層被轉換成一部分地結晶的相或一完全地結晶的相。吾人瞭解,由退火操作所促進的原子重排導致該非晶的介電層在該熱處理之後可被至少部分地轉換至一第一結晶相,且在該微波照射之後該第一結晶相進一步被轉換成一第二結晶相。例如,一剛沉積的HfO2層係非晶的(k值20),在一攝氏650度的退火操作以後,該非晶的HfO2層之一部分變成單斜晶的(k值約22),且該非晶的HfO2層之另一部分變成立方晶的(k值26)。在之後的一微波照射選擇性地加熱該部分地結晶的HfO2層以後,該HfO2層便被轉換成四方晶的(k值29)。可知,較前的相(如,非晶或單斜晶)之k值低於較後的相(如,立方晶或四方晶)之k值。
圖7所示為一用於製造一半導體結構之方法的操作程序。該方法包括形成一界面層於一基板上之操作701、形成具有一自約10埃至約30埃之間的一厚度之高介電係數介電層於該基板之上之操作703、及形成一閘極層於該介電層之上之操作705。藉由微波照射將該介電層之至少一部分自一第一相轉換成一第二相之操作707及707'可在形成該介電質之後立即執行、可在形成該閘極層之後立即執行、或可在形成兩者之後立即執行。 該高介電係數介電層以及該閘極層之形成已在本揭露中於先前參照圖6時論及,且為簡潔起見不在此重複。
形成一界面層於一基板上之操作701可包括任何合適的程序以及任何合適的厚度。在某些實施例中,該界面層可由快速熱氧化形成。進一步地在某些實施例中,形成該界面層之操作可全部被省略。
圖6與圖7所示的操作之間的一個差異乃是圖6中的操作涉及一熱處理(非選擇性加熱)及一微波照射(選擇性加熱)之轉換操作605及/或605',而圖7中的操作具有一僅涉及微波照射(選擇性加熱)之轉換操作707及/或707'。僅由在特定頻帶的微波照射所提供的能量即可有效地轉換一目標介電材料的晶相。微波照射之操作條件的細節敘述於本揭露的圖13至圖15中。
圖8至圖12所示為一種用於製造一具有部分地結晶的介電層之半導體結構之方法的剖面圖。圖8至圖11展示在形成一多晶矽閘極的過程的期間之微波照射的不同時點。圖12所示為在一金屬閘極上的一微波照射。在圖8中,一界面層107及一高介電係數介電層101係形成於一基板100上,且由微波117照射半導體堆疊50。在某些實施例中,微波117的能量誘發該高介電係數介電層101中的部分結晶化(層101中的陰影部分)。在某些實施例中,該半導體堆疊50係進一步地置於一具有一低於每秒20轉的轉速之晶圓支撐件110上。旋轉該半導體堆疊50進一步提供一均勻的微波照射之效果。在某些實施例中,該半導體堆疊50係置於一靜態的晶圓支撐件110而沒有任何旋轉。在圖9中,可在形成閘極層103之後但在圖案化閘極堆疊之前將微波117照射在一半導體堆疊60上。在圖10中,一硬遮罩109形成於閘極層103上以形成後續的閘極堆疊。
在圖10之後,一半導體閘極堆疊70由合適的蝕刻操作形成且在圖11中微波117照射在一經圖案化的半導體堆疊70。如圖11所示,高介電係數介電層101全部成為一結晶結構(陰影部分)。在圖12中,在形成閘極層103及側壁間隔件105之後施加微波117至一金屬閘極上。吾人瞭解,由於只有高介電係數介電層101吸收微波117並因此容積式地加熱,微波117可在閘極形成過程期間的任何時候施用而不影響其他層。吾人亦瞭 解,由於吸收只會發生在高介電係數介電層101中,且微波117可穿透該半導體結構的其他層材料,其強度不會因為穿透該等材料而衰減,故微波117可自相對於該半導體結構的任何角度照射。
圖13至圖15所示為在一晶相轉換操作過程期間之微波頻率及微波功率的條件。微波頻率可為一固定值或一可變值。微波頻率可以週期性地變化。適於加熱高介電係數介電層的微波頻率應具有一能夠誘發極性材料中鍵結的轉動或震動之特定能量。在某些實施例中,在一約2.5±2.0KW之微波功率範圍的條件下,適於加熱一高介電係數介電層的微波頻率係在一約2.45±2.0GHz之範圍中。
在如圖13所示之本揭露的某些實施例中,微波照射期間係約10分鐘。在這10分鐘的過程間,在一不變的2.5KW功率下微波頻率係維持在一固定值2.45GHz。在如圖14所示之本揭露的某些實施例中,微波照射期間係約5分鐘。在這5分鐘的前半段期間,微波頻率係維持在一固定值1.8GHz,而在這5分鐘的後半段期間,微波頻率被改變以維持在另一固定值2.45GHz。在此同時,微波功率在整個照射過程期間被維持在一固定值2.5KW。在如圖15所示之本揭露的某些實施例中,微波照射期間係約3分鐘。微波頻率以一週期性的方式變化,其具有一0.45GHz的變動幅度,可相應地震盪於一高端2.45GHz以及一低端2.0GHz之間。在此同時,微波功率在整個照射過程期間被維持在一固定值4.0KW。
本揭露的某些實施例提供一種半導體結構。該半導體結構包括一基板、一置於該基板之上之高介電係數介電層、及一在該高介電係數介電層之上之閘極層。該高介電係數介電層係部分地結晶的且包含一自約10埃至約30埃之間的平均厚度。
在本揭露的某些實施例中,該半導體結構進一步包含一介於該基板及該高介電係數介電層之間的界面層。
在本揭露的某些實施例中,該界面層係非晶的。
在本揭露的某些實施例中,該半導體結構之部分地結晶的該高介電係數介電層包含單斜晶系、立方晶系、或四方晶系結構。
在本揭露的某些實施例中,該半導體結構之該高介電係數 介電層包含以下之至少一者:氧化鉿(HfOx)、氧化鋯(ZrOx)、氧化鑭(LaOx)、氧化鈦(TiOx)、氧化鉭(TaOx)、氧化鋁(AlOx)、及其組合。
在本揭露的某些實施例中,該半導體結構之該閘極層包含經摻雜的半導體材料、金屬氮化物、或金屬。
本揭露的某些實施例提供一種用於製造一半導體結構之方法。該方法包含(i)形成一具有一自約10埃至約30埃之間的厚度之介電層於一基板之上、(ii)形成一閘極層於該介電層之上、及(iii)藉由微波照射及熱處理將該介電層之一部分自一第一相轉換成一第二相。
在本揭露的某些實施例中,該方法之該(i)形成該具有一自約10埃至約30埃之間的厚度之介電層於該基板之上之步驟包含沉積一非晶的高介電係數材料於該基板之上。
在本揭露的某些實施例中,該(ii)形成該閘極層於該介電層之上之步驟包含形成一多晶矽閘極或一金屬閘極。
在本揭露的某些實施例中,該(iii)將該介電層之一部分自一第一相轉換成一第二相之步驟包含將該介電層自一非晶相轉換成一結晶相。
在本揭露的某些實施例中,該(iii)將該介電層之一部分自一第一相轉換成一第二相之步驟包含將該介電層自一單斜晶相轉換成一四方晶相。
在本揭露的某些實施例中,該(iii)將該介電層之一部分自一第一相轉換成一第二相之步驟係在該(ii)形成該閘極層於該介電層之上之步驟之前被執行。
在本揭露的某些實施例中,該(iii)藉由微波照射及熱處理將該介電層之一部分自一第一相轉換成一第二相之步驟包含於攝氏650度以下執行所述操作。
本揭露的某些實施例提供一種用於製造一半導體結構之方法。該方法包含(i)形成一具有一自約10埃至約30埃之間的厚度之高介電係數介電層於一基板之上、(ii)形成一閘極層於該介電層之上、及(iii)藉由微波照射將該介電層之至少一部分自一第一相轉換成一第二相。
在本揭露的某些實施例中,該方法進一步包含在該(i)形成該具有一自約10埃至約30埃之間的厚度之高介電係數介電層之步驟之前形成一界面層於該基板之上。
在本揭露的某些實施例中,該(iii)將該介電層之至少一部分自一第一相轉換成一第二相之步驟係執行於:(a)形成該高介電係數介電層與形成該閘極層之間、(b)形成一閘極層之後、(c)形成該界面層及該高介電係數介電層之後、或(d)形成該界面層、該高介電係數介電層及該閘極層之後。
在本揭露的某些實施例中,該(iii)將該介電層之至少一部分自一第一相轉換成一第二相之步驟包含施用具有一固定頻率的微波或具有變動頻率的微波。
在本揭露的某些實施例中,該施加的微波之該固定頻率係約2.45GHz,且該施加的微波之該等變動頻率係在一約2.45±2.0GHz之範圍中。
在本揭露的某些實施例中,該施加的微波之功率係在一約2.5±2.0KW之範圍中。
在本揭露的某些實施例中,該(iii)藉由微波照射將該介電層之至少一部分自一第一相轉換成一第二相之步驟包含將該介電層自一較低介電係數的相轉換成一較高介電係數的相。
本揭露之技術內容及技術特點已揭示如上,然而本揭露所屬技術領域中具有通常知識者應瞭解在不背離後附申請專利範圍所界定之本揭露精神和範圍內,本揭露之教示及揭示可作種種之替換及修飾。例如,上文揭示之許多裝置或結構可以不同之方法實施或以其它結構予以取代,或者採用上述二種方式之組合。
10‧‧‧半導體電晶體結構
100‧‧‧基板
101‧‧‧高介電係數介電層
101A‧‧‧部分
101B‧‧‧部分
101C‧‧‧部分
103‧‧‧閘極層
105‧‧‧側壁間隔件
T‧‧‧厚度

Claims (10)

  1. 一種半導體結構,其包含:一基板;一高介電係數介電層,其在該基板之上;及一閘極層,其在該高介電係數介電層之上;其中該高介電係數介電層係部分地結晶的且包含一自約10埃(Å)至約30埃之間的平均厚度。
  2. 如請求項1之半導體結構,其中部分地結晶的該高介電係數介電層包含單斜晶系、立方晶系、或四方晶系結構。
  3. 如請求項1之半導體結構,其中該高介電係數介電層包含以下之至少一者:氧化鉿(HfOx)、氧化鋯(ZrOx)、氧化鑭(LaOx)、氧化鈦(TiOx)、氧化鉭(TaOx)、氧化鋁(AlOx)、及其組合。
  4. 一種用於製造一半導體結構之方法,該方法包含:形成一具有一自約10埃至約30埃之間的厚度之介電層於一基板之上;形成一閘極層於該介電層之上;及藉由微波照射及熱處理將該介電層之一部分自一第一相轉換成一第二相。
  5. 如請求項4之用於製造一半導體結構之方法,其中該形成該具有一自約10埃至約30埃之間的厚度之介電層於該基板之上之步驟包含沉積一非晶的高介電係數材料於該基板之上。
  6. 如請求項4之用於製造一半導體結構之方法,其中該將該介電層之一部分自一第一相轉換成一第二相之步驟包含將該介電層自一非晶相轉換成一結晶相。
  7. 如請求項4之用於製造一半導體結構之方法,其中該將該介電層之一部分自一第一相轉換成一第二相之步驟包含將該介電層自一單斜晶相轉換成一四方晶相。
  8. 如請求項4之用於製造一半導體結構之方法,其中該將該介 電層之一部分自一第一相轉換成一第二相之步驟係在該形成該閘極層於該介電層之上之步驟之前被執行。
  9. 如請求項4之用於製造一半導體結構之方法,其中該將該介電層之至少一部分自一第一相轉換成一第二相之步驟包含施用具有一固定頻率的微波或具有變動頻率的微波。
  10. 如請求項4之用於製造一半導體結構之方法,其中該藉由微波照射將該介電層之至少一部分自一第一相轉換成一第二相之步驟包含將該介電層自一較低介電係數的相轉換成一較高介電係數的相。
TW103114346A 2014-01-17 2014-04-21 半導體結構及其製造方法 TWI556445B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US14/158,368 US9331168B2 (en) 2014-01-17 2014-01-17 Semiconductor structure and manufacuturing method of the same

Publications (2)

Publication Number Publication Date
TW201530770A true TW201530770A (zh) 2015-08-01
TWI556445B TWI556445B (zh) 2016-11-01

Family

ID=53497607

Family Applications (1)

Application Number Title Priority Date Filing Date
TW103114346A TWI556445B (zh) 2014-01-17 2014-04-21 半導體結構及其製造方法

Country Status (3)

Country Link
US (1) US9331168B2 (zh)
DE (1) DE102014019363B4 (zh)
TW (1) TWI556445B (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108962898A (zh) * 2017-05-19 2018-12-07 瑞萨电子株式会社 制造半导体器件的方法
TWI808943B (zh) * 2016-07-19 2023-07-21 美商應用材料股份有限公司 薄膜電晶體結構、對應之裝置結構及用以複合膜層之方法

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9048284B2 (en) 2012-06-28 2015-06-02 Skyworks Solutions, Inc. Integrated RF front end system
US9761700B2 (en) * 2012-06-28 2017-09-12 Skyworks Solutions, Inc. Bipolar transistor on high-resistivity substrate
US20130127174A1 (en) * 2013-01-15 2013-05-23 Duncan G. Cumming Method for Generating Tidal Energy Utilizing the Scalar Gravitational Potential of Celestial Bodies
US10056462B2 (en) * 2014-08-13 2018-08-21 Taiwan Semiconductor Manufacturing Company Ltd. Metal gate structure and manufacturing method thereof
US9741720B1 (en) * 2016-07-26 2017-08-22 Globalfoundries Inc. Higher ‘K’ gate dielectric cap for replacement metal gate (RMG) FINFET devices
CN109087943A (zh) * 2017-06-13 2018-12-25 联华电子股份有限公司 隧穿场效晶体管结构与其制作方法
US10741678B2 (en) 2017-10-30 2020-08-11 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
KR20210142345A (ko) * 2020-05-18 2021-11-25 에스케이하이닉스 주식회사 반도체 장치

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6573197B2 (en) * 2001-04-12 2003-06-03 International Business Machines Corporation Thermally stable poly-Si/high dielectric constant material interfaces
US6790755B2 (en) 2001-12-27 2004-09-14 Advanced Micro Devices, Inc. Preparation of stack high-K gate dielectrics with nitrided layer
JP3588607B2 (ja) * 2002-03-29 2004-11-17 株式会社東芝 電界効果トランジスタ
DE10216614B4 (de) 2002-04-15 2004-06-17 Infineon Technologies Ag Verfahren zur Verstärkung einer dielektrischen Schicht auf einem Halbleitersubstrat an Fehlstellen und Anordnung mit einer verstärkten dielektrischen Schicht
DE60321271D1 (de) * 2002-06-10 2008-07-10 Imec Inter Uni Micro Electr Transistoren und Speicherkondensatoren enthaltend eine HfO2-Zusammensetzung mit erhöhter Dielektrizitätskonstante
US20040121566A1 (en) * 2002-12-23 2004-06-24 Infineon Technologies North America Corp Method to produce low leakage high K materials in thin film form
KR100607178B1 (ko) * 2004-01-14 2006-08-01 삼성전자주식회사 불균일하게 분포된 결정 영역을 갖는 유전막을 포함하는캐패시터 및 그 제조 방법
US20050224897A1 (en) * 2004-03-26 2005-10-13 Taiwan Semiconductor Manufacturing Co., Ltd. High-K gate dielectric stack with buffer layer to improve threshold voltage characteristics
US7163877B2 (en) 2004-08-18 2007-01-16 Tokyo Electron Limited Method and system for modifying a gate dielectric stack containing a high-k layer using plasma processing
US7564108B2 (en) * 2004-12-20 2009-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Nitrogen treatment to improve high-k gate dielectrics
US8735243B2 (en) * 2007-08-06 2014-05-27 International Business Machines Corporation FET device with stabilized threshold modifying material
WO2009133515A1 (en) * 2008-05-02 2009-11-05 Nxp B.V. Gate structure for field effect transistor
EP2244306B1 (en) * 2009-04-22 2014-05-14 Taiwan Semiconductor Manufacturing Co., Ltd. A memory cell, an array, and a method for manufacturing a memory cell
US8952462B2 (en) * 2010-02-05 2015-02-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus of forming a gate
US8476155B1 (en) * 2010-07-14 2013-07-02 Samsung Electronics Co., Ltd. Formation of a high-K crystalline dielectric composition
US8481389B2 (en) * 2011-04-05 2013-07-09 International Business Machines Corporation Method of removing high-K dielectric layer on sidewalls of gate structure
TW201248735A (en) * 2011-05-30 2012-12-01 United Microelectronics Corp Method for fabricating semiconductor device

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI808943B (zh) * 2016-07-19 2023-07-21 美商應用材料股份有限公司 薄膜電晶體結構、對應之裝置結構及用以複合膜層之方法
US11894396B2 (en) 2016-07-19 2024-02-06 Applied Materials, Inc. High-K dielectric materials comprising zirconium oxide utilized in display devices
CN108962898A (zh) * 2017-05-19 2018-12-07 瑞萨电子株式会社 制造半导体器件的方法
CN108962898B (zh) * 2017-05-19 2023-09-01 瑞萨电子株式会社 制造半导体器件的方法

Also Published As

Publication number Publication date
DE102014019363B4 (de) 2024-03-07
US9331168B2 (en) 2016-05-03
US20150206951A1 (en) 2015-07-23
DE102014019363A1 (de) 2015-07-23
TWI556445B (zh) 2016-11-01

Similar Documents

Publication Publication Date Title
TWI556445B (zh) 半導體結構及其製造方法
KR102195673B1 (ko) 원자층 증착 방법들 및 그것의 구조물들
TWI618122B (zh) 半導體裝置製造的方法及其處理系統
TWI453820B (zh) 半導體裝置及其方法
TWI567875B (zh) 靜態隨機存取記憶體的鰭式場效電晶體元件與其製備方法
TWI379384B (en) Cmos transistors with dual high-k gate dielectric and methods of manufacture thereof
TWI601190B (zh) 半導體元件及其製造方法
TWI476823B (zh) 半導體裝置與具有金屬閘極之半導體裝置的製造方法
KR101234185B1 (ko) 유전체와 반도체 장치의 제조 방법, 프로그램, 및 기록 매체
US20070210354A1 (en) Semiconductor device and semiconductor device manufacturing method
CN110875179B (zh) 金属层的形成和原位蚀刻工艺
TW201409697A (zh) 具有鎢閘極電極的半導體裝置及其製造方法
JP2007324593A (ja) 実効仕事関数を調整するための方法
Kol et al. Hf-Based High-κ Dielectrics: A Review.
JP2007324594A (ja) 実効仕事関数を調整するための方法
US20110037131A1 (en) Gate structure for field effect transistor
US9646823B2 (en) Semiconductor dielectric interface and gate stack
TWI508189B (zh) 閘極堆疊形成期間於高介電閘極介電層中鈍化點缺陷
US20230238240A1 (en) Semiconductor device and method for fabricating the same
US20120292711A1 (en) Semiconductor structure and method for forming the same
TWI777179B (zh) 閘極介電層的製作方法
CN106711041B (zh) 半导体器件的形成方法
WO2012155392A1 (en) Semiconductor structure and method for forming the same