TW201522281A - 高純度氟化烴、作爲電漿蝕刻用氣體之用途、及電漿蝕刻方法 - Google Patents

高純度氟化烴、作爲電漿蝕刻用氣體之用途、及電漿蝕刻方法 Download PDF

Info

Publication number
TW201522281A
TW201522281A TW103137334A TW103137334A TW201522281A TW 201522281 A TW201522281 A TW 201522281A TW 103137334 A TW103137334 A TW 103137334A TW 103137334 A TW103137334 A TW 103137334A TW 201522281 A TW201522281 A TW 201522281A
Authority
TW
Taiwan
Prior art keywords
fluorinated hydrocarbon
volume
plasma etching
ppm
gas
Prior art date
Application number
TW103137334A
Other languages
English (en)
Other versions
TWI655173B (zh
Inventor
Tatsuya Sugimoto
Original Assignee
Zeon Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Zeon Corp filed Critical Zeon Corp
Publication of TW201522281A publication Critical patent/TW201522281A/zh
Application granted granted Critical
Publication of TWI655173B publication Critical patent/TWI655173B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C19/00Acyclic saturated compounds containing halogen atoms
    • C07C19/08Acyclic saturated compounds containing halogen atoms containing fluorine
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C17/00Preparation of halogenated hydrocarbons
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • C09K13/04Etching, surface-brightening or pickling compositions containing an inorganic acid
    • C09K13/08Etching, surface-brightening or pickling compositions containing an inorganic acid containing a fluorine compound
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Organic Low-Molecular-Weight Compounds And Preparation Thereof (AREA)

Abstract

本發明為一種氟化烴,其係以式:R-F(式中,R表示異丁基或三級丁基)表示,其特徵在於純度為99.9體積%以上,所含的丁烯類合計為1000體積ppm以下;一種此氟化烴的作為電漿蝕刻用氣體之用途;及一種電漿蝕刻方法,其使用前述氟化烴作為電漿蝕刻用氣體,而選擇性地將在矽或矽氧化膜上所積層的無機氮化膜電漿蝕刻。

Description

高純度氟化烴、作為電漿蝕刻用氣體之用途、及電漿蝕刻方法
本發明係關於一種氟化烴(氟異丁烷及氟三級丁烷),其可用作在半導體裝置的製造領域中有用的蝕刻及化學氣相成長法(CVD)等的電漿蝕刻用氣體、含氟醫藥中間體、或者是氫氟碳化物系溶劑。經高純度化的氟化烴(氟異丁烷及氟三級丁烷)尤其是在使用電漿反應的半導體裝置的製造領域中,適合用作電漿蝕刻用氣體或CVD用氣體等。
半導體製造技術的微細化正在進展,最先端製程已經採用線寬20nm世代,並進一步採用10nm世代。又,隨著半導體製造技術的微細化,加工技術的難度也提高,藉由使用的材料、裝置、加工方法等來自多方面的措施來推進技術開發。
基於這種背景,本案申請人開發了能夠與最先端的電漿蝕刻製程對應的電漿蝕刻用氣體,發現了氟原子數少的飽和氟化非甲烷系烴具有凌駕現今廣泛用於蝕刻氮化矽膜的單氟甲烷的性能(專利文獻1)。
然而,近年來,半導體製造技術越來越朝微細化進展,也對電漿蝕刻製程使用的電漿蝕刻用氣體要求更高的性能。
氟異丁烷及氟三級丁烷已知有好幾種製造方法。
(a)作為氟異丁烷的製造方法,揭示以下的方法。
在專利文獻2中,已記載在吡啶的存在下,使氯三甲基矽烷反應而將異丁醇轉換成1-三甲基矽氧基-2-甲基丙烷,使其與氟化劑的二乙胺三氟化硫接觸,藉以得到氟異丁烷與氟三級丁烷的混合物的方法。
(b)作為氟三級丁烷的製造方法,專利文獻3已記載使三級丁基鋰的正戊烷溶液接觸六氟化硫,確認了氟三級丁烷(t-butyl fluoride)的生成。
又,非專利文獻1已記載藉由使三級丁醇與氟化劑的六氟丙烯的二乙胺加成物接觸,來得到收率78%的氟三級丁烷。
非專利文獻2已記載藉由用60%的氫氟酸處理三級丁醇,來得到收率60%的氟三級丁烷。
非專利文獻3已記載藉由將氟化氫-吡啶錯合物用於氟化劑,使氟化氫加成於2-甲基丙烯,來得到收率60%的氟三級丁烷。
[先前技術文獻] [專利文獻]
[專利文獻1]WO2009/123038號手冊(US2011/0068086A1)
[專利文獻2]日本特開昭60-32718號公報
[專利文獻3]日本特開2009-292749號公報
[非專利文獻]
[非專利文獻1]Bulletin of the Chemical Society of Japan,Vol.52,3377(1979)
[非專利文獻2]Journal of Chemical Society,1183(1937)
[非專利文獻3]Journal of Organic Chemistry,Vol.44,3872(1979)
本發明的目的在於提供高純度氟化烴(氟異丁烷及氟三級丁烷)、將此高純度氟化烴作為電漿蝕刻用氣體之用途、及電漿蝕刻方法。
本發明人為了解決上述課題,嘗試使用以上述的先前技術記載的方法所得到的氟異丁烷及氟三級丁烷,作為選擇性地將在矽或矽氧化膜上所積層的氮化矽膜電漿蝕刻的氣體。然而,確認了若使用這些氣體,便會生成過量的烴系堆積物,蝕刻會自行停止。
因此,進一步詳細檢討的結果,發現了若在氟異丁烷或氟三級丁烷中包含既定量以上的丁烯類,此問題便會發生,進而完成了本發明。
因此,根據本發明,提供(1)~(3)的氟化烴、(4)的前述氟化烴作為電漿蝕刻用氣體之用途、及(5)的電漿蝕刻方法。
(1)一種氟化烴,其係以式:R-F(式中,R表示異丁基或三級丁基)表示,其特徵在於純度為99.9體積%以上,所含的丁烯類合計為1000體積ppm以下。
(2)如(1)記載的氟化烴,其中氮含量為100體積ppm,氧含量為50體積ppm以下。
(3)如(1)記載的氟化烴,其中水分含量為50體積ppm以下。
(4)一種氟化烴之用途,其使用如前述(1)至(3)中任一項記載的氟化烴作為電漿蝕刻用氣體。
(5)一種電漿蝕刻方法,其使用如前述(1)至(3)中任一項記載的氟化烴作為電漿蝕刻用氣體,選擇性地將在矽或矽氧化膜上所積層的無機氮化膜電漿蝕刻。
根據本發明,提供一種經高純度化的氟化烴(氟異丁烷及氟三級丁烷),其可用作在半導體裝置的製造領域中有用的蝕刻及化學氣相成長法(CVD)等的電漿蝕刻用氣體、含氟醫藥中間體、或者是氫氟碳化物系溶劑。
本發明的經高純度化的氟化烴(氟異丁烷及氟三級丁烷),尤其是在使用電漿反應的半導體裝置的製造領域中,適合用作電漿用蝕刻氣體或CVD用氣體等。
[實施發明之形態]
以下,詳細說明本發明。
1)高純度氟化烴
本發明的第一發明為一種氟化烴(以下,有時稱為「氟化烴(1)」),其係以式:R-F(式中,R表示異丁基或三級丁基)表示,其特徵在於純度為99.9體積%以上,所含的丁烯類合計為1000體積ppm以下。具體而言,氟化烴(1)係氟異丁烷及氟三級丁烷。
在本發明中,氟化烴(1)的純度和丁烯類的含量都是利用以火燄游離偵檢器(FID)作為偵檢器的氣相層析法,由波峰面積所算出的值。又,丁烯類能利用氣相層析法質量分析來鑑定。
氟化烴(1)中的氮和氧的量是利用以熱傳導係數偵檢器(TCD)作為偵檢器的氣相層析法來測定的值。
又,氟化烴(1)中的水分量是使用FT-IR測定的值。
氟化烴(1)所含的丁烯類係1-丁烯(沸點-6.3℃)、2-丁烯((E)-2-丁烯(沸點3.73℃)和(Z)-2-丁烯(沸點0.88℃))及異丁烯(沸點-6.9℃)的總稱。氟化烴(1)中存在的一種以上的丁烯全都是不純物。
氟化烴(1)之中,氟異丁烷能藉由在異丁醇使用氟化劑而進行氟化的方法;用氟化鉀或氟化銫等鹼金屬氟化物處理溴化異丁烷或烷基磺酸異丁酯的方法等方法來製造。
又,氟三級丁烷能藉由對三級丁醇,用氫氟酸或氟化氫的胺錯合物處理的方法等來製造。
利用上述製造方法所得到的粗製的氟化烴 (l),能利用蒸餾精製(精餾)來精製。利用精餾等的精製方法,氟化烴(1)中所含的丁烯類的量能減低為1000體積ppm以下,較佳為500體積ppm以下。
粗製的氟化烴(1)係藉由附加蒸餾精製來除去以丁烯類為首的有機系不純物。
在藉由蒸餾精製來除去有機系不純物的情況下,使用具有適度的理論段數的精餾塔。理論段數通常是10段以上50段左右以下,較佳為20段以上50段左右以下。
由於不純物的丁烯類的沸點為5℃以下,因此例如在常溫環境下等,在丁烯類的沸點以上的溫度條件下,會因在精餾塔的餾出物抽出管內的氣化現象,作為目標的氟異丁烷(沸點20~22℃)或氟三級丁烷(沸點12~13℃)的分離明顯變差。由此,餾出物抽出管或貯存初餾出物的容器係以好好地予以冷卻為佳。
精餾時的壓力以錶壓計,通常為常壓~10大氣壓,較佳為常壓~5大氣壓左右。回流量和抽出量的比(以下,有時稱為「回流比」),為了效率佳地分離容易成為氣體狀態的丁烯類,特別是異丁烯,而較佳為設定成回流比30:1以上。若回流比過小,則無法效率佳地分離丁烯類,不僅純度的提升幅度變小,初餾出物也會變多,所回收的氟異丁烷或氟三級丁烷的總量變少。相反的,若回流比過大,則由於每一次抽出到回收要花很多時間,因此精餾本身也會花很多時間,而生產性差。
精製採用批次式、連續式當中任一者皆可,但批次式適合在製造量少的情況下採用,在製造量多的 情況下,適合採用使其經由數根精餾塔的連續式。又,可以組合已添加萃取溶劑的萃取蒸餾操作。
在反應轉化率低、必須進行原料回收的情況下等,在蒸餾精製中,例如,可以進行在第一次的蒸餾分離原料化合物,在第二次的蒸餾分離成為不純物對象的丁烯類之等階段性蒸餾。在該情況下,回流比較佳為30:1以上。
作為減低氟化烴(1)中的氮和氧的含量的方法,在用精餾進行前述丁烯類的除去的情況下,能採用在週期表第18族惰性氣體中進行精製的方法;進行簡單蒸餾氟化烴(1),抽出餾出物的操作的方法等。
在利用後者的方法的情況下,能藉由用簡單蒸餾,將氮及氧與氟化烴(1)一起抽出,來減低釜內殘留的氟化烴(1)中的氮和氧的量。
抽出的氟化烴(1)的量,相對於加入蒸餾釜的氟化烴(1),以重量基準計,較佳為20~50%,更佳為30~40%。所抽出的氟化烴(1)可以貯存起來而添加在下個批次,從而能夠回收、再使用。
氟化烴(1)中的氮的量,較佳為100體積ppm以下,更佳為80體積ppm以下,氧的量,較佳為50體積ppm以下,更佳為30體積ppm以下。
又,作為除去氟化烴(1)中的水分的方法,能採用使其與吸附劑接觸等的一般方法。
作為吸附劑,能使用合成沸石的通稱分子篩或氧化鋁等。如日本特願2012-165797號所記載的,對於如2-氟 丁烷或2,2-二氟丁烷的單或二氟化烴的乾燥而言,較佳為分子篩3A。
分子篩4A及5A等為細孔徑大,能與分子篩3A同樣地減低水分,但是因為當接觸時釋出吸附在細孔內的氮、氧,因此有增大氟化烴(1)中的氮、氧濃度之虞。
又,使用帶有鹼性的分子篩,因為容易引起氟化烴(1)的脫HF反應,因此在使用時需注意。
作為氧化鋁,較佳為使用藉由氧化鋁水合物的加熱脫水而生成之結晶性低的活性氧化鋁。
在使其與氟化烴(1)接觸前,若藉由燒成等操作來活化分子篩或氧化鋁等的吸附劑,便可以使其吸附更多的水分,因而較佳。
藉由使氟化烴(1)與吸附劑接觸,可以將氟化烴(1)中的水分量減低為50體積ppm以下。
若氟化烴(1)所含的水分量多,則在將基板蝕刻加工後,水分吸附殘留在加工面,因而有引起在銅等配線形成步驟積層膜的剝離、或埋入的配線的腐蝕之虞,因此較佳為儘可能將水分量減低。
從此觀點來看,氟化烴(1)中的水分量,較佳為50體積ppm以下,更佳為20體積ppm以下。
如以上說明的,經由利用精餾,將反應粗製的生成物中所含的粗製的氟化烴(1)作成純度99.9體積%以上,且將丁烯類作成1000體積ppm以下的步驟,能得到本發明的經高純度化的氟化烴(1)。
又,進一步地,經由藉由使所得到的氟化烴(1)與吸 附劑接觸來除去水分的步驟;及/或藉由簡單蒸餾氟化烴(1)來將氟化烴(1)中的氮濃度減低成較佳為100體積ppm以下,更佳為50體積ppm以下,將氧濃度減低成較佳為50體積ppm以下,更佳為20體積ppm以下的步驟,能得到經進一步高純度化的氟化烴(1)。
本發明的經高純度化的氟化烴(1)係可用作在半導體裝置的製造領域中有用的蝕刻及化學氣相成長法(CVD)等的電漿蝕刻用氣體、含氟醫藥中間體、或者是氫氟碳化物系溶劑。
本發明的經高純度化的氟化烴(1),尤其是在使用電漿反應的半導體裝置的製造領域中,適合用作電漿蝕刻用氣體或CVD用氣體等。
2)電漿蝕刻氣體
本發明的第二發明係使用本發明的氟化烴(1)作為電漿蝕刻用氣體。
本發明的氟化烴(1)相對於矽或矽氧化膜、相對於無機氮化膜具有蝕刻選擇性。
在使用本發明的氟化烴(1)作為電漿蝕刻用氣體的情況下,為了控制在電漿中產生的蝕刻種的濃度或控制離子能量,而可以添加從包含氦、氖、氬、氙及及氪的群組所選出的至少一種惰性氣體使用。
惰性氣體的添加量係以惰性氣體的合計量對氟化烴(1)以體積比[惰性氣體/氟化烴(1)]計成為2~200為佳,以成為5~150為更佳。
又,為了緩和蝕刻阻擋(etching stopper)而可 以添加O2及/或O3來使用。O2或O3的添加量係以O2和O3的合計量對氟化烴(1)以體積比[(O2及/或O3)/氟化烴(1)]計成為0.1~50為佳,以成為0.5~30為更佳。
3)電漿蝕刻方法
本發明的第三發明係使用本發明的氟化烴(1)作為電漿蝕刻用氣體,選擇性地將在矽或矽氧化膜上所積層的無機氮化膜電漿蝕刻的電漿蝕刻方法。
在本發明中使用的被處理體係無機氮化膜。
作為無機氮化膜,可舉出氮化矽膜、氮氧化矽膜、氮化鈦膜等。
無機氮化膜通常是形成在被處理基板所構成的。作為被處理基板,例如,可舉出玻璃基板、單晶矽晶圓、鎵-砷基板等。又,可以是在這些基板上形成矽膜或氧化矽膜等者。
本發明的電漿蝕刻方法,係例如,將在矽或矽氧化膜上所積層的無機氮化膜,以在該無機氮化膜上所形成的阻劑圖案作為遮罩,進行無機氮化膜的既定區域的電漿蝕刻者。阻劑圖案,例如,能藉由在矽氧化膜上形成感光性阻劑組成物的膜,使用遮罩圖案照射195nm以下的放射線來圖案化而形成。
在本發明的電漿蝕刻方法中,將依以上方式所得到之附有阻劑圖案的無機氮化膜(被處理體),設置在具有電漿產生裝置的處理室(蝕刻腔)內,將處理室內抽氣而成為真空,分別用既定的速度、以成為既定壓力的方式,將使用的處理氣體的成分的氟化烴(1)、以及視 需要的氧氣及18族氣體導入處理室內。
處理氣體的導入速度,係與各成分的使用比率成比例,例如,若氟化烴(1)設為5~30sccm,氧氣設為10~50sccm,18族氣體設為100~500sccm等的話即可。
經導入處理氣體的處理室內的壓力,通常是0.0013~1300Pa,較佳為0.13~5Pa。
接下來,利用電漿產生裝置,將高頻電場施加在處理室內的氟化烴(1)而引發輝光放電,使電漿產生。
作為電漿產生裝置,可舉出螺旋波方式、高頻感應方式、平行平板類型、磁控方式及微波方式等裝置,但從容易產生高密度區域電漿來看,適合使用平行平板類型、高頻感應方式及微波方式的裝置。
電漿密度沒有特別的限定,但從使本發明的效果更加良好地顯現的觀點來看,理想的是在電漿密度較佳為1012離子/cm3以上,更佳為1012~1013離子/cm3的高密度電漿氣體環境下進行蝕刻。
蝕刻時的被處理基板的到達溫度沒有特別的限定,但較佳為-50~+300℃,更佳為-20~+200℃,再更佳為-10~+100℃的範圍。基板的溫度可以利用冷卻等來控制,也可以不控制。
蝕刻處理的時間一般是5~10分鐘,但本發明使用的處理氣體可以高速蝕刻,因此能設為2~5分鐘來使生產性提升。
根據本發明的電漿蝕刻方法,能夠簡便且效率佳地形成沒有縮頸、微細徑、高深寬比的接觸孔。
[實施例]
以下,利用實施例更詳細地說明本發明,但本發明並非受以下的實施例限定其範圍者。又,只要沒有特別說明,「%」表示「重量%」。
以下採用的分析條件係如下所述。
‧氣相層析法分析(GC分析)
裝置:HP-6890(安捷倫公司製)
管柱:GL SCIENCE公司製Inert Cap-1,長度60m,內徑0.25mm,膜厚1.5μm
管柱溫度:在40℃下保持10分鐘,接下來,用20℃/分鐘升溫,之後在240℃下保持10分鐘
注射溫度:200℃
載氣:氮
分離比:100/1
偵檢器:FID
‧不純物分析(氣相層析法質量分析)
GC部分:HP-6890(安捷倫公司製)
管柱:GL SCIENCE公司製Inert Cap-1,長度60m,內徑0.25mm,膜厚1.5μm
管柱溫度:在40℃下保持10分鐘,接下來,用20℃/分鐘升溫,之後,在240℃下保持10分鐘
MS部分:安捷倫公司製5973 NETWORK
偵檢器:EI型(加速電壓:70eV)
1H及19F-NMR測定
裝置:JNM-ECA-400(日本電子公司製)400MHz
‧氮-氧(氣相層析法分析)
GC部分:HP-7890(安捷倫公司製)
管柱:安捷倫公司製,HP-5,長度30m,內徑0.32mm,膜厚0.25μm
管柱溫度:在40℃下保持5分鐘,接下來,用5℃/分鐘升溫,之後,在65℃下保持1分鐘
供氣器:50℃
載氣:氦
偵檢器:脈衝放電型
‧水分測定(FT-IR)
IG-1000(大塚電子公司製)
槽材質:氟化鋇
槽長:10m
[製造例1]甲磺醯氧基異丁烷的合成
在附加攪拌機、滴液漏斗、迪姆羅特型冷凝器的容量2L的玻璃製反應器中,加入異丁醇(74g)、氯化甲磺醯(130g)、乾燥二異丙醚(500ml),放置在氮氣環境下。用冰水冷卻反應器,花2小時從滴液漏斗滴下三乙胺(121g)。滴下結束後,在0℃下攪拌30分鐘,之後,在約25℃下繼續攪拌6小時。
在反應液添加500ml的冰水,溶解生成的三乙胺鹽酸鹽,分離為2層。用5%的鹽酸、飽和碳酸氫鈉水,接著用飽和食鹽水洗淨上層的有機層後,用硫酸鎂乾燥。之 後,用旋轉蒸發器蒸餾去除二異丙醚,用真空泵抽蓄,得到118g的粗製的甲磺醯氧基異丁烷。
[製造例2]氟異丁烷的合成
在附加攪拌機、滴液漏斗、餾出物捕集用接收器、及迪姆羅特型冷凝器的容量1L的玻璃製反應器中,加入116g的噴霧乾燥的氟化鉀(ALDRICH公司製)及800ml的二乙二醇,放置在氮氣環境下。將反應器浸在油浴,在95℃下加熱後,花約3.5小時從滴液漏斗添加重複製造例1的反應所得到的152g的粗製的甲磺醯氧基異丁烷。之後,繼續攪拌4小時,將生成的低沸點的生成物捕集在已浸漬在乾冰/乙醇浴的餾出物捕集用接收器。之後,將油浴的溫度降低至80℃為止,於反應器串聯連結2個已浸入乾冰-乙醇浴的玻璃製捕集器。另外,於玻璃製捕集器的出口連結壓力控制器、及真空泵。起動真空泵,使用壓力控制器,將系統內的壓力分段地降低成50~45kPa,接著降低至35~30kPa,進一步降低至30~25kPa為止,將揮發成分回收至氣體捕集器。
將餾出物捕集用接收器、及2個玻璃製捕集器裡面的東西加起來得到49g,以氣相層析法分析的結果,其為包含異丁烯11.85面積%、氟異丁烷79.69面積%、二異丙醚7.32面積%、及高沸點成分1.14面積%的混合物。
[製造例3]氟三級丁烷的合成
在附加轉子、滴液漏斗、及迪姆羅特型冷凝器的容量300ml的玻璃製反應器中,加入29g的乾燥三級丁醇及120ml的1,1,2-三氯三氟乙烷,用冰水冷卻。使0℃的冷媒 在迪姆羅特型冷凝器循環。一面攪拌內容物,一面花約45分鐘從滴液漏斗滴下94g的六氟丙烯-二乙胺錯合物(東京化成工業公司製)。滴下結束後,在用冰水冷卻的狀態下,再攪拌2小時。之後,以氣相層析法分析內容物的結果,原料三級丁醇消失了。
在已放入冰水的分液漏斗內注入反應液,洗淨有機層後,再用已冷卻的飽和碳酸氫鈉水、冰水洗淨,用硫酸鎂使其乾燥。過濾後,以氣相層析法分析有機層的結果,其為包含異丁烯1.44面積%、氟三級丁烷23.86面積%、1,1,2-三氯三氟乙烷34.13面積%、N,N-二乙基-2,3,3,3-四氟丙醯胺39.52面積%的混合物。
[實施例1]氟異丁烷的精餾
將重複製造例1及2所得到的423g的粗製的氟異丁烷加入蒸餾釜,使用KS型精餾塔(東科精機公司製,管柱長度60cm,填充劑螺旋式裝填No.1),進行蒸餾。使-20℃的冷媒在冷凝器循環,進行約1小時的全回流。蒸餾釜係一面考慮塔頂部的溫度、及釜內部的殘留量,一面在45~70℃下加溫。全回流後,在回流比45:1下進行餾出物的抽出。其結果,得到247g的99.941面積(體積)%的氟異丁烷,包含了543面積(體積)ppm的異丁烯作為不純物。
氟異丁烷的光譜資料
1H-NMR(CDCl3,TMS)δ(ppm):1.03(t,3H×2)、1.97(m,1H)、4.41(m,2H)、4.45(m,2H)
19F-NMR(CDCl3,CFCl3)δ(ppm):-220(m,F)
[實施例2]
在已放入100g的分子篩3A(UNION昭和公司製)的容量1.2L的SUS316製容器(內面:電解研磨處理),放入240g的在實施例1所蒸餾精製的氟異丁烷,在約25℃下浸漬22小時。
之後,在容量0.5L的SUS316製釜的上部,組裝安裝有短管柱及冷凝器、及接收器的簡單蒸餾裝置,使-10℃的冷卻水在冷凝器循環。將已進行除去水分的227g的氟異丁烷裝入釜中,將釜加溫為40℃。以氣相層析法測定此時的氟異丁烷中的氮及氧濃度後,結果分別為534體積ppm及130體積ppm。將相對於加入的氟異丁烷為約30重量%抽出至接收器後,停止簡單蒸餾,將釜冷卻至25℃為止。將148g的釜內的氟異丁烷填充至附有隔膜式閥的容量0.5L的錳鋼製圓筒缸(cylinder)(內面粗度:1S)。氟異丁烷的純度為99.947面積(體積)%,異丁烯的含量為414面積(體積)ppm,氮、氧、及水分的含量分別為67體積ppm、10體積ppm、及12體積ppm。
[實施例3]
將重複製造例1及2的反應所得到的387g的粗製的氟異丁烷加入蒸餾釜,使用KS型精餾塔(東科精機公司製,管柱長度:60cm,填充劑:螺旋式裝填No.1),進行蒸餾。使-20℃的冷媒在冷凝器循環,進行約1小時的全回流。蒸餾釜係一面考慮塔頂部的溫度、及釜內部的殘留量,一面從45℃加溫至70℃為止。全回流後,在回流比30:1之間進行餾出物的抽出。其結果,得到213g的99.913面積(體積)%的氟異丁烷,包含了834面積(體積)ppm的異 丁烯作為不純物。
[實施例4]
將在實施例3所得到的210g的氟異丁烷,在容量0.5L的不鏽鋼製容器內,在25℃下浸漬在20g的氧化鋁(日揮觸媒化成公司製,製品名「N612N」)20小時。用不鏽鋼管連結不鏽鋼容器和容量0.5L的錳鋼製圓筒缸,透過孔徑0.2μm的金屬製過濾器,在減壓下將氟異丁烷填充在圓筒缸內。用冰水冷卻圓筒缸,透過壓力控制器,在5~10kPa壓力下,一面用真空泵減壓,一面抽取約20g的氟異丁烷。回到約25℃,短暫靜置後,氟異丁烷純度係99.918面積(體積)%,異丁烯的含量係791面積(體積)ppm,氮、氧、及水分的含量分別為41體積ppm、13體積ppm、及38體積ppm。
[實施例5]
將重複製造例3所得到的423g的粗製的氟三級丁烷加入蒸餾釜,使用KS型精餾塔(東科精機公司製,管柱長度60cm,填充劑螺旋式裝填No.1),進行蒸餾。使-20℃的冷媒在冷凝器循環,進行約1小時的全回流。蒸餾釜係一面考慮塔頂部的溫度、及釜內部的殘留量,一面在45~60℃下加溫。全回流後,在回流比40:1下進行餾出物的抽出。其結果,得到247g的99.931面積(體積)%的氟三級丁烷,包含了627面積(體積)ppm的異丁烯作為不純物。
氟三級丁烷的光譜資料
1H-NMR(CDCl3,TMS)δ(ppm):1.26(d,3H×3)
19F-NMR(CDCl3,CFCl3)δ(ppm):-130(m,F)
[實施例6]
在已放入25g的分子篩3A(UNION昭和公司製)的容量0.5L的SUS316製容器(內面:電解研磨處理)中,放入240g的在實施例5所蒸餾精製的氟三級丁烷,在約25℃下浸漬20小時。
之後,在容量0.5L的SUS316製釜的上部,組裝安裝有短管柱及冷凝器、及接收器的簡單蒸餾裝置,使-15℃的冷卻水在冷凝器循環。將已進行除去水分的231g的氟三級丁烷加入釜,將釜加溫為30℃。以氣相層析法測定此時的氟三級丁烷中的氮及氧濃度,結果分別為710體積ppm及266體積ppm。將相對於加入的氟三級丁烷為約35重量%抽出至接收器後,停止簡單蒸餾,將釜冷卻至25℃為止。將144g的釜內的氟三級丁烷填充至附有隔膜式閥的容量0.5L的錳鋼製圓筒缸(內面粗度:1S)。氟三級丁烷中的異丁烯的含量為596面積(體積)ppm,氮、氧、及水分的含量分別為72體積ppm、22體積ppm、及16體積ppm。
[實施例7]
將重複製造例3的反應所得到的389g的粗製的氟三級丁烷加入蒸餾釜,使用KS型精餾塔(東科精機公司製,管柱長度:60cm,填充劑:螺旋式裝填No.1),進行蒸餾。使-20℃的冷媒在冷凝器循環,進行約1小時的全回流。蒸餾釜係一面考慮塔頂部的溫度、及釜內部的殘留量,一面從45℃加溫至60℃為止。全回流後,在回流比30:1之間進行餾出物的抽出。其結果,得到198g的99.906 面積(體積)%的氟三級丁烷,包含了902面積(體積)ppm的異丁烯作為不純物。
[實施例8]
將在實施例7所得到的187g的氟三級丁烷,在容量0.5L的不鏽鋼製容器內,在約25℃下浸漬在18g的分子篩(TOSOH製,製品名「ZEOLUM(註冊商標)A-3」)18小時。用不鏽鋼管連結不鏽鋼容器和容量0.5L的錳鋼製圓筒缸,透過孔徑0.2μm的金屬製過濾器,在減壓下將氟三級丁烷填充在圓筒缸內。用冰水冷卻圓筒缸,透過壓力控制器,在5~10kPa壓力下,一面用真空泵減壓,一面抽取約20g的氟三級丁烷。回到約25℃,短暫靜置後,氟三級丁烷中的異丁烯的含量為889面積(體積)ppm,氮、氧、及水分的含量分別為66體積ppm、14體積ppm、及39體積ppm。
[參考例1]
將重複製造例1及2的反應所得到的406g的粗製的氟異丁烷加入蒸餾釜,使用KS型精餾塔(東科精機公司製,管柱長度:60cm,填充劑:螺旋式裝填No.1),進行蒸餾。使-20℃的冷媒在冷凝器循環,進行約1小時的全回流。蒸餾釜係一面考慮塔頂部的溫度、及釜內部的殘留量,一面在45~70℃下加溫。全回流後,在回流比10:1下進行餾出物的抽出。其結果,得到235g的99.872面積%的氟異丁烷,包含了1189面積(體積)ppm的異丁烯作為不純物。之後,進行與實施例4同樣的操作,將218g的氟異丁烷填充於圓筒缸。測定氟異丁烷中的氮、氧、及水分含 量,結果分別為40體積ppm、13體積ppm、及25體積ppm。
[參考例2]
將重複製造例3所得到的393g的粗製的氟三級丁烷加入蒸餾釜,使用KS型精餾塔(東科精機公司製,管柱長度:60cm,填充劑:螺旋式裝填No.1),進行蒸餾。使-20℃的冷媒在冷凝器循環,進行約1小時的全回流。蒸餾釜係一面考慮塔頂部的溫度、及釜內部的殘留量,一面在45~70℃下加溫。全回流後,在回流比10:1下進行餾出物的抽出。其結果,得到225g的99.811面積%的氟三級丁烷,包含了1690面積(體積)ppm的異丁烯作為不純物。之後,進行與實施例6同樣的操作,將203g的氟三級丁烷填充於圓筒缸。測定氟三級丁烷中的氮、氧、及水分含量,結果分別為55體積ppm、11體積ppm、及16體積ppm。
[實施例9]
電漿蝕刻評價:使用在表面形成有氮化矽膜的晶圓、和在表面形成有矽氧化膜的晶圓,將各個晶圓分別進行蝕刻。然後,測定氮化矽膜及矽氧化膜各自的蝕刻速度,基於這些測定結果,由氮化矽膜對矽氧化膜的蝕刻速度比求出選擇比(SiN膜/SiO2膜)。
在平行平板型電漿蝕刻裝置的蝕刻腔內,分別設置在表面形成有氮化矽膜的晶圓、和在表面形成有矽氧化膜的晶圓,使系統內成為真空後,使用在實施例2調製的氟異丁烷,在下述蝕刻條件下實施蝕刻。其結果,矽氮化膜的蝕刻速度為28nm/分鐘,矽氧化膜沒有被蝕刻。因此,選擇比(SiN膜/SiO2膜)為無限大。
蝕刻條件
混合氣體的壓力:6.7Pa
上部電極的高頻電源電力:200W
下部電極的高頻電源電力:100W
上部電極和下部電極的間隔:50mm
電極溫度:20℃
氣體流量
O2氣體:60sccm
氟異丁烷:45sccm
蝕刻時間:180秒
[實施例10]
除了將氟異丁烷變更為在實施例4調製者以外,與實施例9同樣地進行蝕刻評價。其結果,矽氮化膜的蝕刻速度為25nm/分鐘,矽氧化膜沒有被蝕刻。因此,選擇比(SiN膜/SiO2膜)為無限大。
[實施例11]
在實施例9中,除了將氟異丁烷變更為在實施例6調製的氟三級丁烷以外,依以下的條件進行蝕刻評價。其結果,矽氮化膜的蝕刻速度為30nm/分鐘,矽氧化膜沒有被蝕刻。因此,選擇比(SiN膜/SiO2膜)為無限大。
混合氣體的壓力:6.7Pa
上部電極的高頻電源電力:200W
下部電極的高頻電源電力:100W
上部電極和下部電極的間隔:50mm
電極溫度:20℃
氣體流量
O2氣體:60sccm
氟三級丁烷:40sccm
蝕刻時間:180秒
[實施例12]
除了將氟三級丁烷取代為在實施例8調製者以外,與實施例11同樣地進行蝕刻評價。其結果,矽氮化膜的蝕刻速度為24nm/分鐘,矽氧化膜沒有被蝕刻。因此,選擇比(SiN膜/SiO2膜)為無限大。
[比較例1]
除了將氟異丁烷變更為在參考例1調製者以外,與實施例9同樣地進行蝕刻評價,但在矽氮化膜發生沉積(deposition),蝕刻在中途停止了。當然,矽氧化膜沒有被蝕刻。
[比較例2]
除了將氟三級丁烷變更為在參考例2調製者以外,與實施例11同樣地進行蝕刻評價,但在矽氮化膜發生沉積,蝕刻在中途停止了。當然,矽氧化膜沒有被蝕刻。

Claims (5)

  1. 一種氟化烴,其係以式:R-F(式中,R表示異丁基或三級丁基)表示,其特徵在於純度為99.9體積%以上,丁烯類合計為1000體積ppm以下。
  2. 如請求項1之氟化烴,其中氮含量為100體積ppm,氧含量為50體積ppm以下。
  3. 如請求項1或2之氟化烴,其中水分含量為50體積ppm以下。
  4. 一種氟化烴之用途,其使用如請求項1至3中任一項之氟化烴作為電漿蝕刻氣體。
  5. 一種電漿蝕刻方法,其使用如請求項1至3中任一項之氟化烴作為電漿蝕刻氣體,選擇性地將在矽或矽氧化膜上所積層的無機氮化膜電漿蝕刻。
TW103137334A 2013-10-30 2014-10-29 Plasma etching method TWI655173B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2013225480 2013-10-30
JP2013-225480 2013-10-30

Publications (2)

Publication Number Publication Date
TW201522281A true TW201522281A (zh) 2015-06-16
TWI655173B TWI655173B (zh) 2019-04-01

Family

ID=53004153

Family Applications (1)

Application Number Title Priority Date Filing Date
TW103137334A TWI655173B (zh) 2013-10-30 2014-10-29 Plasma etching method

Country Status (7)

Country Link
US (1) US9984896B2 (zh)
EP (1) EP3064483B1 (zh)
JP (1) JP6447507B2 (zh)
KR (1) KR101814406B1 (zh)
CN (1) CN105683139A (zh)
TW (1) TWI655173B (zh)
WO (1) WO2015064550A1 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI707396B (zh) * 2016-05-10 2020-10-11 日商東京威力科創股份有限公司 蝕刻方法
TWI760421B (zh) * 2017-01-18 2022-04-11 日商東京威力科創股份有限公司 使用六氟化硫之優先氮化矽蝕刻方法

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2015093527A1 (ja) * 2013-12-20 2015-06-25 日本ゼオン株式会社 フッ素化炭化水素化合物の精製方法
KR102603885B1 (ko) * 2017-04-06 2023-11-20 칸토 덴카 코교 가부시키가이샤 드라이 에칭 가스 조성물 및 드라이 에칭 방법

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3906051A (en) * 1973-01-29 1975-09-16 Phillips Petroleum Co Alkyl fluoride production
JPS6032718A (ja) * 1983-08-02 1985-02-19 Asahi Glass Co Ltd フツ素化方法
JP5104075B2 (ja) * 2007-07-04 2012-12-19 ダイキン工業株式会社 ペンタフルオロエタンの精製方法
CN101983417B (zh) * 2008-03-31 2013-04-24 日本瑞翁株式会社 等离子体蚀刻方法
JP5163296B2 (ja) * 2008-06-04 2013-03-13 宇部興産株式会社 フッ素化有機化合物の製造方法
JP5500692B2 (ja) 2011-02-10 2014-05-21 サミー株式会社 スロットマシン
KR20150099515A (ko) 2012-12-27 2015-08-31 제온 코포레이션 드라이 에칭 방법
US9659787B2 (en) * 2013-03-07 2017-05-23 Zeon Corporation High-purity 2-fluorobutane
CN105324356A (zh) * 2013-06-17 2016-02-10 日本瑞翁株式会社 高纯度1-氟代丁烷及等离子体蚀刻方法

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI707396B (zh) * 2016-05-10 2020-10-11 日商東京威力科創股份有限公司 蝕刻方法
TWI760421B (zh) * 2017-01-18 2022-04-11 日商東京威力科創股份有限公司 使用六氟化硫之優先氮化矽蝕刻方法

Also Published As

Publication number Publication date
EP3064483B1 (en) 2019-05-01
JPWO2015064550A1 (ja) 2017-03-09
CN105683139A (zh) 2016-06-15
US20160251286A1 (en) 2016-09-01
KR101814406B1 (ko) 2018-01-04
US9984896B2 (en) 2018-05-29
EP3064483A1 (en) 2016-09-07
TWI655173B (zh) 2019-04-01
WO2015064550A1 (ja) 2015-05-07
JP6447507B2 (ja) 2019-01-09
EP3064483A4 (en) 2017-06-21
KR20160071448A (ko) 2016-06-21

Similar Documents

Publication Publication Date Title
TWI616428B (zh) High purity 2-fluorobutane and method of use thereof
JP6788176B2 (ja) ドライエッチングガスおよびドライエッチング方法
US7449415B2 (en) Gas for plasma reaction and process for producing thereof
TWI653213B (zh) High-purity 1-fluorobutane and plasma etching method
JP5131436B2 (ja) エッチング方法
JP6822763B2 (ja) ドライエッチング方法
KR101962191B1 (ko) 플라즈마 에칭 가스 및 플라즈마 에칭 방법
TWI655173B (zh) Plasma etching method
JP2014185111A (ja) 高純度2,2−ジフルオロブタン
WO2003041148A1 (fr) Gaz pour reaction de plasma, son procede de production et d'utilisation
JP3960095B2 (ja) プラズマ反応用ガス及びその製造方法

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees