CN105683139A - 高纯度氟化烃、其作为等离子体蚀刻用气体的用途以及等离子体蚀刻方法 - Google Patents

高纯度氟化烃、其作为等离子体蚀刻用气体的用途以及等离子体蚀刻方法 Download PDF

Info

Publication number
CN105683139A
CN105683139A CN201480058513.6A CN201480058513A CN105683139A CN 105683139 A CN105683139 A CN 105683139A CN 201480058513 A CN201480058513 A CN 201480058513A CN 105683139 A CN105683139 A CN 105683139A
Authority
CN
China
Prior art keywords
fluorinated hydrocarbons
volume
plasma etching
tert
butyl group
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201480058513.6A
Other languages
English (en)
Inventor
杉本达也
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Zeon Corp
Original Assignee
Nippon Zeon Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Nippon Zeon Co Ltd filed Critical Nippon Zeon Co Ltd
Publication of CN105683139A publication Critical patent/CN105683139A/zh
Pending legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C19/00Acyclic saturated compounds containing halogen atoms
    • C07C19/08Acyclic saturated compounds containing halogen atoms containing fluorine
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C17/00Preparation of halogenated hydrocarbons
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • C09K13/04Etching, surface-brightening or pickling compositions containing an inorganic acid
    • C09K13/08Etching, surface-brightening or pickling compositions containing an inorganic acid containing a fluorine compound
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Organic Low-Molecular-Weight Compounds And Preparation Thereof (AREA)

Abstract

本发明是用式:R-F(式中,R表示异丁基或叔丁基)表示的氟化烃、该氟化烃作为等离子体蚀刻用气体的用途、以及使用所述氟化烃作为等离子体蚀刻用气体对层叠在硅或硅氧化物膜上的无机氮化物膜进行选择性等离子体蚀刻的等离子体蚀刻方法,所述氟化烃的特征在于,纯度为99.9体积%以上,所包含的丁烯类合计为1000体积ppm以下。

Description

高纯度氟化烃、其作为等离子体蚀刻用气体的用途以及等离子体蚀刻方法
技术领域
本发明涉及作为在半导体装置的制造领域中有用的蚀刻及化学气相沉积法(CVD)等的等离子体蚀刻用气体、含氟医药中间体、或氢氟烃类溶剂有用的氟化烃(异丁基氟及叔丁基氟)。高纯度化的氟化烃(异丁基氟及叔丁基氟)特别是在使用等离子体反应的半导体装置的制造领域中作为等离子体蚀刻用气体、CVD用气体等是适合的。
背景技术
半导体制造技术的微细化正在进展,在最先进的工艺中,正在采用线宽为20nm、进而10nm的一代。此外,伴随着半导体制造技术的微细化,加工技术的难度也在提高,正在通过使用的材料、装置、加工方法等多方面的途径来推进技术开发。
在这样的背景下,本发明人也对能够应对最先进的等离子体蚀刻工艺的等离子体蚀刻用气体进行开发,发现氟原子数少的饱和氟化非甲烷类烃具有超越现在广泛用于硅氮化物膜的蚀刻的单氟甲烷的性能(专利文献1)。
然而,近年来,半导体制造技术的微细化逐渐进行,对于用于等离子体蚀刻工艺的等离子体蚀刻用气体也要求更高性能的等离子体蚀刻用气体。
对于异丁基氟及叔丁基氟,已知有几种制造方法。
(a)作为异丁基氟的制造方法,公开有以下的方法。
在专利文献2中,记载有以下方法:通过在吡啶存在下使异丁醇与三甲基氯硅烷反应而转化为1-三甲基硅烷基-2-甲基丙烷,将其与作为氟化剂的二乙氨基三氟化硫接触,从而得到异丁基氟与叔丁基氟的混合物。
(b)作为叔丁基氟的制造方法,在专利文献3中记载有以下方法:使叔丁基锂的正戊烷溶液与六氟化硫接触而确认了氟化叔丁基(叔丁基氟)的生成。
此外,在非专利文献1中记载有通过使叔丁醇与作为氟化剂的六氟丙烯的二乙胺加成物接触,从而以收率78%得到叔丁基氟的方法。
在非专利文献2中记载有通过用60%的氢氟酸处理叔丁醇,从而以收率60%得到叔丁基氟的方法。
在非专利文献3中记载有将氟化氢-吡啶络合物用作氟化剂,使氟化氢与2-甲基丙烷加成,从而以收率60%得到叔丁基氟的方法。
现有技术文献
专利文献
专利文献1:WO2009/123038号小册子(US2011/0068086A1);
专利文献2:日本特开昭60-32718号公报;
专利文献3:日本特开2009-292749号公报。
非专利文献
非专利文献1:BulletinoftheChemicalSocietyofJapan,Vol.52,3377(1979);
非专利文献2:JournalofChemicalSociety,1183(1937);
非特許文献3:JournalofOrganicChemistry,Vol.44、3872(1979)。
发明内容
发明要解决的问题
本发明的目的在于提供高纯度氟化烃(异丁基氟及叔丁基氟)、将该高纯度氟化烃作为等离子体蚀刻用气体的用途、以及等离子体蚀刻方法。
用于解决问题的方案
本发明者为了解决上述问题,尝试使用通过上述的现有技术所记载的方法得到的异丁基氟及叔丁基氟作为对层叠在硅、硅氧化物膜上的硅氮化物膜进行选择性等离子体蚀刻的气体。然而,发现当使用这些气体时,过量地生成烃类的堆积物,蚀刻本身会停止。
因此,进一步进行了详细研究,结果发现当在异丁基氟或叔丁基氟中包含规定量以上的丁烯类时会发生该问题,从而完成了本发明。
这样,根据本发明,可提供(1)~(3)的氟化烃、(4)的所述氟化烃作为等离子体蚀刻用气体的用途、以及(5)的等离子体蚀刻方法。
(1)一种氟化烃,用式:R-F(式中,R表示异丁基或叔丁基)表示,其特征在于,纯度为99.9体积%以上,所包含的丁烯类合计为1000体积ppm以下。
(2)如(1)所述的氟化烃,其中,氮含量为100体积ppm,氧含量为50体积ppm以下。
(3)如(1)所述的氟化烃,其中,水分含量为50体积ppm以下。
(4)上述(1)~(3)中任一项所述的氟化烃作为等离子体蚀刻气体的用途。
(5)一种等离子体蚀刻方法,使用上述(1)~(3)中任一项所述的氟化烃作为等离子体蚀刻气体对层叠在硅或硅氧化物膜上的无机氮化物膜进行选择性等离子体蚀刻。
发明效果
根据本发明,可提供作为在半导体装置的制造领域中有用的蚀刻及化学气相沉积法(CVD)等的等离子体蚀刻用气体、含氟医药中间体、或氢氟烃类溶剂有用的高纯度化的氟化烃(异丁基氟及叔丁基氟)。
本发明的高纯度化的氟化烃(异丁基氟及叔丁基氟)特别是在使用等离子体反应的半导体装置的制造领域中作为等离子体用蚀刻气体、CVD用气体等是适合的。
具体实施方式
以下,对本发明进行详细说明。
1)高纯度氟化烃
本发明的第1方面是一种氟化烃(以下,有时称为氟化烃(1)),用式:R-F(式中,R表示异丁基或叔丁基)表示,其特征在于,纯度为99.9体积%以上,所包含的丁烯类合计为1000体积ppm以下。具体地说,氟化烃(1)是异丁基氟及叔丁基氟。
在本发明中,氟化烃(1)的纯度和丁烯类的含量均是根据将氢焰离子化检测器(FID)作为检测器的气相色谱法而得的峰面积所算出的值。此外,丁烯类能够通过气相色谱质谱分析进行鉴定。
氟化烃(1)中的氮和氧的量是通过将热导检测器(TCD)作为检测器的气相色谱法而测定的值。
此外,氟化烃(1)中的水分量是使用FT-IR而测定的值。
氟化烃(1)所包含的丁烯类是1-丁烯(沸点为-6.3℃)、2-丁烯((E)-2-丁烯(沸点为3.73℃)和(Z)-2-丁烯(沸点为0.88℃))以及异丁烯(沸点为-6.9℃)的总称。氟化烃(1)中所存在的1种以上的丁烯全部是杂质。
氟化烃(1)中,异丁基氟能够通过以下的方法来制造:使用氟化剂对异丁醇进行氟化的方法;用氟化钾、氟化铯等碱金属氟化物处理异丁基溴或烷基磺酸异丁酯的方法;等。
此外,叔丁基氟能够通过用氢氟酸或氟化氢的胺络合物等处理叔丁醇的方法等来制造。
通过上述制造方法而得到的粗氟化烃(1)能够通过蒸馏提纯(精馏)来进行提纯。通过精馏等提纯方法从而氟化烃(1)中所包含的丁烯类的量能够降低至1000体积ppm以下,优选降低至500体积ppm以下。
粗氟化烃(1)通过进行蒸馏提纯从而可除去以丁烯类为代表的有机类杂质。
在通过蒸馏提纯而除去有机类杂质的情况下,可使用具有适当的理论塔板数的精馏塔。理论塔板数通常为10塔板以上、50塔板左右以下,优选为20塔板以上、50塔板左右以下。
因为作为杂质的丁烯类的沸点为5℃以下,所以在例如常温环境下等丁烯类的沸点以上的温度条件下,由于精馏塔的馏分抽提管路内的气化现象,从而导致与作为目标的异丁基氟(沸点为20~22℃)或叔丁基氟(沸点为12~13℃)的分离明显变差。因此,优选将馏分抽提管路、储存初馏分的容器充分地冷却。
精馏时的压力以表压表示通常为常压~10个大气压,优选为常压~5个大气压左右。对于回流量与抽提量之比(以下,有时称为“回流比”),为了高效率地分离容易成为气体状态的丁烯类尤其是异丁烯,而优选将回流比设定为30∶1以上。当回流比过小时,无法高效率地分离丁烯类,不仅纯度的提高幅度变小,而且初馏分会变多,回收的异丁基氟或叔丁基氟的总量会变少。相反,当回流比过大时,至每1次抽提的回收为止需要大量的时间,因此精馏本身需要大量的时间,生产率差。
提纯可以采用间歇式、连续式的任一种,但间歇式适合于在制造量少的情况下采用,在制造量多的情况下,适合于采用经由数根精馏塔的连续式。此外,也可以与添加萃取溶剂的萃取蒸馏操作组合进行。
在反应转化率低、需要回收原料的情况等下,在蒸馏纯化中,也可以进行例如在第1次蒸馏中分离原料化合物、在第2次蒸馏中分离作为杂质的对象的丁烯类等阶段性的蒸馏。在该情况下,也优选回流比为30∶1以上。
作为降低氟化烃(1)中的氮和氧的含量的方法,在利用精馏进行上述的丁烯类的除去的情况下,能够采用以下方法:在周期表第18族的惰性气体中进行提纯的方法;单蒸馏氟化烃(1),进行抽提馏分的操作的方法;等。
在利用后者的方法的情况下,通过利用单蒸馏将氮和氧与氟化烃(1)一起抽提,从而能够降低釜中所残留的氟化烃(1)中的氮和氧的量。
抽提的氟化烃(1)的量相对于蒸馏釜中所加入的氟化烃(1)以重量为基准优选为20%~50%,更优选为30%~40%。通过将抽提的氟化烃(1)进行储存,加入下一批次,从而能够回收、再利用。
氟化烃(1)中的氮的量优选为100体积ppm以下,更优选为80体积ppm以下,氧的量优选为50体积ppm以下,更优选为30体积ppm以下。
此外,作为除去氟化烃(1)中的水分的方法,能够采用与吸附剂接触等通常的方法。
作为吸附剂,能够使用合成沸石即俗称分子筛、氧化铝等。如日本特愿2012-165797号所记载的那样,对于2-氟丁烷、2,2-二氟丁烷这样的单氟烃或二氟烃的干燥,优选分子筛3A。
分子筛4A和5A等的细孔径大,虽然能够与分子筛3A同样地降低水分,但是因为在接触时会放出在细孔内吸附的氮、氧,所以有使氟化烃(1)中的氮、氧浓度增大的风险。
此外,使用带有碱性的分子筛容易引起氟化烃(1)的脱HF反应,因此在使用时需要注意。
作为氧化铝,优选使用通过加热脱水氧化铝水合物而生成的、结晶性低的活性氧化铝。
当在与氟化烃(1)接触前将分子筛、氧化铝等吸附剂通过烧成等操作而预先进行活化时,能够使它们吸附更多的水分,因此优选。
通过使氟化烃(1)与吸附剂接触,能够使氟化烃(1)中的水分量降低至50体积ppm以下。
当氟化烃(1)所包含的水分量多时,在对基板进行蚀刻加工后,水分吸附残留于加工面,有在铜等的布线形成工序中引起层叠膜的剥离、包埋的布线的腐蚀的风险,因此优选将水分量尽可能地降低。
从该观点出发,氟化烃(1)中的水分量优选为50体积ppm以下,更优选为20体积ppm以下。
如以上所说明的那样,经过以下工序,即,通过精馏从而使反应粗生成物中所包含的粗氟化烃(1)的纯度为99.9体积%以上且使丁烯类为1000体积ppm以下的工序,能够得到本发明的高纯度化的氟化烃(1)。
此外,进而,经过以下工序,即,通过使得到的氟化烃(1)与吸附剂接触从而除去水分的工序,和/或通过单蒸馏氟化烃(1)从而使氟化烃(1)中的氮浓度优选降低至100体积ppm以下、更优选降低至50体积ppm以下,使氧浓度优选降低至50体积ppm以下、更优选降低至20体积ppm以下的工序,从而能够得到进一步高纯度化的氟化烃(1)。
本发明的高纯度化的氟化烃(1)作为在半导体装置的制造领域中有用的蚀刻及化学气相沉积法(CVD)等的等离子体蚀刻用气体、含氟医药中间体、或氢氟烃类溶剂是有用的。
本发明的高纯度化的氟化烃(1)特别是在使用等离子体反应的半导体装置的制造领域中作为等离子体蚀刻用气体、CVD用气体等是适合的。
2)等离子体蚀刻气体
本发明的第2方面是本发明的氟化烃(1)作为等离子体蚀刻用气体的用途。
本发明的氟化烃(1)对于硅或硅氧化物膜、对于无机氮化物膜,具有蚀刻选择性。
在使用本发明的氟化烃(1)作为等离子体蚀刻用气体的情况下,为了控制等离子体中产生的蚀刻种的浓度、控制离子能量,也可以添加选自氦、氖、氩、氙及氪中的至少1种的惰性气体而使用。
对于惰性气体的添加量,优选惰性气体的合计量相对于氟化烃(1)以体积比[惰性气体/氟化烃(1))]计成为2~200,更优选成为5~150。
此外,为了缓和蚀刻停止(etchingstop),也可以添加O2和/或O3而使用。对于O2、O3的添加量,优选O2和O3的合计量相对于氟化烃(1)以体积比[(O2和/或O3)/氟化烃(1)]计成为0.1~50,更优选成为0.5~30。
3)等离子体蚀刻方法
本发明的第(3)方面是使用本发明的氟化烃(1)作为等离子体蚀刻用气体对层叠在硅或硅氧化物膜上的无机氮化物膜进行选择性等离子体蚀刻的等离子体蚀刻方法。
在本发明中使用的被处理体是无机氮化物膜。
作为无机氮化物膜,可举出硅氮化物膜、氮氧化硅膜、钛氮化物膜等。
无机氮化物膜通常形成于被处理基板。作为被处理基板,可举出例如玻璃基板、硅单晶晶片、镓-砷基板等。此外,也可以是在这些基板上形成了硅膜、硅氧化物膜等的基板。
本发明的等离子体蚀刻方法是例如以形成在无机氮化物膜上的抗蚀图案作为掩模、对层叠在硅或硅氧化物膜上的该无机氮化物膜进行无机氮化物膜的规定区域的等离子体蚀刻的方法。抗蚀图案能够以例如如下方式形成:在硅氧化物膜上成膜感光性抗蚀组合物,使用掩模图案照射195nm以下的放射线,由此形成图案。
在本发明的等离子体蚀刻方法中,将附有以上那样得到的抗蚀图案的无机氮化物膜(被处理体)设置在具有等离子体产生装置的处理室(蚀刻腔)内,将处理室脱气而使其真空,将使用的处理气体的成分即氟化烃(1)以及根据需要的氧气及18族气体分别以规定的速度,以成为规定的压力的方式导入到处理室内。
处理气体的导入速度与各成分的使用比例成比例,例如,可以将氟化烃(1)设为5~30sccm、氧气设为10~50sccm、18族气体设为100~500sccm等。
导入了处理气体的处理室内的压力通常为0.0013~1300Pa,优选为0.13~5Pa。
接着,利用等离子体产生装置,对处理室内的氟化烃(1)施加高频的电场而发生辉光放电,产生等离子体。
作为等离子体产生装置,可举出螺旋波方式、高频感应方式、平行平板类型、磁控管方式及微波方式等的装置,但是从高密度区域的等离子体产生容易的方面出发,适合于使用平行平板类型、高频感应方式及微波方式的装置。
等离子体密度没有特别限定,从更良好地体现本发明的效果的观点出发,期望在等离子体密度优选为1012离子/cm3以上、更优选为1012~1013离子/cm3的高密度等离子体气氛下进行蚀刻。
蚀刻时的被处理基板的到达温度没有特别限定,但是其范围优选为-50~+300℃,更优选为-20~+200℃,进一步优选为-10~+100℃。基板的温度可以通过冷却等进行控制,也可以不进行控制。
蚀刻处理的时间通常为5~10分钟,但是本发明所使用的处理气体能够进行高速蚀刻,因此能够设为2~5分钟而使生产率提高。
根据本发明的等离子体蚀刻方法,能够简便且高效率地形成没有颈缩、微细径、高长宽比的接触孔。
实施例
以下,通过实施例对本发明进行进一步详细说明,但是本发明不由于以下的实施例而限定其范围。另外,只要没有特别说明,“%”表示“重量%”。
以下采用的分析条件如下所述。
·气相色谱法分析(GC分析)
装置:HP-6890(Agilent公司制造)
柱:GLSciences公司制造的InertCap-1,长度60m,内径0.25mm,膜厚1.5μm
柱温:在40℃保持10分钟,接着以20℃/分进行升温,其后在240℃保持10分钟
注射温度:200℃
载气:氮
分流比:100/1
检测器:FID
·杂质分析(气相色谱质谱分析)
GC部分:HP-6890(Agilent公司制造)
柱:GLSciences公司制造的InertCap-1,长度60m,内径0.25mm,膜厚1.5μm
柱温:在40℃保持10分钟,接着以20℃/分进行升温,其后在240℃保持10分钟
MS部分:Agilent公司制造的5973NETWORK
检测器EI型(加速电压:70eV)
·1H、及19F-NMR测定
装置:JNM-ECA-400(日本电子公司制造)400MHz
·氮、氧(气相色谱法分析)
GC部分:HP-7890(Agilent公司制造)
柱:Agilent公司制造的HP-5,长度30m,内径0.32mm,膜厚0.25μm
柱温:在40℃保持5分钟,接着以5℃/分进行升温,其后在65℃保持1分钟
气体取样器:50℃
载气:氦
检测器:脉冲放电型
·水分测定(FT-IR)
IG-1000(大塚电子公司制造)
样品池材质:氟化钡
样品池长度:10m
[制造例1]甲磺酰氧基异丁烷的合成
在附有搅拌机、滴液漏斗、蛇形冷凝管的体积为2L的玻璃制反应器中,加入异丁醇(74g)、甲磺酰氯(130g)、干燥二异丙基醚(500ml),置于氮气氛下。将反应器用冰水冷却,从滴液漏斗用约2小时滴加三乙胺(121g)。滴加结束后,以0℃搅拌30分钟,其后,以约25℃继续搅拌6小时。
向反应液添加500ml冰水来溶解生成的三乙胺盐酸盐,分离为2层。将上层的有机层用5%盐酸、饱和碳酸氢钠水溶液接着用饱和食盐水洗净后,用硫酸镁干燥。其后,用旋转蒸发仪馏去二异丙基醚,用真空泵抽,得到118g的粗甲磺酰氧基异丁烷。
[制造例2]异丁基氟的合成
在附有搅拌机、滴液漏斗、馏分收集用接受器及蛇形冷凝管的体积为1L的玻璃制反应器中,加入116g的喷雾干燥氟化钾(Aldrich公司制造)和800ml的二乙二醇,置于氮气氛下。将反应器浸于油浴中,以95℃加热后,从滴液漏斗用约3.5小时添加152g的重复制造例1的反应而得到的粗甲磺酰氧基异丁烷。其后,继续搅拌4小时,将生成的低沸点的生成物收集到浸渍于干冰/乙醇浴的馏分收集接受器。其后,将油浴的温度降低至80℃,将2个浸于干冰-乙醇浴的玻璃制阱串联连接至反应器。进而,在玻璃制阱的出口连接压力控制器及真空泵。启动真空泵,使用压力控制器,使体系内的压力阶段性地下降至50~45kPa、接着至35~30kPa、再至30~25kPa,将挥发性成分回收至玻璃阱。
将馏分收集用接受器及2个玻璃制阱中的内容物合并,得到49g,用气相色谱法分析,结果是包含11.85面积%的异丁烯、79.69面积%的异丁基氟、7.23面积%的二异丙酯基醚及1.14面积%的高沸点成分的混合物。
[制造例3]叔丁基氟的合成
在附有转子、滴液漏斗及蛇形冷凝管的体积为300ml的玻璃制反应器中,加入29g的干燥叔丁醇及120ml的1,1,2-三氯三氟乙烷,用冰水冷却。在蛇形冷凝管使0℃的制冷剂循环。一边搅拌内容物,一边从滴液漏斗用约45分钟滴加94g的六氟丙烯-二乙胺络合物(东京化成工业公司制造)。滴加结束后,在用冰水冷却的同时进而搅拌2小时。其后,用气相色谱法分析内容物,结果是原料的叔丁醇消失。
在加入了冰水的分液漏斗内注入反应液,将有机层洗净后,用冷却的饱和碳酸氢钠水溶液、冰水进一步洗净,用硫酸镁干燥。过滤后,用气相色谱法分析有机层,结果是由1.44面积%的异丁烯、23.86面积%的叔丁基氟、34.13面积%的1,1,2-三氯三氟乙烷、39.52面积%的N,N-二乙基-2,3,3,3-四氟丙酰胺构成的混合物。
[实施例1]异丁基氟的精馏
将423g的重复制造例1和2所得的粗异丁基氟加入蒸馏釜,使用KS型精馏塔(东科精机公司制造,柱长60cm,填充剂HELIPACKNO.1)进行蒸馏。在冷凝器使-20℃的制冷剂循环,进行约1小时的全回流。对于蒸馏釜,一边考虑塔顶部的温度和釜内部的残余量,一边以45~70℃加热。全回流后,以回流比45∶1进行馏分的抽提。其结果是,得到247g的99.941面积(体积)%的异丁基氟,作为杂质,包含543面积(体积)ppm的异丁烯。
异丁基氟的光谱数据
1H-NMR(CDCl3,TMS)δ(ppm):1.03(t,3H×2)、1.97(m,1H)、4.41(m,2H)、4.45(m,2H)
19F-NMR(CDCl3、CFCl3)δ(ppm):-220(m,F)
[实施例2]
在加入了100g的分子筛3A(UNIONSHOWA公司制造)的体积为1.2L的SUS316制容器(内表面:电解抛光处理)中加入240g的在实施例1蒸馏提纯的异丁基氟,以约25℃浸渍22小时。
其后,在体积为0.5L的SUS316制釜的上部组装装有短柱、冷凝器、及接受器的单蒸馏装置,在冷凝器使-10℃的冷却水循环。在釜中加入227g的进行了水分除去的异丁基氟,将釜加热至40℃。用气相色谱法测定此时的异丁基氟中的氮及氧浓度,结果分别是534体积ppm及130体积ppm。在相对于加入的异丁基氟将约30重量%抽提到接受器时,停止单蒸馏,将釜冷却至25℃。将148g的釜内的异丁基氟填充至附有隔膜式阀的体积为0.5L的锰钢制筒(内表面粗糙度:1S)。异丁基氟的纯度为99.947面积(体积)%,异丁烯的含量为414面积(体积)ppm,氮、氧及水分的含量分别为67体积ppm、10体积ppm及12体积ppm。
[实施例3]
将387g的重复制造例1和2的反应所得的粗异丁基氟加入蒸馏釜,使用KS型精馏塔(东科精机公司制造,柱长:60cm,填充剂:HELIPACKNO.1)进行蒸馏。在冷凝器使-20℃的制冷剂循环,进行约1小时的全回流。对于蒸馏釜,一边考虑塔顶部的温度和釜内部的残余量,一边从45℃至70℃加热。全回流后,在回流比30∶1之间进行馏分的抽提。其结果是,得到213g的99.913面积(体积)%的异丁基氟,作为杂质,包含834面积(体积)ppm的异丁烯。
[实施例4]
将210g的在实施例3得到的异丁基氟在体积为0.5L的不锈钢制容器内在25℃下浸渍于20g的氧化铝(日挥触媒化成公司制造,商品名“N612N”)20小时。将不锈钢容器与体积为0.5L的锰钢制筒用不锈钢管连接,通过孔径为0.2μm的金属制过滤器,在减压下将异丁基氟填充至筒内。将筒用冰水冷却,通过压力控制器在5~10kPa压力下一边用真空泵减压一边抽提约20g的异丁基氟。恢复至约25℃,暂时静置后,异丁基氟的纯度为99.918面积(体积)%,异丁烯的含量为791面积(体积)ppm,氮、氧及水分的含量分别为41体积ppm、13体积ppm及38体积ppm。
[实施例5]
将423g的重复制造例3所得的粗叔丁基氟加入蒸馏釜,使用KS型精馏塔(东科精机公司制造,柱长60cm,填充剂HELIPACKNO.1)进行蒸馏。在冷凝器使-20℃的制冷剂循环,进行约1小时的全回流。对于蒸馏釜,一边考虑塔顶部的温度和釜内部的残余量,一边以45~60℃加热。全回流后,以回流比40∶1进行馏分的抽提。其结果是,得到247g的99.931面积(体积)%的叔丁基氟,作为杂质,包含627面积(体积)ppm的异丁烯。
叔丁基氟的光谱数据
1H-NMR(CDCl3,TMS)δ(ppm):1.26(d,3H×3)
19F-NMR(CDCl3、CFCl3)δ(ppm):-130(m,F)
[实施例6]
在加入了25g的分子筛3A(UNIONSHOWA公司制造)的体积为0.5L的SUS316制容器(内表面:电解抛光处理)中加入240g的在实施例5蒸馏提纯的叔丁基氟,以约25℃浸渍20小时。
其后,在体积为0.5L的SUS316制釜的上部组装装有短柱、冷凝器及接受器的单蒸馏装置,在冷凝器使-15℃的冷却水循环。在釜中加入231g的进行了水分除去的叔丁基氟,将釜加热至30℃。用气相色谱法测定此时的叔丁基氟中的氮及氧浓度,结果分别为710体积ppm及266体积ppm。在相对于加入的叔丁基氟将约35重量%抽提到接受器时,停止单蒸馏,将釜冷却至约25℃。将144g的釜内的叔丁基氟填充至附有隔膜式阀的体积为0.5L的锰钢制筒(内表面粗糙度:1S)。叔丁基氟中的异丁烯的含量为596面积(体积)ppm,氮、氧及水分的含量分别为72体积ppm、22体积ppm及16体积ppm。
[实施例7]
将389g的重复制造例3的反应所得的粗叔丁基氟加入蒸馏釜,使用KS型精馏塔(东科精机公司制造,柱长:60cm,填充剂:HELIPACKNO.1)进行蒸馏。在冷凝器使-20℃的制冷剂循环,进行约1小时的全回流。对于蒸馏釜,一边考虑塔顶部的温度和釜内部的残余量,一边从45℃至60℃加热。全回流后,在回流比30∶1之间进行馏分的抽提。其结果是,得到198g的99.906面积(体积)%的叔丁基氟,作为杂质,包含902面积(体积)ppm的异丁烯。
[实施例8]
将187g的在实施例7得到的叔丁基氟在体积为0.5L的不锈钢制容器内在约25℃下浸渍于18g的分子筛(TOSOH公司制造,商品名“Zeolum(注册商标)A-3”)18小时。将不锈钢容器与体积为0.5L的锰钢制筒用不锈钢管连接,通过孔径为0.2μm的金属制过滤器,在减压下将叔丁基氟填充至筒内。将筒用冰水冷却,通过压力控制器在5~10kPa压力下一边用真空泵减压一边抽提约20g的叔丁基氟。恢复至25℃,暂时静置后,叔丁基氟中的异丁烯的含量为889面积(体积)ppm,氮、氧及水分的含量分别为66体积ppm、14体积ppm及39体积ppm。
[参考例1]
将406g的重复制造例1和2的反应所得的粗异丁基氟加入蒸馏釜,使用KS型精馏塔(东科精机公司制造,柱长:60cm,填充剂:HELIPACKNO.1)进行蒸馏。在冷凝器使-20℃的制冷剂循环,进行约1小时的全回流。对于蒸馏釜,一边考虑塔顶部的温度和釜内部的残余量,一边以45~70℃加热。全回流后,以回流比10∶1进行馏分的抽提。其结果是,得到235g的99.872面积%的异丁基氟,作为杂质,包含1189面积(体积)ppm的异丁烯。其后,进行与实施例4相同的操作,将218g的异丁基氟填充至筒。测定异丁基氟中的氮、氧、及水分含量,结果分别为40体积ppm、13体积ppm及25体积ppm。
[参考例2]
将393g的重复制造例3的反应所得的粗叔丁基氟加入蒸馏釜,使用KS型精馏塔(东科精机公司制造,柱长:60cm,填充剂:HELIPACKNO.1)进行蒸馏。在冷凝器使-20℃的制冷剂循环,进行约1小时的全回流。对于蒸馏釜,一边考虑塔顶部的温度和釜内部的残余量,一边以45~70℃加热。全回流后,以回流比10∶1进行馏分的抽提。其结果是,得到225g的99.811面积%的叔丁基氟,作为杂质,包含1690面积(体积)ppm的异丁烯。其后,进行与实施例6相同的操作,将203g的叔丁基氟填充至筒。测定叔丁基氟中的氮、氧、及水分含量,结果分别为55体积ppm、11体积ppm及16体积ppm。
[实施例9]
等离子体蚀刻评价:使用在表面形成有硅氮化物膜的晶片和在表面形成有硅氧化物膜的晶片,对各个晶片分别进行蚀刻。然后,测定硅氮化物膜和硅氧化物膜的各自的蚀刻速度,基于这些测定结果,根据硅氮化物膜相对于硅氧化物膜的蚀刻速度比求出选择比(SiN膜/SiO2膜)。
在平行平板型等离子体蚀刻装置的蚀刻腔内,分别设置表面形成有硅氮化物膜的晶片和表面形成有硅氧化物膜的晶片,使体系内为真空后,使用在实施例2调制的异丁基氟在下述的蚀刻条件下实施蚀刻。其结果是,硅氮化物膜的蚀刻速度为28nm/分钟,硅氧化物膜没有被蚀刻。因此,选择比(SiN膜/SiO2膜)为无穷大。
蚀刻条件
混合气体的压力:6.7Pa
上部电极的高频电源功率:200W
下部电极的高频电源功率:100W
上部电极与下部电极的间隔:50mm
电极温度:20℃
气体流量
O2气:60sccm
异丁基氟:45sccm
蚀刻时间:180秒
[实施例10]
除了将异丁基氟变更为在实施例4调制的异丁基氟以外,与实施例9同样地进行蚀刻评价。其结果是,硅氮化物膜的蚀刻速度为25nm/分钟,硅氧化物膜没有被蚀刻。因此,选择比(SiN膜/SiO2膜)为无穷大。
[实施例11]
除了将实施例9中的异丁基氟变更为在实施例6调制的叔丁基氟以外,在以下的条件下进行蚀刻评价。其结果是,硅氮化物膜的蚀刻速度为30nm/分钟,硅氧化物膜没有被蚀刻。因此,选择比(SiN膜/SiO2膜)为无穷大。蚀刻条件
混合气体的压力:6.7Pa
上部电极的高频电源功率:200W
下部电极的高频电源功率:100W
上部电极与下部电极的间隔:50mm
电极温度:20℃
气体流量
O2气:60sccm
叔丁基氟:40sccm
蚀刻时间:180秒
[实施例12]
除了将叔丁基氟用在实施例8调制的叔丁基氟代替以外,与实施例11同样地进行蚀刻评价。其结果是,硅氮化物膜的蚀刻速度为24nm/分钟,硅氧化物膜没有被蚀刻。因此,选择比(SiN膜/SiO2膜)为无穷大。
[比较例1]
除了将异丁基氟变更为在参考例1调制的异丁基氟以外,与实施例9同样地进行蚀刻评价,但是在硅氮化物膜产生沉积,中途蚀刻停止。当然,硅氧化物膜没有被蚀刻。
[比较例2]
除了将叔丁基氟变更为在参考例2调制的叔丁基氟以外,与实施例11同样地进行蚀刻评价,但是在硅氮化物膜产生沉积,中途蚀刻停止。当然,硅氧化物膜没有被蚀刻。

Claims (5)

1.一种氟化烃,用式:R-F表示,R表示异丁基或叔丁基,其特征在于,纯度为99.9体积%以上,丁烯类合计为1000体积ppm以下。
2.如权利要求1所述的氟化烃,其中,氮含量为100体积ppm,氧含量为50体积ppm以下。
3.如权利要求1或2所述的氟化烃,其中,水分含量为50体积ppm以下。
4.权利要求1~3中任一项所述的氟化烃作为等离子体蚀刻气体的用途。
5.一种等离子体蚀刻方法,使用权利要求1~3中任一项所述的氟化烃作为等离子体蚀刻气体对层叠在硅或硅氧化物膜上的无机氮化物膜进行选择性等离子体蚀刻。
CN201480058513.6A 2013-10-30 2014-10-28 高纯度氟化烃、其作为等离子体蚀刻用气体的用途以及等离子体蚀刻方法 Pending CN105683139A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2013225480 2013-10-30
JP2013-225480 2013-10-30
PCT/JP2014/078553 WO2015064550A1 (ja) 2013-10-30 2014-10-28 高純度フッ素化炭化水素、プラズマエッチング用ガスとしての使用、及び、プラズマエッチング方法

Publications (1)

Publication Number Publication Date
CN105683139A true CN105683139A (zh) 2016-06-15

Family

ID=53004153

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201480058513.6A Pending CN105683139A (zh) 2013-10-30 2014-10-28 高纯度氟化烃、其作为等离子体蚀刻用气体的用途以及等离子体蚀刻方法

Country Status (7)

Country Link
US (1) US9984896B2 (zh)
EP (1) EP3064483B1 (zh)
JP (1) JP6447507B2 (zh)
KR (1) KR101814406B1 (zh)
CN (1) CN105683139A (zh)
TW (1) TWI655173B (zh)
WO (1) WO2015064550A1 (zh)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2015093527A1 (ja) * 2013-12-20 2015-06-25 日本ゼオン株式会社 フッ素化炭化水素化合物の精製方法
JP6670672B2 (ja) * 2016-05-10 2020-03-25 東京エレクトロン株式会社 エッチング方法
TWI760421B (zh) * 2017-01-18 2022-04-11 日商東京威力科創股份有限公司 使用六氟化硫之優先氮化矽蝕刻方法
KR102603885B1 (ko) * 2017-04-06 2023-11-20 칸토 덴카 코교 가부시키가이샤 드라이 에칭 가스 조성물 및 드라이 에칭 방법

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3906051A (en) * 1973-01-29 1975-09-16 Phillips Petroleum Co Alkyl fluoride production
JPS6032718A (ja) * 1983-08-02 1985-02-19 Asahi Glass Co Ltd フツ素化方法
JP2009292749A (ja) * 2008-06-04 2009-12-17 Ube Ind Ltd フッ素化有機化合物の製造方法
CN101983417A (zh) * 2008-03-31 2011-03-02 日本瑞翁株式会社 等离子体蚀刻方法

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5104075B2 (ja) * 2007-07-04 2012-12-19 ダイキン工業株式会社 ペンタフルオロエタンの精製方法
JP5500692B2 (ja) 2011-02-10 2014-05-21 サミー株式会社 スロットマシン
KR20150099515A (ko) 2012-12-27 2015-08-31 제온 코포레이션 드라이 에칭 방법
US9659787B2 (en) * 2013-03-07 2017-05-23 Zeon Corporation High-purity 2-fluorobutane
CN105324356A (zh) * 2013-06-17 2016-02-10 日本瑞翁株式会社 高纯度1-氟代丁烷及等离子体蚀刻方法

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3906051A (en) * 1973-01-29 1975-09-16 Phillips Petroleum Co Alkyl fluoride production
JPS6032718A (ja) * 1983-08-02 1985-02-19 Asahi Glass Co Ltd フツ素化方法
CN101983417A (zh) * 2008-03-31 2011-03-02 日本瑞翁株式会社 等离子体蚀刻方法
JP2009292749A (ja) * 2008-06-04 2009-12-17 Ube Ind Ltd フッ素化有機化合物の製造方法

Non-Patent Citations (3)

* Cited by examiner, † Cited by third party
Title
GEORGE A. OLAH ET AL.: "Synthetic Methods and Reactions. 63. Pyridinium Poly( hydrogen fluoride) (30% Pyridine-70% Hydrogen Fluoride): A Convenient Reagent for Organic Fluorination Reactions", 《J. ORG. CHEM.》 *
KENNETH A. COOPE ET AL.: "Mechanism of Substitution at a Saturated Carbon Atom. Part VIII. Hydrolysis of tert.-Butyl Halides.", 《JOURNAL OF THE CHEMICAL SOCIETY》 *
WILLIAM J. MIDDLETON: "New Fluorinating Reagents. Dialkylaminosulfur Fluorides", 《J. ORG. CHEM.》 *

Also Published As

Publication number Publication date
EP3064483B1 (en) 2019-05-01
JPWO2015064550A1 (ja) 2017-03-09
US20160251286A1 (en) 2016-09-01
KR101814406B1 (ko) 2018-01-04
US9984896B2 (en) 2018-05-29
EP3064483A1 (en) 2016-09-07
TWI655173B (zh) 2019-04-01
TW201522281A (zh) 2015-06-16
WO2015064550A1 (ja) 2015-05-07
JP6447507B2 (ja) 2019-01-09
EP3064483A4 (en) 2017-06-21
KR20160071448A (ko) 2016-06-21

Similar Documents

Publication Publication Date Title
CN105008316A (zh) 高纯度2-氟丁烷
CN105683139A (zh) 高纯度氟化烃、其作为等离子体蚀刻用气体的用途以及等离子体蚀刻方法
JP6311710B2 (ja) 高純度1−フルオロブタン及びプラズマエッチング方法
KR101962191B1 (ko) 플라즈마 에칭 가스 및 플라즈마 에칭 방법
US20080139855A1 (en) Gas for plasma reaction, process for producing the same, and use thereof
US9944852B2 (en) High-purity 1H-heptafluorocyclopentene
CN112204003A (zh) 1-氯-2,3,3,4,4,5,5-七氟-1-戊烯的制造方法
JP5807494B2 (ja) 含フッ素化合物の製造方法
CN103664503B (zh) 1,2,3,4-四氯六氟丁烷的合成
JP5807493B2 (ja) 含フッ素化合物の製造方法
KR20140095241A (ko) 배가스 중의 삼불화질소 회수 방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
RJ01 Rejection of invention patent application after publication

Application publication date: 20160615

RJ01 Rejection of invention patent application after publication