TW201517165A - 用於腔室內及腔室至腔室匹配之具有多參數的蝕刻速率模型化及其使用 - Google Patents

用於腔室內及腔室至腔室匹配之具有多參數的蝕刻速率模型化及其使用 Download PDF

Info

Publication number
TW201517165A
TW201517165A TW103125354A TW103125354A TW201517165A TW 201517165 A TW201517165 A TW 201517165A TW 103125354 A TW103125354 A TW 103125354A TW 103125354 A TW103125354 A TW 103125354A TW 201517165 A TW201517165 A TW 201517165A
Authority
TW
Taiwan
Prior art keywords
etch rate
generator
voltage
chamber
current
Prior art date
Application number
TW103125354A
Other languages
English (en)
Other versions
TWI641042B (zh
Inventor
John C Valcore Jr
Harmeet Singh
Henry Povolny
Original Assignee
Lam Res Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Res Corp filed Critical Lam Res Corp
Publication of TW201517165A publication Critical patent/TW201517165A/zh
Application granted granted Critical
Publication of TWI641042B publication Critical patent/TWI641042B/zh

Links

Classifications

    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32926Software, data control or modelling
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/3299Feedback systems

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Quality & Reliability (AREA)
  • General Physics & Mathematics (AREA)
  • Automation & Control Theory (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

一種方法,包括:接收於第一電漿系統的RF產生器之輸出端所測量到的電壓及電流、並基於該電壓、該電流、及一功率而計算第一模型蝕刻速率。該方法更包括:接收於第二電漿系統的RF產生器之輸出端所測量到的電壓及電流、基於在第二電漿系統的RF產生器之輸出端的電壓及電流而判定一第二模型蝕刻速率、及對第二模型蝕刻速率與第一模型蝕刻速率進行比較。該方法包括:一旦判定第二模型蝕刻速率與第一模型蝕刻速率不匹配,則調整在第二電漿系統之RF產生器之輸出端的功率以達成與第一電漿系統相關聯之第一模型蝕刻速率。該方法係由處理器來執行。

Description

用於腔室內及腔室至腔室匹配之具有多參數的蝕刻速率模型化及其使用
本發明係關於用於腔室內匹配及腔室至腔室匹配之具有多參數的蝕刻速率模型化及該模型之使用。
電漿腔室被用於各樣的處理,例如清潔晶圓、在晶圓上沉積材料、等。將電漿用以執行該等處理。例如,一射頻(RF)產生器產生一傳輸至電漿腔室的RF信號以於該電漿腔室中產生電漿。該RF產生器可為2百萬赫茲(MHz)RF產生器、27 MHz RF產生器、或60 MHz RF產生器。
該等處理其中另一者包括了對晶圓進行蝕刻。然而,當在電漿腔室中蝕刻晶圓時,電漿腔室中蝕刻晶圓的速率隨著時間而改變。例如,當使用27 MHz RF產生器來供應RF功率至電漿腔室以蝕刻晶圓時,存在著0.85%的蝕刻速率變化。如另一範例,當使用60 MHz RF產生器來供應RF功率至電漿腔室以蝕刻晶圓時,存在著1.08%的蝕刻速率變化。
此外,當晶圓係在多個電漿腔室中蝕刻時,晶圓上可能被施加了不同的蝕刻速率。例如,當使用27 MHz RF產生器來供應RF功率至電漿腔室以蝕刻晶圓時,在腔室至腔室蝕刻速率上可存在著3.3%的變異性。如另一範例,當使用60 MHz RF產生器來供應RF功率至電漿腔室以蝕刻晶圓時,在腔室至腔室蝕刻速率上可存在著4.8%的變異性。
在此背景下,本揭露內容中描述的實施例產生。
本揭露範圍之實施例提供了用於將蝕刻速率模型化、及將具有多參數的該模型用於腔室內匹配及腔室至腔室匹配之設備、方法、及電腦程式。吾人應了解本發明可以許多方式加以實行,例如處理、設備、系統、裝置、或電腦可讀媒體上的方法。以下描述幾個實施例。
在一些實施例中,將蝕刻速率模型化以促成蝕刻速率之腔室至腔室匹配及/或腔室內匹配。例如,蝕刻速率被判定為係數、功率、及/或電壓、及/或電流、及/或頻率之函數。所判定的蝕刻速率係用以維持一電漿系統或橫跨多個電漿系統之恆定蝕刻速率。
在各樣的實施例中,描述基於一模型蝕刻速率而執行蝕刻速率之腔室至腔室匹配的方法。該方法包括了接收於第一電漿系統的射頻(RF)產生器之輸出端所測量到的電壓及電流、並基於該電壓、該電流、及一功率而計算第一模型蝕刻速率。該功率係基於該電壓、該電流、及該電壓與電流之間的一相位而計算。該模型蝕刻速率與第一電漿系統相關聯。該方法更包括了接收於第二電漿系統的RF產生器之輸出端所測量到的電壓及電流、基於在第二電漿系統的RF產生器之輸出端的電壓及電流而判定一第二模型蝕刻速率、及對第二模型蝕刻速率與第一模型蝕刻速率進行比較。該方法包括了一旦判定第二模型蝕刻速率與第一模型蝕刻速率不匹配,則調整在第二電漿系統之RF產生器之輸出端的功率以達成與第一電漿系統相關聯之第一模型蝕刻速率。該方法係由處理器來執行。
在幾個實施例中,描述基於模型蝕刻速率而執行蝕刻速率之腔室內匹配的方法。該方法包括了接收在第一時間於電漿系統之RF產生器的輸出端所測量到的電壓及電流、及基於該電壓、該電流、及一功率而計算第一模型蝕刻速率。該功率係基於該電壓與該電流之間的相位而計算。該方法更包括了接收在第二時間於RF產生器的輸出端所測量到的電壓及電流、及基於該電壓、該電流、及一功率而計算第二模型蝕刻速率。該功率係基於在第二時間所測量到的該電壓與該電流之間的相位而計算。該方法包括對第一模型蝕刻速率與第二模型蝕刻速率進行比較、及一旦判定第二模型蝕刻速率與第一模型蝕刻速率不匹配時則調整在RF產生器之輸出端的功率以達成第一模型蝕刻速率。該方法係由處理器來執行。
在一些實施例中,描述一第一電漿系統。該第一電漿系統包括用以產生RF信號的一RF產生器。該RF產生器包括用以促成該RF信號之傳輸的一輸出端。第一電漿系統更包括:一複阻抗(complex impedance)感測器,該複阻抗感測器連接至該輸出端以測量該RF信號的電壓及電流;及一阻抗匹配電路,該阻抗匹配電路經由一纜線連接至該RF產生器、且經由一RF傳輸線連接至該電漿腔室用以對該RF信號進行修改以產生一修改後RF信號。該第一電漿系統包括一電漿腔室,該電漿腔室係用以於從阻抗匹配電路接收到該修改後RF信號時產生電漿。第一電漿系統包括一處理器,該處理器連接至複阻抗感測器以接收在該RF產生器之輸出端所測量到的電壓及電流。該處理器係用以基於該電壓、該電流、及一功率而計算第一模型蝕刻速率。該功率係基於該電壓、該電流、及該電壓與該電流之間的相位而計算。該處理器係用以接收在第二電漿系統的RF產生器之輸出端所測量到的電壓及電流、基於在第二電漿系統的RF產生器之輸出端的電壓及電流而判定第二模型蝕刻速率、及對第二模型蝕刻速率與第一模型蝕刻速率進行比較。該處理器係用以於一旦判定第二模型蝕刻速率與第一模型蝕刻速率不匹配時調整在第一電漿系統的RF產生器之輸出端的功率以達成第一模型蝕刻速率。
上述實施例的一些優點包括了提供蝕刻速率之模型。例如,蝕刻速率模型被判定為係數、功率、及/或電壓、及/或電流、及/或頻率之函數。該模型為可行的、且使用較少的處理器計算時間及較少的資源(例如,處理器、及/或記憶元件的數量)。此外,不需要使用蝕刻速率測量裝置(ERMD)來測量蝕刻速率以達成腔室內或腔室至腔室的蝕刻速率均勻性。另外,在蝕刻速率上的腔室內或腔室至腔室均勻性提供了蝕刻速率的確定性而不受RF產生器將RF功率提供至電漿系統以蝕刻晶圓之時間的影響。
從以下配合隨附圖式所做出之詳細描述,將更清楚本發明的其他態樣。
下述的實施例描述使用功率控制模式之腔室匹配的系統及方法。顯而易見的,本發明可被實行而無須這些特定細節其中的一些或全部。在其他情況下,為了不對本發明造成不必要地混淆,眾所周知的處理操作則沒有被詳述。
圖1為一圖式,該圖式係用以說明一模型蝕刻速率為電壓、及/或電流、及/或功率、及/或頻率之函數。在一些實施例中,以奈米/每分鐘的單位來測量蝕刻速率。該電壓係於一射頻(RF)產生器的輸出端所測量。該電流係於該RF產生器的輸出端所測量,該功率係於該RF產生器的輸出端所測量,且該頻率係於該RF產生器的輸出端所測量。
當該電壓、電流、功率、及頻率其中一或更多者改變時,該模型蝕刻速率改變。
在一些實施例中,該電壓為一電壓強度,該電流為一電流強度,且該功率為一功率強度。強度之範例包括了零至峰(zero-to-peak)強度、峰至峰(peak-to-peak)強度、及均方根(RMS)強度。
在各樣的實施例中,該功率為傳輸功率,該傳輸功率為RF產生器供應至電漿腔室的功率與該電漿腔室反射向RF產生器的功率之間的差。在一些實施例中,該功率為供應功率或反射功率。
圖2A為一電漿系統100之實施例的圖式,該系統係用以判定一模型蝕刻速率。該電漿系統100包括一 x 百萬赫茲(MHz)射頻(RF)產生器、一 y MHz RF產生器、及一 z MHz RF產生器。x MHz之範例包括2 MHz、27 MHz、及60 MHz。y MHz之範例包括2 MHz、27 MHz、及60 MHz。z MHz之範例包括2 MHz、27 MHz、及60 MHz。
在一些實施例中,x MHz不同於y MHz及z MHz、且y MHz不同於z MHz。例如,當x MHz為2 MHz時,y MHz為27 MHz且z MHz為60MHz。
吾人應注意,在一些實施例中,將連接至RF產生器之輸出端的一複阻抗(complex impedance)感測器校準至一定的準確度。例如,連接至x MHz RF產生器之輸出端102的複阻抗感測器119每一次在輸出端102進行測量時會測量到等量的電壓、等量的電流、及/或等量的相位。如另一範例, 每一次在輸出端102進行測量時,複阻抗感測器119測量:一電壓的量,該電壓的量處於在輸出端102之電壓測量結果的一臨界值範圍內;及/或在輸出端102的一電流的量,該電流的量處於在輸出端102之電流測量結果的一臨界值範圍內;及/或在輸出端102的一相位,該相位處於在輸出端102之相位測量結果的一臨界值範圍內。
在一些實施例中,另一複阻抗感測器(未顯示)連接至輸出端108,而再另一複阻抗感測器(未顯示)連接至輸出端112。
吾人應注意,在許多實施例中,相位為電壓與電流之間的相位。例如,當電壓為Vm 伏特且電流為Im 安培時,該電壓與電流之間的相位為ϕm 度,其中m為大於零的整數。
在各樣的實施例中,校正後的複阻抗感測器遵守一預設的標準,例如國家標準科技研究院(NIST)標準。例如,用以連接至RF產生器的輸出端之校準後的複阻抗感測器係可追溯到NIST的。
在一些實施例中,可於電漿系統100內使用任何數量的RF產生器(例如二、四、等)。
阻抗匹配電路104經由RF纜線106連接至輸出端102。相似地,阻抗匹配電路104經由RF纜線110連接至y MHz RF產生器的輸出端108、且阻抗匹配電路104經由RF纜線114連接至z MHz RF產生器的輸出端112。阻抗匹配電路104將連接至阻抗匹配電路104一側之負載的阻抗與連接至阻抗匹配電路104另一側之來源的阻抗相匹配。例如,阻抗匹配電路104將RF傳輸線116及電漿腔室118的阻抗與x MHz RF產生器、y MHz RF產生器、z MHz RF產生器、RF纜線106、RF纜線110、及RF纜線114的阻抗相匹配。
電漿腔室118經由RF傳輸線116連接至阻抗匹配電路104。電漿腔室118包括一卡盤120、一上電極122、及其它零件(未顯示),例如圍繞該上電極122的上介電環、圍繞該上介電環的上電極延伸部、圍繞該卡盤120之下電極的下介電環、圍繞該下介電環的下電極延伸部、上電漿排除區(PEZ)環、下PEZ環、等。上電極122位於卡盤120的對面且面向該卡盤。一擋片(dummy wafer)124放置於卡盤120的上表面126上。下電極及上電極122其中每一者係由金屬所製成,例如鋁、鋁的合金、銅、等。該卡盤120可為靜電卡盤(ESC)或磁性卡盤。
在一些實施例中,該擋片124係用以判定蝕刻速率。例如,擋片124係由半導體以外的材料所製成。半導體以外的材料之範例包括了玻璃、鹼石灰、硼矽酸鹽、石英、陶瓷、碳纖、等。如另一範例,擋片124較半導體晶圓更便宜。
在一些實施例中,以半導體晶圓來代替擋片124。於生產期間內在半導體晶圓上執行各樣的處理,例如,化學氣相沉積、清潔、沉積、濺射、蝕刻、離子植入、光阻剝除、等。在半導體晶圓上發展積體電路,例如特定應用積體電路(ASIC)、可程式化邏輯裝置(PLD)、等, 並將積體電路用於各樣的電子產品,例如手機、平板、智慧型手機、電腦、膝上型電腦、網路裝置等。
RF纜線106、110、114、阻抗匹配電路104、RF傳輸線116、及電漿腔室118為電漿系統100之工具133的零件。
在一些實施例中,上電極122包括一或更多進氣口,例如連接至中央氣體供給器(未顯示)的孔、等。中央氣體供給器從氣體貯存器(未顯示)接收一或更多處理氣體。處理氣體之範例包括含氧氣體,例如O2 。處理氣體的其他範例包括含氟氣體,例如四氟化碳 (CF4 )、六氟化硫(SF6 ),六氟乙烷(C2 F6 )、等。上電極122為接地的。卡盤120經由阻抗匹配電路104而連接至x MHz RF產生器、y MHz RF產生器、及z MHz RF產生器。
當在上電極122與卡盤120之間供應處理氣體且當x MHz RF產生器、及/或y MHz RF產生器、及/或z MHz RF產生器將RF信號經由阻抗匹配電路104及RF傳輸線116而供應至卡盤120時,處理氣體被點燃以在電漿腔室118內產生電漿 。
當x MHz RF產生器產生RF信號並將其經由輸出端102、RF纜線106、阻抗匹配電路104、及RF傳輸線116而提供至放置擋片124的卡盤120時,複阻抗感測器119測量在輸出端102之複電壓及電流。在一些實施例中,複電壓及電流包括了電壓強度、電流強度、及該電壓強度與電流強度之間的相位。將複阻抗感測器119所測量到的複電壓及電流經由纜線127而提供至主機系統130的處理器128以存儲於主機系統130的記憶元件132中。處理器128經由纜線127而連接至複阻抗感測器119。
如本文中所使用,處理器可為中央處理單元(CPU)、微處理器、特定應用積體電路(ASIC)、可程式化邏輯裝置(PLD)、等。記憶元件之範例包括了唯讀記憶體(ROM)、隨機存取記憶體(RAM)、或其組合。記憶元件可為快閃記憶體、儲存磁碟冗餘陣列(RAID)、硬碟等。
相似地,連接至輸出端108及112的複阻抗感測器(未顯示)經由對應的纜線而將複電壓及電流的測量結果提供至處理器128以將其存儲於記憶元件132中。
處理器128基於從複阻抗感測器119所接收到的複電壓及電流在時間t1之測量結果而計算與電漿系統100相關聯的蝕刻速率。當x MHz RF產生器162經由輸出端102對電漿腔室118提供功率時,該複電壓及電流的測量結果被產生並接收。處理器128基於所測量到的複電壓及電流來產生一模型蝕刻速率。例如,處理器128計算一些項目的總和以判定與電漿系統100相關聯之模型蝕刻速率。其中每一項目為:一係數與在時間t1所測得之電壓的一函數之乘積;或一係數與在時間t1所測量到之電流的一函數之乘積;或一係數與在時間t1所測量到之電壓的一函數、及在時間t1所測量到之電流的一函數之乘積。如一範例,處理器128將模型蝕刻速率ER1001計算為等同於一階多項式:C11 V1 + C12 I1 ,其中C11 V1 及C12 I1 每一者為一項目,C11 及C12 為係數,V1 為複阻抗感測器119於時間t1 所測量到的電壓強度,而I1 為複阻抗感測器119於時間t1 所測量到的電流強度。如另一範例,將一蝕刻速率ER1002計算為二階多項式:C21 V1 + C22 I1 + C23 V1 2 + C24 V1 I1 + C25 I1 2 ,其中C21 V1 、C22 I1 、C23 V1 2 、C24 V1 I1 、及C25 I1 2 每一者為一項目,C21 、C22 、C23 、C24 、及C25 為係數,其中I1 2 為電流I1 的2冪次函數,其中V1 2 為電壓V1 的2冪次函數。如再另一範例,將一蝕刻速率ER1003計算為三階多項式:C31 V1 + C32 I1 + C33 V1 2 + C34 V1 I1 + C35 I1 2 + C36 V1 3 + C37 V1 2 I1 + C38 V1 I1 2 + C39 I1 3 ,其中C31 V1 、C32 I1 、C33 V1 2 、C34 V1 I1 、C35 I1 2 、C36 V1 3 、C37 V1 2 I1 、C38 V1 I1 2 、及C39 I1 3 每一者為一項目,C31 、C32 、C33 、C34 、C35 、C36 、C37 、C38 、及C39 為係數,I1 3 為電流I1 的3冪次函數,且V1 3 為電壓V1 的3冪次函數。如另一範例,將一蝕刻速率ER1004計算為四階多項式:C41 V1 + C42 I1 + C43 V1 2 + C44 V1 I1 + C45 I1 2 + C46 V1 3 + C47 V1 2 I1 + C48 V1 I1 2 + C49 I1 3 + C50 V1 4 + C51 V1 3 I1 + C52 V1 2 I1 2 + C53 V1 I1 3 + C54 I1 4 ,其中C41 V1 、C42 I1 、C43 V1 2 、C44 V1 I1 、C45 I1 2 、C46 V1 3 、C47 V1 2 I1 、C48 V1 I1 2 、C49 I1 3 、C50 V1 4 、C51 V1 3 I1 、C52 V1 2 I1 2 、C53 V1 I1 3 、及C54 I1 4 每一者為一項目,C41 、C42 、C43 、C44 、C45 、C46 、C47 、C48 、C49 、C50 、 C51 、C52 、C53 、及C54 為係數,I1 4 為電流I1 的4冪次函數,且V1 4 為電壓V1 的4冪次函數。
吾人應注意,Vm 為電壓強度,例如零至峰電壓、峰至峰電壓、均方根(RMS)電壓、等,而Im 為電流強度,例如零至峰電流、峰至峰電流、RMS電流、等,其中”m”為大於零的整數。吾人應注意在一些實施例中,一階多項式、二階多項式、三階多項式、及四階多項式其中每一者為一泰勒級數展開式的一部分。如一範例,處理器128將一蝕刻速率模型ER100n計算為等同於電壓V1 的函數、電流I1 的函數、及係數的一泰勒級數展開式,該泰勒級數展開式亦為一n階多項式,其中n為一整數。進一步說明,處理器128將蝕刻速率ER100n計算為收斂至一值的n階多項式,其中n為大於零的整數。
如再另一範例,將一蝕刻速率ER1005判定為C55 V1 e1 + C56 P1 e2 + C57 I1 ,其中C55 、C56 、及C57 為係數,e1及e2為冪次,P1 為電壓強度V1 、電流強度I1 、及角度ϕ1 的餘弦之乘積,該角度ϕ1 為電流強度I1 與電壓強度V1 之間的相位。該功率P1 係由處理器128計算。如另一範例,將一蝕刻速率ER1006判定為C55 V1 e1 + C56 P1 e2 + C57 I1 + C58 F1 ,其中C58 為係數,而F1 為頻率。如另一範例,將一蝕刻速率ER1007判定為C55 V1 e1 + C56 P1 e2 + C57 I1 e3 + C58 F1 e4 ,其中e3及e4為冪次。冪次e1之範例包括了介於(且包含)1至1.4之間的數字。此外,冪次e2之範例包括了介於(且包含)0.5至1之間的數字。
如另一範例,將一蝕刻速率ER1008判定為C59 V1 2 + C60 I1 2 + C61 P1 + C62 F1 V1 I1 + C63 P1 2 + C64 F1 V1 + C65 F1 I1 + C66 V1 2 I1 + C67 I1 2 V1 ,其中C59 、C60 、C61 、C62 、C63 、C64 、C65 、C66 、及C67 為係數。如另一範例,將一蝕刻速率ER1009判定為C68 V1 P1 + C69 P1 + C70 P1 2 + C71 P1 I1 + C72 P1 I1 2 + C73 P1 V1 2 + C74 P1 I1 3 + C75 P1 V1 3 + C76 V1 I1 P1 + C77 V1 2 I1 P1 + C78 V1 2 + C79 I1 2 ,其中C68 、C69 、C70 、C71 、C72 、C73 、C74 、C75 、C76 、C77 、C78 、及C79 為係數。在各樣的實施例中,蝕刻速率為電壓之非線性函數、為電流之線性函數、且為傳輸功率之非線性函數。
在一些實施例中,複阻抗感測器包括了頻率感測器,該頻率感測器於時間t1 測量在RF產生器之輸出端的RF信號之頻率F1 。經由纜線將所測得之頻率F1 提供至處理器128以判定一蝕刻速率模型。
在各樣的實施例中,頻率感測器係獨立於複阻抗感測器的、且連接至該複阻抗感測器所連接之RF產生器的輸出端。
在幾個實施例中,術語複阻抗感測器及複電壓及電流感測器為可互換使用的。
在各樣的實施例中,蝕刻速率模型中之項目的數量介於一至一較大數量(例如100、1000、10000、等)之間。例如,蝕刻速率ER1001包括了二項目,蝕刻速率ER1002包括了五項目、蝕刻速率ER1003包括了九項目、並且蝕刻速率ER1004包括了14項目。
在一些實施例中,項目的數量(該等項目係用以判定與電漿系統相關聯之蝕刻速率模型)係由使用者透過連接至處理器128之輸入裝置(未顯示) ,例如滑鼠、鍵盤、觸控筆、觸控螢幕、等來提供做為輸入。在各樣的實施例中,處理器128判定該等項目的數量(該等項目係用以判定與電漿系統相關聯之蝕刻速率模型)以使蝕刻速率模型收斂至一值。例如,處理器128持續地增加項目(該等項目係用以判定與電漿系統相關聯之蝕刻速率模型)直到該蝕刻速率模型達成收斂。
在各樣的實施例中,處理器128從另一處理器接收係數(例如,係數C11 、C12 、C21 、C22 、C23 、C24 、C25 、C31 、C32 、C33 、C34 、C35 、C36 、C37 、C38 、C39 、C41 、C42 、C43 、C44 C45 、C46 、C47 、C48 、C49 、C50 、C51 、C52 、C53 、C54 、C55 、C56 、C57 、C58 、C59 、C60 、C61 、C62 、C63 、C64 、C65 、C66 、C67 、C68 、C69 、C70 、C71 、C72 、C73 、C74 、C75 、C76 、C77 、C78 、C79 等),該另一處理器以與處理器128判定係數相同的方式來判定係數。以下對判定係數的方式進行描述。
在一些實施例中,工具133在幾個電漿系統的幾個工具之間提供了較高的良率。該幾個工具與工具133為相同或相似的類型。例如,各樣的對應工具之特性(例如電阻、電容、電感、或其組合、等)與工具133之特性相同或位於該特性的一範圍內。如另一範例,該幾個工具與工具133具有相同的結構、及/或執行相同的功能。
在各樣的實施例中,當工具133具有較另一相同或相似類型的電漿系統之工具更高的良率時:使用較高良率工具來蝕刻晶圓時,比使用較低良率工具達成更高的深寬比;使用較高良率工具時,比使用較低良率工具進行清潔產生更乾淨的晶圓;較高良率工具進行蝕刻時,比使用較低良率工具達成更快的速率;較高良率工具清潔晶圓時,比使用較低良率工具達到更快的速率;較高良率工具處理晶圓時,比較低良率工具有更高的晶圓處理速率;或其組合。
在各樣的實施例中,將工具133稱為標準工具(golden tool)。
在一些實施例中,與電漿系統100相關聯的蝕刻速率隨著時間而維持不變。例如,當電壓V1 及/或電流I1 在時間t2 變化時,x MHz RF產生器對經由x MHz RF產生器的輸出端102而傳輸之功率進行調整以維持與電漿系統100相關聯之蝕刻速率。該時間t2 位於時間t1 之後。處理器128從複阻抗感測器119接收電壓V1 的變化及/或電流I1 的變化。如另一範例,當電壓V1 及/或電流I1 在時間t2 變化時,x MHz RF產生器對在x MHz RF產生器之輸出端102所測量之電壓V1 及/或電流I1 進行調整以維持與電漿系統100相關聯的蝕刻速率。如另一範例,於判定蝕刻速率ER1001之後,當x MHz RF產生器所供應之RF信號的功率改變時,x MHz RF產生器對功率進行調整以達成蝕刻速率ER1001。如另一範例,當x MHz RF產生器傳輸至電漿腔室118的功率變動時,x MHz RF產生器對功率進行調整以達成蝕刻速率ER1001。
如一範例,當用以判定蝕刻速率之電壓強度V1 、及/或電流強度I1 、及/或頻率F1 於時間t2 變化時,x MHz RF產生器對其輸出端之功率P1(例如,供應功率、傳輸功率、反射功率、等)進行調整以使與電漿系統100相關聯的蝕刻速率維持不變。進一步說明,當電壓強度V1 、及/或電流強度I1 、及/或頻率F1 於時間t2 變化時,x MHz RF產生器對在x MHz RF產生器之輸出端102的功率P1 進行調整以使與電漿系統100相關聯之蝕刻速率ER 1007維持不變。如另一說明,當電壓強度V1 、及/或電流強度I1 於時間t2 變化時,x MHz RF產生器對在x MHz RF產生器的輸出端102之功率P1 進行調整以使與電漿系統100相關聯之蝕刻速率ER1005維持不變。
如另一範例,當用以判定蝕刻速率之電壓強度V1 、及/或電流強度I1 、及/或功率P1 於時間t2 變化時,x MHz RF產生器對頻率F1 進行調整以使與電漿系統100相關聯的蝕刻速率模型維持不變。如另一範例,當用以判定蝕刻速率模型之電壓強度V1 、及/或頻率F1 、及/或功率P1 於時間t2 變化時,x MHz RF產生器對電流強度I1 進行調整以使與電漿系統100相關聯的蝕刻速率模型維持不變。如另一範例,當用以判定蝕刻速率模型之電流強度I1 、及/或頻率F1 、及/或功率P1 於時間t2 變化時,x MHz RF產生器對電壓強度V1 進行調整以使與電漿系統100相關聯的蝕刻速率模型維持不變。
如另一範例,當用以判定蝕刻速率模型之電壓強度V1 、電流強度I1 、頻率F1 、及功率P1 其中一或更多者於時間t2 變化時,x MHz RF產生器對在其輸出端之功率P1 、及/或電壓強度V1 、及/或電流強度I1 、及/或頻率F1 中剩餘的一或更多者進行調整以使與電漿系統100相關聯的蝕刻速率維持不變。進一步說明,當用以判定蝕刻速率模型ER1005的電壓強度V1 及電流強度I1 於時間t2 變化時,x MHz RF產生器對在其輸出端之剩餘的功率P1 進行調整以使與電漿系統100相關聯的蝕刻速率ER1005維持不變。如另一範例,當用以判定蝕刻速率模型ER1007之電壓強度V1 、電流強度I1 、及頻率F1 其中一或更多者於時間t2 變化時,x MHz RF產生器對在其輸出端之功率P1 進行調整以使與電漿系統100相關聯的蝕刻速率維持不變。
在各樣的實施例中,在使用擋片124來判定與電漿系統100相關聯的蝕刻速率之後,以半導體晶圓來取代擋片124以對該半導體晶圓進行蝕刻 。當半導體晶圓放置在卡盤120的頂部表面126時,x、y、及z MHz RF產生器其中一或更多者將RF功率經由對應的輸出端102、108、及112、對應的RF纜線106、108、及114、阻抗匹配電路104 、RF傳輸線116而供應至卡盤120。當RF功率係由x MHz RF產生器所供應時,複阻抗感測器119於時間t2 測量在輸出端102之複電壓及電流。在各樣的實施例中,當RF功率係由x MHz RF產生器所供應時,連接至輸出端102的一頻率感測器於時間t2 測量在輸出端102之頻率。
在一些實施例中,主機系統130的處理器128隨著時間維持一配方  以在判定與電漿系統100相關聯之蝕刻速率的時間、及維持恆定之蝕刻速率的時間期間內於電漿腔室118中產生電漿。例如,處理器128使電漿腔室118內的壓力、及/或電漿腔室118內的溫度、及/或x MHz RF產生器的頻率、及/或y MHz RF產生器的頻率、及/或z MHz RF產生器的頻率、及/或上電極122與卡盤120之間的間隙、及/或電漿腔室118內的一或更多處理氣體之化學性質維持恆定。如另一範例,處理器128使電漿腔室118內的壓力、及/或電漿腔室118內的溫度、及/或上電極122與卡盤120之間的間隙、及/或電漿腔室118內的一或更多處理氣體之化學性質維持恆定。如再另一範例,處理器128使電漿腔室118內維持相似的壓力、及/或使電漿腔室118內維持相似的溫度、及/或使x MHz RF產生器維持相似的頻率、及/或使y MHz RF產生器維持相似的頻率、及/或使z MHz RF產生器維持相似的頻率、及/或使上電極122與卡盤120之間維持相似的間隙、及/或使電漿腔室118內的一或更多處理氣體維持相似的化學性質。如另一範例,處理器128使電漿腔室118內維持相似的壓力、及/或使電漿腔室118內維持相似的溫度、及/或使上電極122與卡盤120之間維持相似的間隙、及/或使電漿腔室118內的一或更多處理氣體維持相似的化學性質。
在一些實施例中,當壓力其中第一者處於壓力其中第二者的一範圍內時,電漿腔室118內維持相似的壓力。在各樣的實施例中,當溫度其中第一者處於溫度其中第二者的一範圍內時,電漿腔室118內的溫度維持相似。在各樣的實施例中,當頻率其中第一者處於頻率其中第二者的一範圍內時,RF產生器的頻率維持相似。在一些實施例中,當間隙其中第一者處於間隙其中第二者的一範圍內時,電漿腔室118內的間隙維持相似。在多樣的實施例中,當電漿腔室118內每一處理氣體的量維持在一預先判定之範圍內時、及/或處理氣體類型之組合維持在一預先設定之範圍內時,電漿腔室118內維持相似的化學性質。在一些實施例中,當電漿腔室118內的處理氣體類型之組合維持在一預先設定之範圍內時,電漿腔室118內的化學性質維持相似。處理氣體類型之範例包括含氧氣體、含氟氣體、等。進一步說明,含氟氣體與含氧氣體係不同的類型。在一些實施例中,當第一處理氣體包括了第二處理氣體中未包括之化學元素時,第一處理氣體與第二處理氣體為不同的類型。
在各樣的實施例中,處理器128控制一氣體供應閥(未顯示)以控制電漿腔室中的壓力,該氣體供應閥促成氣體從氣體貯存器(未顯示)供應至電漿腔室的上電極之進氣口。例如,處理器128對一驅動器(未顯示)例如電晶體、等進行控制,該驅動器供應一電流以將該閥開啟或關閉在一個量以控制該處理氣體之供應。對處理氣體供應之控制使得處理器128得以控制電漿腔室內的壓力(該氣體係供應至該電漿腔室中)。
在幾個實施例中,處理器128控制一些氣體供應閥(未顯示),該等氣體供應閥促成一些處理氣體從一些氣體貯存器(未顯示)供應至電漿腔室之上電極的進氣口以控制電漿腔室中的化學性質。例如,處理器128控制:一驅動器,該驅動器供應電流以將一閥開啟或關閉在一個量以控制從第一氣體貯存器供應至上電極122的進氣口之第一處理氣體的量;及另一驅動器,該另一驅動器供應電流以將另一閥開啟或關閉在一個量以控制從第二氣體貯存器供應至進氣口之第二處理氣體的量。在各樣的實施例中,第二處理氣體與第一處理氣體不同。例如,第一處理氣體為基於氧的處理氣體,而第二處理氣體為基於氟的處理氣體。如另一範例,第一處理氣體為四氟化碳,而第二處理氣體為六氟化硫。
在一些實施例中,電漿腔室之卡盤內包括一加熱器,且處理器128透過驅動器來控制該加熱器以改變電漿腔室中的溫度。
在幾個實施例中,在電漿腔室內設置一熱轉移機構(例如導管等),且處理器128透過閥及驅動器來控制冷卻液體的流動以改變電漿腔室內的溫度。
在各樣的實施例中,電漿腔室的上電極位於一上結構(未顯示)中,該上結構可藉由馬達驅動之螺旋機構(未顯示)而上升或下降。處理器128透過驅動器來控制該馬達驅動之螺旋機構以將該上結構向上或向下移動以改變上電極與面向該上電極的卡盤之間的間隙。
在一些實施例中,處理器128經由纜線將信號發送至RF產生器的數位信號處理器(DSP)來調整功率、及/或電壓、及/或電流、及/或頻率以達成一蝕刻速率。例如,處理器128將RF信號之頻率發送至RF產生器。一旦接收到該信號,RF產生器的數位信號處理器(DSP)將功率強度、及/或頻率、及/或電壓強度、及/或電流強度發送至該RF產生器的驅動器及放大器系統(DAS)。例如,RF產生器的DSP接收該頻率並將該頻率發送至RF產生器的DAS。 該RF產生器的DAS產生具有該功率強度、及/或頻率、及/或電壓強度、及/或電流強度之RF信號以達成該蝕刻速率。該RF信號經由RF纜線、阻抗匹配電路104、及RF傳輸線116而供應至電漿腔室118。
吾人應注意在一些實施例中,電漿系統100中可使用任何數量之RF產生器。
圖2B為電漿系統150之實施例的圖式,該電漿系統包括與工具133(圖2A)相同或相似類型的工具152。將使用電漿系統100(圖2A)所判定之蝕刻速率(例如,使用在時間t1 所測量到的功率、及/或電壓、及/或電流、及/或頻率而判定之蝕刻速率)施加至電漿系統150以執行蝕刻速率的腔室至腔室匹配。
電漿系統150包括了x、y、及z MHz RF產生器、複阻抗感測器154、阻抗匹配電路156、及電漿腔室158。阻抗匹配電路156的輸入端161經由RF纜線162連接至x MHz RF產生器的輸出端160,阻抗匹配電路156的輸入端165經由RF纜線166連接至y MHz RF產生器的輸出端164,阻抗匹配電路156的輸入端167經由RF纜線170連接至z MHz RF產生器的輸出端168。電漿腔室158經由RF傳輸線172連接至阻抗匹配電路156。
電漿腔室158包括卡盤174、上電極176、及上述的其它零件。上電極176位於卡盤174的對面並面向該卡盤、且該上電極係接地的。一工作件178(例如半導體晶圓、等)放置於卡盤174的上表面180上。於生產期間內在工作件178上執行上述的各樣處理。在工作件上發展積體電路,並將積體電路用於各樣的電子產品,例如手機、平板、智慧型手機、電腦、膝上型電腦、網路設備、等。電漿腔室158的下電極及上電極176其中每一者係由金屬所製成,例如鋁、鋁的合金、銅、等。該卡盤174可為ESC或磁性卡盤。
在各樣的實施例中,電漿腔室158具有與電漿腔室118(圖2A)相同的結構。例如,電漿腔室158包括了與電漿腔室118中對應的結構元件具有相同尺寸之結構元件。如具有相同結構之電漿腔室118與158的另一範例,電漿腔室158包括了與電漿腔室118中對應的結構元件為相同類型之元件。進一步說明,變壓耦合電漿(TCP)腔室具有與感應耦合電漿(ICP)腔室的一或更多結構元件為不同類型的一或更多結構元件,且TCP及ICP腔室皆具有與電子迴旋共振(ECR)電漿腔室的一或更多結構元件為不同類型的一或更多結構元件。如另一說明,包括電感器作為電極之電漿腔室與包括電容器作為電極之電漿腔室係屬於不同的類型。
電漿腔室之結構元件的範例包括上電極、下電極、上電漿排除區域(PEZ)環、下PEZ環、限制環組件、邊緣環、絕緣體層、氣體分配孔、電漿腔室的壁、圍繞上電極的上介電環、圍繞上介電環的上電極延伸部、圍繞下電極的下介電環、位於上電極或下電極內的加熱元件、位於上電極或下電極內的冷卻元件、圍繞下介電環的下電極延伸部、等。在各樣的實施例中,下電極及下電極延伸部係由金屬所製成,例如陽極處理的鋁、鋁的合金、等。另外,在一些實施例中,上電極及上電極延伸部係由金屬製成,例如鋁、鋁的合金、等。在幾個實施例中,上電極位於下電極的對面且面對下電極,而上電極延伸部位於上電極的對面且面對上電極。
結構元件之尺寸的範例包括元件的大小、元件的長度、元件的深度、元件的寬度、元件的表面積、元件佔據的容積等。
不同類型之結構元件的範例包括板狀電極、電線圈電極等。
在各樣的實施例中,電漿腔室158在結構上與電漿腔室118相同但具有與電漿腔室118不同的辨識碼。例如,一組織使用身分碼aaaa辨識電漿腔室158,且該組織使用身分碼bbbb辨識電漿腔室118。
在一些實施例中,電漿腔室158在結構上與電漿腔室118相同且被用以執行與電漿腔室118相同的功能。如相同功能之範例,電漿腔室158具有與電漿腔室118相似的特性,例如電容、電感、電阻、電感、其組合、等。進一步說明,電漿腔室158具有一電感,該電感處於電漿腔室118之電感的一範圍內。如另一說明,電漿腔室158具有一電容,該電容處於電漿腔室118之電容的一範圍內。如再另一說明,電漿腔室158具有一電阻,該電阻處於電漿腔室118之電阻的一範圍內。如另一說明,電漿腔室158具有一電感、電阻、及電容之組合,該電感、電阻、及電容之組合處於電漿腔室118的電感、電阻、及電容之組合的一範圍內。電漿腔室所執行的功能之範例包括物理氣相沉積(PVD)、化學氣相沉積(CVD)、電漿增強CVD(PECVD)、金屬CVD、高密度電漿CVD (HDP-CVD)功能、光阻剝除功能、光阻表面準備、紫外線熱處理(UVTP)等。
在各樣的實施例中,電漿腔室158在結構上及功能上與電漿腔室118相同但具有與電漿腔室118不同的辨識碼。
此外,在各樣的實施例中,RF傳輸線172在結構上及/或功能上與RF傳輸線116(圖2A)相同。於圖3中進一步描述RF傳輸線。
圖3為RF傳輸線186之結構之實施例的圖式,該傳輸線186為RF傳輸線116(圖2A)或RF傳輸線172(圖2B)之範例。RF傳輸線186包括一圓柱體188(例如通道、等),該圓柱體被螺接至阻抗匹配電路190,該阻抗匹配電路190為阻抗匹配電路104(圖2A)或阻抗匹配電路156(圖2B)之範例。阻抗匹配電路190與RF纜線191連接,該RF纜線191為RF纜線106(圖2A)或RF纜線162(圖2B)之範例。在圓柱體188的空心部分內存在一絕緣體192及一RF棒194。
RF傳輸線186透過螺栓B1、B2、B3、及B4而被螺接至阻抗匹配電路190。在一實施例中,RF傳輸線186可透過任何數量的螺栓來與阻抗匹配電路190螺接。在一些實施例中,可使用任何其他形式的連接方式(例如膠水、螺絲等)將RF傳輸線186連接至阻抗匹配電路190,而不使用螺栓或與螺栓一起使用。
RF傳輸棒194與阻抗匹配電路190的輸出端196連接。另外,一RF帶198(亦稱為RF匙)連接至RF傳輸棒194及RF棒202,該RF棒202的一部分位於一支撐物204(例如,圓柱體)內。在一實施例中,圓柱體188、 RF帶198、支撐物204、及RF棒202之組合形成了該RF傳輸線186。支撐物204對電漿腔室206提供支撐,該電漿腔室206為電漿腔室118(圖2A)或電漿腔室158(圖2B)之範例。支撐物204連接至電漿腔室206的卡盤208。該卡盤208為卡盤120(圖2A)或卡盤174(圖2B)之範例。一RF產生器經由RF纜線191、阻抗匹配電路190、RF棒194、RF帶198、及RF棒202而將RF信號供應至卡盤208。
往回參照圖2B,在一些實施例中,RF傳輸線172在結構上與RF傳輸線116(圖2A)相同。例如,RF傳輸線172包括與RF傳輸線116相同之元件。RF傳輸線的元件之範例包括了連接至阻抗匹配電路的RF棒、圍繞該RF棒的圓柱體、RF匙、 連接至RF匙及卡盤的RF棒、及圍繞該RF棒的至少一部分之圓柱體。
在各樣的實施例中,RF傳輸線172在結構上與RF傳輸線116相同但具有與RF傳輸線116不同的辨識碼。
在一些實施例中,RF傳輸線172在功能上與RF傳輸線116相同。例如,RF傳輸線172具有與RF傳輸線116相似的特性。進一步說明,RF傳輸線172具有一電感,該電感處於RF傳輸線116之電感的一範圍內。如另一實例,RF傳輸線172具有一電容,該電容處於RF傳輸線116之電容的一範圍內。如再另一實例,RF傳輸線172具有一電阻,該電阻處於RF傳輸線116之電阻的一範圍內。如另一實例,RF傳輸線172具有一電感、電阻、及電容之組合,該電感、電阻、及電容之組合處於RF傳輸線116的電感、電阻、及電容之組合的一範圍內。
在一些實施例中,RF傳輸線172在功能上與RF傳輸線116相同但具有與RF傳輸線116不同的辨識碼。
在一些實施例中,RF傳輸線172在結構上及功能上與RF傳輸線116相同但具有與RF傳輸線116不同的辨識碼。
相似地,在一些實施例中,阻抗匹配電路156具有與阻抗匹配電路104(圖2A)相同的結構。例如,阻抗匹配電路156具有與阻抗匹配電路104相同數量的電容器、及/或與阻抗匹配電路104相同數量的電感器。另外,在此範例中,在二阻抗匹配電路104及156中的電容器以相同的方式(例如串聯、並聯、等)彼此連接。此外,在此範例中,在二阻抗匹配電路104及156中的電感器以相同的方式(例如串聯、並聯、等)彼此連接。
在各樣的實施例中,阻抗匹配電路156在結構上與阻抗匹配電路104相同但具有與阻抗匹配電路104不同的辨識碼。
在幾個實施例中,阻抗匹配電路156執行與阻抗匹配電路104相同的功能。例如,阻抗匹配電路156具有與阻抗匹配電路104相似的特性。進一步說明,阻抗匹配電路156具有一電感,該電感處於阻抗匹配電路104之電感的一範圍內。如另一實例,阻抗匹配電路156具有一電容,該電容處於阻抗匹配電路104之電容的一範圍內。如另一實例,阻抗匹配電路156具有一電感及電容之組合,該電感及電容之組合處於阻抗匹配電路104的電感及電容之組合的一範圍內。
在各樣的實施例中,阻抗匹配電路156執行與阻抗匹配電路104相同的功能但具有與阻抗匹配電路104不同的辨識碼。
在各樣的實施例中,阻抗匹配電路156具有與阻抗匹配電路104相同的結構、執行與阻抗匹配電路104相同的功能、但具有與阻抗匹配電路104不同的辨識碼。
RF纜線162在結構上與RF纜線106(圖2A)相同。例如, 每一RF纜線162及RF纜線106包括一導體,該導體被一絕緣體包圍。如另一範例,RF纜線162具有與RF纜線106相同的尺寸(例如長度、直徑、等)。
在一些實施例中,RF纜線162具有與RF纜線106相同的結構但具有與RF纜線106不同的辨識碼。
在各樣的實施例中,RF纜線162執行與RF纜線106相同的功能。例如,RF纜線162具有與RF纜線106相似之特性。進一步說明,RF纜線162具有:一電阻,該電阻處於RF纜線106之電阻的一範圍內;及/或一電容,該電容處於RF纜線106之電容的一範圍內;及/或一電感,該電感處於RF纜線106之電感的一範圍內。
在幾個實施例中,RF纜線162執行與RF纜線106相同的功能但具有與RF纜線106不同的辨識碼。
在一些實施例中,RF纜線162具有與RF纜線106相同的結構、執行與RF纜線106相同的功能、但具有與RF纜線106不同的辨識碼。
相似地,RF纜線166具有與RF纜線110(圖2A)相同的結構、及/或執行與RF纜線110相同的功能、及/或具有與RF纜線110不同的辨識碼。此外,RF纜線170具有與RF纜線114(圖2A)相同的結構、及/或執行與RF纜線114相同的功能、及/或具有與RF纜線114不同的辨識碼。
RF纜線162、164、170、阻抗匹配電路156、RF傳輸線172、及電漿腔室158為電漿系統150的工具152之零件。
在各樣的實施例中,工具133(圖2A)為標準工具,該工具133提供了較工具152更高的良率。
x MHz RF產生器產生RF功率並經由輸出端160、RF纜線162、阻抗匹配電路156、及RF傳輸線172將其供應至卡盤174,其中晶圓178放置於該卡盤上以用於蝕刻。此外,y MHz RF產生器產生RF功率並經由輸出端164、RF纜線166、阻抗匹配電路156、及RF傳輸線172將其供應至卡盤174。另外,z MHz RF產生器產生RF功率並經由輸出端168、RF纜線170、阻抗匹配電路156、及RF傳輸線172將其供應至卡盤174。當處理氣體從氣體供應器經由氣體供給器及上電極176的進氣口而供應至上電極176與卡盤174之間的空間時、且卡盤174從x、及/或y、及/或z MHz RF產生器所供應之RF信號接收RF功率時,處理氣體被點燃以於電漿腔室158內產生電漿。
當RF信號係由x MHz RF產生器透過輸出端160來供應時,複阻抗感測器154測量電壓強度、電流強度、及電壓強度與電流強度之間的相位。相似地,當RF信號係由y MHz RF產生器透過輸出端164來供應時,連接至輸出端164的另一複阻抗感測器(未顯示)測量電壓強度、電流強度、及電壓強度與電流強度之間的相位。另外,當RF信號係由z MHz RF產生器透過輸出端168來供應時,連接至輸出端168的一複阻抗感測器(未顯示)測量電壓強度、電流強度、及電壓強度與電流強度之間的相位。
在一些實施例中,連接至電漿系統150的RF產生器之輸出端的一頻率感測器測量該RF產生器經由該輸出端而供應至阻抗匹配電路156之RF信號的頻率。在各樣的實施例中,連接至電漿系統150的RF產生器之輸出端的該頻率感測器被整合在連接至該輸出端的一複阻抗感測器中。
經由纜線212連接至複阻抗感測器154的處理器128透過纜線212而接收:複阻抗感測器154所測量到的複電壓及電流、及/或由連接至輸出端160之頻率感測器所測量到的頻率、連接至輸出端164之複阻抗感測器所測量到的複電壓及電流、及/或連接至輸出端164之頻率感測器所測量到的頻率、及連接至輸出端168之複阻抗感測器所測量到的複電壓及電流、及/或連接至輸出端168之頻率感測器所測量到的頻率。
處理器128基於從複阻抗感測器154接收到的複電壓及電流、及/或從頻率感測器接收到的頻率而判定與電漿系統150相關聯的蝕刻速率。例如,處理器128以類似於判定與電漿系統100相關聯之蝕刻速率的方式來判定與電漿系統150相關聯之蝕刻速率。如另一範例,處理器128將蝕刻速率ER1501判定為等同於:C11 V2 + C12 I2 ,其中V2 為複阻抗感測器154所測量到的電壓強度,且I2 為複阻抗感測器154所測量到的電流強度。如另一範例,將蝕刻速率ER1502計算為:C21 V2 + C22 I2 + C23 V2 2 + C24 V2 I2 + C25 I2 2 。如再另一範例,將蝕刻速率ER1503計算為:C31 V2 + C32 I2 + C33 V2 2 + C34 V2 I2 + C35 I2 2 + C36 V2 3 + C37 V2 2 I2 + C38 V2 I2 2 + C39 I2 3 。如另一範例,將蝕刻速率ER1504計算為:C41 V2 + C42 I2 + C43 V2 2 + C44 V2 I2 + C45 I2 2 + C46 V2 3 + C47 V2 2 I2 + C48 V2 I2 2 + C49 I2 3 + C50 V2 4 + C51 V2 3 I2 + C52 V2 2 I2 2 + C53 V2 I2 3 + C54 I2 4 。如另一範例,處理器128將蝕刻速率ER150n計算為等同於一泰勒級數展開式,該泰勒級數展開式亦為一n階多項式,其中n為一整數。進一步說明,處理器128將蝕刻速率ER150n計算為收斂至一值的n階多項式。
如另一範例,處理器128將蝕刻速率ER 1505判定為等同於C55 V2 e1 + C56 P2 e2 + C57 I2 ,其中功率P2 為電壓強度V2 、電流強度I2 、及電壓強度V2 與電流強度I2 之間的相位ϕ2 相乘的結果。該功率P2 係由處理器128計算。如再另一範例,將蝕刻速率ER 1506計算為C55 V2 e1 + C56 P2 e2 + C57 I2 + C58 F2 ,其中F2 為連接至x MHz RF產生器之輸出端160的頻率感測器所量到之頻率。在一些實施例中,連接至輸出端160的頻率感測器被整合在複阻抗感測器154中。在各樣的實施例中,連接至輸出端160的頻率感測器係獨立於複阻抗感測器154且位於該複阻抗感測器的外面。處理器128經由連接至頻率感測器(該頻率感測器連接至輸出端160)之纜線而接收該頻率F2
如再另一範例,將一蝕刻速率ER 1507計算為C55 V2 e1 + C56 P2 e2 + C57 I2 + C58 F2 。如另一範例,將一蝕刻速率ER 1508判定為C59 V2 2 + C60 I2 2 + C61 P2 + C62 F2 V2 I2 + C63 P2 2 + C64 F2 V2 + C65 F2 I2 + C66 V2 2 I2 + C67 I2 2 V2 。如另一範例,將一蝕刻速率ER 1509判定為C68 V2 P2 + C69 P2 + C70 P2 2 + C71 P2 I2 + C72 P2 I2 2 + C73 P2 V2 2 + C74 P2 I2 3 + C75 P2 V2 3 + C76 V2 I2 P2 + C77 V2 2 I2 P2 + C78 V2 2 + C79 I2 2
處理器128對使用工具133(圖2A)所判定之蝕刻速率與使用工具152所判定之蝕刻速率進行比較以判定使用工具133所判定之蝕刻速率是否與使用工具152所判定之蝕刻速率相同或處於其範圍內。例如,處理器128判定蝕刻速率ER 1001是否與蝕刻速率ER1501相匹配或處於其範圍內。如另一範例,處理器128判定蝕刻速率ER1002是否與蝕刻速率ER1502相匹配或處於其範圍內。如再另一範例,處理器128判定蝕刻速率ER1003是否與蝕刻速率ER1503相匹配或處於其範圍內。如另一範例,處理器128判定蝕刻速率ER1004是否與蝕刻速率ER1504相匹配或處於其範圍內。如另一範例,處理器128判定蝕刻速率ER1005是否與蝕刻速率ER1505相匹配或處於其範圍內。如再另一範例,處理器128判定蝕刻速率ER1006是否與蝕刻速率ER1506相匹配或處於其範圍內。如另一範例,處理器128判定蝕刻速率ER1007是否與蝕刻速率ER1507相匹配或處於其範圍內。如再另一範例,處理器128判定蝕刻速率ER1008是否與蝕刻速率ER1508相匹配或處於其範圍內。如另一範例,處理器128判定蝕刻速率ER1009是否與蝕刻速率ER1509相匹配或處於其範圍內。如另一範例,處理器128判定蝕刻速率ER100n是否與蝕刻速率ER150n相匹配或處於其範圍內。
一旦確定使用工具133所判定之蝕刻速率與使用工具152所判定之蝕刻速率不相同或不處於其範圍內,處理器128發送一信號至x MHz RF產生器以調整電壓V2 、及/或電流I2 、及/或功率P2 、及/或頻率F2 。處理器128發送信號至x MHz RF產生器來調整電壓V2 、及/或電流I2 、及/或功率P2 、及/或頻率F2 以幫助吾人達成使用工具133所判定之蝕刻速率。例如,當蝕刻速率ER1501不與蝕刻速率ER1001相匹配或不處於其範圍內時,處理器128發送一信號至x MHz RF產生器來改變電壓V2 及/或電流I2 以促成蝕刻速率ER1501與蝕刻速率1001之匹配。如另一範例,當蝕刻速率ER1505不與蝕刻速率ER1005相匹配或不處於其範圍內時,處理器128發送一信號至x MHz RF產生器來改變電壓V2 、及/或電流I2 、及/或功率P2 以促成蝕刻速率ER1505與蝕刻速率1005之匹配。如再另一範例,當蝕刻速率ER1506不與蝕刻速率ER1006相匹配或不處於其範圍內時,處理器128發送一信號至x MHz RF產生器來改變電壓V2 、及/或電流I2 、及/或功率P2 、及/或頻率F2 以促成蝕刻速率ER1506與蝕刻速率1006之匹配。
如再另一範例,當蝕刻速率ER1507不與蝕刻速率ER1007相匹配或不處於其範圍內時,處理器128發送一信號至x MHz RF產生器來改變電壓V2 、及/或電流I2 、及/或功率P2 、及/或頻率F2 以促成蝕刻速率ER1507與蝕刻速率1007之匹配。如另一範例,當蝕刻速率ER1508不與蝕刻速率ER1008相匹配或不處於其範圍內時,處理器128發送一信號至x MHz RF產生器來改變電壓V2 、及/或電流I2 、及/或功率P2 、及/或頻率F2 以促成蝕刻速率ER1508與蝕刻速率1008之匹配。如另一範例,當蝕刻速率ER150n不處於蝕刻速率ER100n之範圍內時,處理器128發送一信號至x MHz RF產生器來改變電壓V2 、及/或電流I2 、及/或功率P2 、及/或頻率F2 以促成蝕刻速率ER100n與蝕刻速率150n之匹配。如再另一範例,當蝕刻速率ER 150n小於、及/或超出蝕刻速率ER 100n之範圍時,處理器128發送一信號至x、y、及z MHz RF產生器其中一或更多者以增加由x、y、及z MHz RF產生器其中該一或更多者所供應之一或更多對應RF信號的功率。該功率係增加用以達成蝕刻速率ER 100n、及/或使其處於蝕刻速率ER 100n之範圍內。如再另一範例,當蝕刻速率ER 150n大於、及/或超出蝕刻速率ER 100n之範圍時,處理器128發送一信號至x、y、及z MHz RF產生器其中一或更多者以減少由x、y、及z MHz RF產生器其中該一或更多者所供應之一或更多對應RF信號的功率。該功率係減少用以達成蝕刻速率ER 100n、及/或使其處於蝕刻速率ER 100n之範圍內。
一旦經由線路220從處理器128接收了信號,x MHz RF產生器對x MHz RF產生器所產生的電壓V2 、及/或電流I2 、及/或功率P2 、及/或頻率F2 進行調整 (例如增加、減少、等)以達成使用工具133所判定之蝕刻速率。例如,為了達成蝕刻速率100n,x MHz RF產生器對經由輸出端160、RF纜線162、阻抗匹配電路156、及RF傳輸線172而傳輸或供應至電漿腔室158之功率強度進行調整,其中晶圓178放置於該電漿腔室158中。如另一範例,為了達成蝕刻速率100n,x MHz RF產生器對從電漿腔室158經由RF傳輸線172、阻抗匹配電路156、RF纜線162、及輸出端160而反射向x MHz RF產生器之功率強度進行調整。如另一範例,為了達成蝕刻速率100n,x MHz RF產生器對經由輸出端160、RF纜線162、阻抗匹配電路156、及RF傳輸線172而供應至電漿腔室158之RF信號的電壓強度及/或電流強度進行調整。如另一範例,為了達成蝕刻速率100n,x MHz RF產生器對經由輸出端160、RF纜線162、阻抗匹配電路156、及RF傳輸線172而供應至電漿腔室158之RF信號的頻率進行調整。
如再另一範例,為了從蝕刻速率ER1505達成蝕刻速率ER1005,x MHz RF產生器對經由輸出端160而供應之RF信號的電流強度、及/或該RF信號的電壓強度、及/或以RF信號之形式經由輸出端160、RF纜線162、阻抗匹配電路156、及RF傳輸線172而傳輸或供應至電漿腔室158之功率強度進行調整,其中晶圓178放置於該電漿腔室158中。如另一範例,為了從蝕刻速率ER1505達成蝕刻速率ER1005,x MHz RF產生器對經由輸出端160而供應之RF信號的電流強度、及/或該RF信號的電壓強度、及/或從電漿腔室158經由RF傳輸線172、阻抗匹配電路156、RF纜線162、及輸出端160而反射向x MHz RF產生器之功率強度進行調整。
如另一範例,為了從蝕刻速率ER1506達成蝕刻速率ER1006,x MHz RF產生器對經由輸出端160而供應之RF信號的電流強度、及/或該RF信號的頻率、及/或該RF信號的電壓強度、及/或以RF信號之形式經由輸出端160、RF纜線162、阻抗匹配電路156、及RF傳輸線172而傳輸或供應至電漿腔室158之功率強度進行調整,其中晶圓178放置於該電漿腔室158中。如另一範例,為了從蝕刻速率ER1506達成蝕刻速率ER1006,x MHz RF產生器對經由輸出端160而供應之RF信號的電流強度、及/或該RF信號的頻率、及/或該RF信號的電壓強度、及/或從電漿腔室158經由RF傳輸線172、阻抗匹配電路156、RF纜線162、及輸出端160而反射向x MHz RF產生器之功率強度進行調整。
如另一範例,為了從蝕刻速率ER1507達成蝕刻速率ER1007,x MHz RF產生器對經由輸出端160而供應之RF信號的電流強度、及/或該RF信號的頻率、及/或該RF信號的電壓強度、及/或以RF信號之形式經由輸出端160、RF纜線162、阻抗匹配電路156、及RF傳輸線172而傳輸或供應至電漿腔室158之功率強度進行調整,其中晶圓178放置於該電漿腔室158中。如另一範例,為了從蝕刻速率ER1507達成蝕刻速率ER1007,x MHz RF產生器對經由輸出端160而供應之RF信號的電流強度、及/或該RF信號的頻率、及/或該RF信號的電壓強度、及/或從電漿腔室158經由RF傳輸線172、阻抗匹配電路156、RF纜線162、及輸出端160而反射向x MHz RF產生器之功率強度進行調整。
如另一範例,為了從蝕刻速率ER1508達成蝕刻速率ER1008,x MHz RF產生器對經由輸出端160而供應之RF信號的電流強度、及/或該RF信號的頻率、及/或該RF信號的電壓強度、及/或以RF信號之形式經由輸出端160、RF纜線162、阻抗匹配電路156、及RF傳輸線172而傳輸或供應至電漿腔室158之功率強度進行調整,其中晶圓178放置於該電漿腔室158中。如另一範例,為了從蝕刻速率ER1508達成蝕刻速率ER1008,x MHz RF產生器對經由輸出端160而供應之RF信號的電流強度、及/或該RF信號的頻率、及/或該RF信號的電壓強度、及/或從電漿腔室158經由RF傳輸線172、阻抗匹配電路156、RF纜線162、及輸出端160而反射向x MHz RF產生器之功率強度進行調整。
當使用工具152所判定之蝕刻速率與使用工具133所判定之蝕刻速率相匹配或處於其範圍內時,可達成蝕刻速率的腔室至腔室匹配。例如,當蝕刻速率ER 150n與蝕刻速率ER 100n相等或處於其範圍內時,處理器128發送一信號至x、y、及z MHz RF產生器其中一或更多者以維持由x、y、及z MHz RF產生器其中該一或更多者所供應之一或更多對應RF信號的功率。維持該功率以維持蝕刻速率ER 100n、及/或使其處於蝕刻速率ER 100n之範圍內。
在一些實施例中,主機系統130之處理器128隨著時間維持一配方以於電漿腔室118及158內維持著恆定蝕刻速率的時間期間內在電漿腔室118及158內產生電漿。例如,處理器128使電漿腔室118及158內維持相同或相似的壓力、及/或使電漿腔室118及158內維持相同或相似的溫度、及/或使電漿腔室118及158內維持相同或相似的x MHz RF產生器頻率、及/或使電漿腔室118及158內維持相同或相似的y MHz RF產生器頻率,及/或使電漿腔室118及158內維持相同或相似的z MHz RF產生器頻率,及/或使電漿腔室118及158內的一或更多處理氣體維持相同或相似的化學性質、及/或使上電極122與卡盤120(圖2A)之間的第一間隙及上電極176與卡盤174之間的第二間隙維持相同或相似的量。在本範例中,當電漿腔室118內之壓力與電漿腔室158內之壓力處於彼此的一範圍內時,該等壓力為相似的。此外,在本範例中,當電漿腔室118內之溫度與電漿腔室158內之溫度處於彼此的一範圍內時,該等溫度為相似的。同樣地,在本範例中,當電漿系統100之x MHz RF產生器的頻率與電漿系統150之x MHz RF產生器的頻率處於彼此的一範圍內時則該等頻率為相似的、當電漿系統100之y MHz RF產生器的頻率與電漿系統150之y MHz RF產生器的頻率處於彼此的一範圍內時則該等頻率為相似的、當電漿系統100之z MHz RF產生器的頻率與電漿系統150之z MHz RF產生器的頻率處於彼此的一範圍內時則該等頻率為相似的。另外,在此範例中,當第一間隙與第二間隙的量處於彼此的一範圍內時,該第一間隙與第二間隙的量為相似的。
在各樣的實施例中,當電漿腔室118及158其中每一者內的每一處理氣體的量維持在預定範圍內、及/或電漿腔室118及158每一者內的複數處理氣體類型之組合維持在預設範圍內時,電漿腔室118及158中維持相似的化學性質。在一些實施例中,當電漿腔室118及158每一者內的複數處理氣體類型之組合維持在預設範圍內時,電漿腔室118及158中維持相似的化學性質。
吾人應注意在一些實施例中,電漿系統150中可使用任何數量的RF產生器。
圖4A為電漿系統250之實施例的方塊圖,該電漿系統係用於判斷用以判定蝕刻速率之:電壓及電流及/或功率之係數、及/或電壓及電流及/或功率之冪次、及/或頻率。電漿系統250包括電漿系統100(圖2A)及一蝕刻速率測量裝置(ERMD) 252。該ERMD 252經由纜線254連接至處理器128且經由電漿腔室118的窗256而具有一視線。該視線指向電漿腔室118內產生電漿的空間。例如,ERMD 252包括一分光光度計,該分光光度計監控著電漿腔室118中之電漿以透過窗256來測量由電漿所發出之輻射的強度。在一些實施例中,窗256係由容許電漿所發出的光通過之透明材料所製成,例如玻璃。在各樣的實施例中,窗256為一半透明的窗。該強度直接與電漿所消耗的擋片124之一層的蝕刻速率成比例。處理器128經由纜線254接收所測量到的強度並根據直接與該強度成比例的方式來判定蝕刻速率。如另一範例,對於一已知的配方,該ERMD 252於時間tm1測量擋片124的厚度並且於時間tm2測量擋片124的厚度,其中該時間tm2位於時間tm1之後及對該擋片124進行蝕刻之後。ERMD 252根據在時間tm2的厚度與在時間tm1的厚度之間的差距相對於在時間tm2與時間tm1之間的差距之比率來判定擋片124的蝕刻速率ER2501。ERMD 252經由纜線254將蝕刻速率ER2501提供至處理器128。在一些實施例中,處理器128由所測量到的強度來判定蝕刻速率ER 2501並將該蝕刻速率ER 2501提供至記憶元件132儲存,而不是使用ERMD 252來判定蝕刻速率ER2501。已知配方之範例包括:電漿腔室118內維持的壓力、電漿腔室118內維持的溫度、在上電極122與卡盤120之間的間隙、由x、y、z MHz RF產生器所供應之功率量、x、y、z MHz RF產生器之頻率、或其組合。
如上面所述,複阻抗感測器119經由纜線127將複電壓及電流的測量結果提供至處理器128。處理器128接收該複電壓及電流之測量結果、從該測量結果擷取電壓強度V3 及電流強度I3 及/或功率強度P3 、並將該電壓強度V3 、電流強度I3 、及功率強度P3 提供至記憶元件132存儲。例如,處理器128將功率強度P3 計算為電壓強度V3 、電流強度I3 、及電壓強度V3 與電流強度I3 之間的相位ϕ3 相乘的結果。在一些實施例中,電壓強度V3 與使用電漿系統100而判定之電壓強度V1 相同,電流強度I3 與使用電漿系統100而判定之電流強度I1 相同,功率強度P3 與使用電漿系統100而判定之功率強度P1 相同,且相位ϕ3 與使用電漿系統100而判定之相位ϕ1 相同。在一些實施例中,連接至輸出端102之頻率感測器測量由x MHz RF產生器所供應之RF信號的頻率F3 ,並經由將頻率感測器連接至處理器128的纜線而將該頻率F3 提供至處理器128。在各樣的實施例中,頻率F3 與頻率F1 相同。
在一些實施例中,不使用電漿系統100,而是使用另一電漿系統來判斷用以判定蝕刻速率之:電壓及電流及/或功率之係數、及/或電壓及電流及/或功率之冪次、及/或頻率。例如,使用一阻抗匹配電路來代替阻抗匹配電路104,該阻抗匹配電路具有與阻抗匹配電路104相同的結構及/或相同的功能、及/或具有與阻抗匹配電路104不同的辨識碼。如另一範例,使用一纜線來代替纜線106,該纜線具有與纜線106相同的結構及/或相同的功能、及/或具有與纜線106不同的辨識碼。如再另一範例,使用一RF傳輸線來代替RF傳輸線116,該RF傳輸線具有與RF傳輸線116相同的結構及/或相同的功能、及/或具有與RF傳輸線116不同的辨識碼。如另一範例,使用一電漿腔室來代替電漿腔室118,該電漿腔室具有與電漿腔室118相同的結構及/或相同的功能、及/或具有與電漿腔室118不同的辨識碼。
在各樣的實施例中,當x、y及/或z MHz RF產生器產生RF信號以測量電漿腔室118中之電漿強度時、及測量在輸出端102之複電壓及電流時,在電漿系統250中使用半導體晶圓而不是擋片124。
在一些實施例中,電漿系統250可包括任何數量的RF產生器。
圖4B為電漿系統270之實施例的方塊圖,該電漿系統係用以判斷用以判定蝕刻速率之:電壓及電流及/或功率之係數、及/或電壓及電流及/或功率之冪次、及/或頻率。除了電漿腔室158中使用擋片276而不是半導體晶圓178(圖2B)之外,電漿系統270與電漿系統150(圖2B)為相同的。此外,電漿系統270包括一ERMD 272,該ERMD可測量蝕刻速率 ER2701。該ERMD 272經由纜線274將蝕刻速率ER2701提供至處理器128。
在各樣的實施例中,ERMD 272不判定蝕刻速率ER2701,而是透過電漿腔室158的窗273來測量電漿腔室158中產生之電漿所發出的光的強度,並經由纜線274將所測量到的強度提供至處理器128。纜線274將ERMD 272連接至處理器128。在一些實施例中,處理器128根據直接與所測得之強度成比例的方式來判定蝕刻速率ER 2701,並將該蝕刻速率ER 2701提供至記憶元件132來儲存。
處理器128亦接收複阻抗感測器154於輸出端160測量到的複電壓及電流、從該複電壓及電流擷取電壓強度V4 及電流強度I4 及/或功率強度P4 、並將該電壓強度V4 及電流強度I4 及/或功率強度P4 提供至記憶元件132存儲。在一些實施例中,電壓強度V4 等於電壓強度V2 ,電流強度I4 等於電流強度I2 ,且功率強度P4 等於功率強度P2
在一些實施例中,連接至輸出端160之頻率感測器測量由x MHz RF產生器所供應之RF信號的頻率F4 。在一些實施例中,頻率F4 與頻率F2 相同。
在一些實施例中,可使用任何數量的電漿系統來判定蝕刻速率的複數未知數(例如,複數係數、複數冪次、等)。例如,未知數的數量等於用以判定未知數的電漿系統之數量。如再另一範例,處理器128基於下述事項來判定電壓V1 及電流I1 之係數:使用電漿系統250(圖4A)所判定的蝕刻速率ER2501;蝕刻速率ER 2701;電壓V3 與V4 ;及電流I3 與I4 。進一步說明,處理器128藉由解開在蝕刻速率ER 2501及蝕刻速率ER 2701中的係數來判定係數C11 及C12 ,其中蝕刻速率ER 2501等於C11 V3 + C12 I3 、蝕刻速率ER 2701等於C11 V4 + C12 I4
如另一範例,處理器128基於下述事項來判定電壓V1 及電流I1 之係數C21 、C22 、C23 、C24 、及C25 :使用電漿系統250(圖4A)而判定的蝕刻速率ER2501;蝕刻速率ER 2701;使用三額外的電漿系統而判定的三額外蝕刻速率;電壓V3 及V4 ;使用該三額外的電漿系統而判定的三額外電壓V5 、V6 、及V7 ;電流I3 及I4 ;及使用該三額外的電漿系統而判定的三額外電流I5 、I6 、I7 。進一步說明,處理器128藉由解開在蝕刻速率ER 2501、蝕刻速率ER 2701、該三額外的蝕刻速率其中一者、該三額外的蝕刻速率其中第二者、及該三額外的蝕刻速率其中第三者中之係數來判定係數C21 、C22 、C23 、C24 、及C25 ,其中蝕刻速率ER 2501等於C21 V3 + C22 I3 + C23 V3 2 + C24 V3 I3 + C25 I3 2 ,蝕刻速率ER 2701等於C21 V4 + C22 I4 + C23 V4 2 + C24 V4 I4 + C25 I4 2 ,該三額外蝕刻速率其中一者等於C21 V5 + C22 I5 + C23 V5 2 + C24 V5 I5 + C25 I5 2 ,該三額外蝕刻速率其中第二者等於C21 V6 + C22 I6 + C23 V6 2 + C24 V6 I6 + C25 I6 2 ,且該三額外蝕刻速率其中第三者等於C21 V7 + C22 I7 + C23 V7 2 + C24 V7 I7 + C25 I7 2
如再另一範例,處理器128判定了電壓V1 之係數C55 、功率P1 之係數C56 、電流I1 之係數C57 、電壓V1 之冪次e1 、及功率P1 之冪次e2 。處理器128基於下述事項來判定係數C55 、C56 、C57 、及冪次e1 、e2 :使用電漿系統250(圖4A)而判定的蝕刻速率ER2501;蝕刻速率ER 2701;使用三額外的電漿系統而判定的三額外蝕刻速率;電壓強度V3 及V4 ;使用該三額外的電漿系統而判定的三額外電壓強度V5 、V6 、及V7 ;電流強度I3 及I4 ;使用該三額外的電漿系統而判定的三額外電流強度I5 、I6 、及I7 ;功率強度P3 及P4 ;及使用該三額外的電漿系統而判定的功率強度P5 、P6 、及P7
如另一範例,處理器128判定了電壓V1 之係數C55 、功率P1 之係數C56 、電流I1 之係數C57 、頻率F1 之係數C58 、電壓V1 之冪次e1 、功率P1 之冪次e2 、電流I1 之冪次e3 、及頻率F1 之冪次e4 。處理器128基於下述事項來判定係數C55 、C56 、C57 、C58 、及冪次e1 、e2 、e3 、e4 :使用電漿系統250(圖4A)而判定的蝕刻速率ER 2501;蝕刻速率ER 2701;使用六額外的電漿系統而判定的六額外蝕刻速率;電壓強度V3 及V4 ;使用該六額外的電漿系統而判定的六額外電壓強度V5 、V6 、V7 、V8 、V9 、及V10 ;電流強度I3 及I4 ;使用該六額外的電漿系統而判定的六額外電流強度I5 、I6 、I7 、I8 、I9 、及I10 ;功率強度P3 及P4 ;使用該六額外的電漿系統而判定的六額外功率強度P5 、P6 、P7 、P8 、P9 、及P10 ;頻率F3 及F4 ;及使用該六額外的電漿系統而判定的六額外頻率F5 、F6 、F7 、F8 、F9 、及F10
在一些實施例中,不使用電漿系統270,而是使用另一電漿系統來判斷用以判定蝕刻速率之:電壓強度之係數及/或冪次;及/或電流強度之係數及/或冪次;及/或功率強度之係數及/或冪次;及/或頻率之係數及/或冪次。例如,使用一阻抗匹配電路來代替阻抗匹配電路156,該阻抗匹配電路具有與阻抗匹配電路156相同的結構及/或相同的功能、及/或具有與阻抗匹配電路156不同的辨識碼。如另一範例,使用一纜線來代替纜線162,該纜線具有與纜線162相同的結構及/或相同的功能、及/或具有與纜線162不同的辨識碼。如再另一範例,使用一RF傳輸線來代替RF傳輸線172,該RF傳輸線具有與RF傳輸線172相同的結構及/或相同的功能、及/或具有與RF傳輸線172不同的辨識碼。如另一範例,使用一電漿腔室來代替電漿腔室158,該電漿腔室具有與電漿腔室158相同的結構及/或相同的功能、及/或具有與電漿腔室158不同的辨識碼。
在各樣的實施例中,當x、y及/或z MHz RF產生器產生RF信號以測量電漿腔室158中之電漿強度時、及測量在輸出端160之複電壓及電流時,在電漿系統270中使用半導體晶圓而不是擋片276。
圖5為曲線圖290之實施例,當z MHz RF產生器為可操作的(例如,正在供應功率、正在工作中、可執行功能的、等)時、且x及y MHz RF產生器為不可操作的(例如,不正在供應功率、等)時,該曲線圖290繪製了一蝕刻速率模型(例如,二階多項式蝕刻速率、三階多項式蝕刻速率、四階多項式蝕刻速率、等)的平均值對使用ERMD所判定之測得的蝕刻速率平均值之曲線。使用ERMD所判定之平均測得的蝕刻速率係沿著x軸繪製,而平均蝕刻速率模型係沿著y軸繪製。可透過曲線圖290中每一平均蝕刻速率模型之不同的點來繪製最佳擬合直線。如圖所示,曲線圖290中的二階多項式平均蝕刻速率模型具有0.998的判定係數(R2 )、曲線圖290中的三階多項式平均蝕刻速率模型具有0.9999的R2 、且曲線圖290中的四階多項式平均蝕刻速率模型具有0.9997的R2 。R2 越大,蝕刻速率模型的平均值越準確。
圖6為曲線圖292之實施例,當z MHz RF產生器為可操作的且x及y MHz RF產生器為不可操作的時,該曲線圖292繪製了在蝕刻速率模型平均值上的誤差對使用ERMD所測量的平均蝕刻速率之曲線。在曲線圖292中之”x”、星號”*”、及具有指向右側之頂點的三角形代表了在蝕刻速率模型平均值上的誤差對所測得的蝕刻速率平均值(當使用二或更多不同工具時)。在曲線圖292中之圓形、正方形、及具有指向左側之頂點的三角形代表了在蝕刻速率模型平均值上的誤差對所測得的蝕刻速率平均值(當使用不同於該二或更多不同工具的一獨立工具時)。
圖7A顯示了曲線圖302及曲線圖304之實施例。當y MHz RF產生器為可操作的且x及z MHz RF產生器為不可操作的時,每一曲線圖302及304繪製了使用ERMD所測量到的平均蝕刻速率對模型化蝕刻速率之曲線圖。所測量到的蝕刻速率係沿著y軸繪製,而模型化蝕刻速率係沿著x軸繪製。曲線圖302中的星號”*”代表了平均測量到的蝕刻速率對模型化蝕刻速率(當使用三不同工具時)。曲線圖302中的圓形代表了所測得的蝕刻速率對模型化蝕刻速率(當使用不同於該三工具的一獨立工具時)。吾人應注意,曲線圖302的星號”*”大致擬合至一直線。曲線圖304為曲線圖302的一部分之放大圖。
圖7B顯示了曲線圖306及曲線圖308之實施例。當z MHz RF產生器為運作的且x及y MHz RF產生器為不運作的時,每一曲線圖306及308繪製了使用ERMD所測量到的平均蝕刻速率對模型化蝕刻速率之曲線圖。曲線圖306中的星號”*”代表了所測量到的蝕刻速率對模型化蝕刻速率(當使用三不同工具時)。曲線圖306中的圓形代表了所測得的蝕刻速率對模型化蝕刻速率(當使用不同於該三工具的一獨立工具時)。吾人應注意,曲線圖306的星號”*”大致擬合至一直線。曲線圖308為曲線圖306的一部分之放大圖。
在一些實施例中,將複阻抗感測器連接至一阻抗匹配電路的輸入端(該阻抗匹配電路的輸入端連接至RF產生器的輸出端),而不是將複阻抗感測器連接至RF產生器的輸出端。在這些實施例中,頻率感測器連接至該阻抗匹配電路的輸入端。
在各樣的實施例中,將複阻抗感測器連接至一阻抗匹配電路的輸出端(該阻抗匹配電路連接至RF產生器的輸出端),而不是將複阻抗感測器連接至RF產生器的輸出端。在這些實施例中,頻率感測器連接至該阻抗匹配電路的輸出端。
雖然上述實施例係以蝕刻速率來描述,但在各樣的實施例中,可使用濺射速率來代替蝕刻速率。例如,在一些實施例中,本文中之濺射速率及蝕刻速率係可互換使用的。
吾人應注意,雖然上述實施例係參照在RF產生器之輸出端的複電壓及電流而描述,但以上實施例同樣適用於在阻抗匹配電路104(圖2A與2B)之輸入端的複電壓及電流、及在阻抗匹配電路156(圖4A及4B)之輸入端的複電壓及電流。例如,於輸出端102所測量到的複電壓及電流與在阻抗匹配電路104(圖2A及圖4A)之輸入端161的複電壓及電流相同。如另一範例,在輸出端160( 圖 2B及圖4B)所測量到的複電壓及電流與在阻抗匹配電路104(圖2B及4B)之輸入端161的複電壓及電流相同。如再另一範例,基於在輸出端102所判定之複電壓及電流而判定的模型蝕刻速率與在輸入端161的模型蝕刻速率相同。
另外注意,雖然上述的操作係參照平行板電漿腔室而描述,例如電容耦合電漿腔室、等。在一些實施例中,上述的操作適用於其他類型的電漿腔室,例如一包括ICP反應器、TCP反應器、導體工具、介電工具的電漿腔室、一包括ECR反應器的電漿腔室、等。例如,x MHz RF產生器、y MHz RF產生器、及z MHz RF產生器連接至ICP電漿腔室中的電感器。
亦應注意,雖然上述操作被描述為由處理器128(圖2A、2B、4A、及4B)來執行。但在一些實施例中,該等操作可由主機系統130的一或更多處理器、或由多重主機系統的多重處理器、或由RF產生器的DSP與主機系統的處理器之組合來執行。
吾人應注意,雖然上述的實施例係關於將RF信號提供至電漿腔室之卡盤的下電極並將電漿腔室的上電極接地,但在幾個實施例中,將RF信號提供至上電極而將下電極接地。
本文中描述之實施例可以各樣的電腦系統結構實行,包括手持硬體單元、微處理器系統、基於微處理器或可程式化之消費電子產品、微電腦、大型電腦、及類似物。本發明亦可在分散式計算環境中實施,其中任務透過網路連線之遠端處理硬體單元執行。
在了解上面的實施例後,吾人應理解該等實施例可使用各樣電腦實行的操作,其中操作涉及儲存在電腦系統中的資料。這些操作為需要物理量之物理操縱的操作。本文中描述之任何構成本發明之部分的操作為有用的機械操作。該等實施例亦關於用以執行這些操作的硬體單元或設備。可特別為特殊用途電腦建構設備。當被定義為特殊用途電腦時,該電腦在仍可執行特殊用途的同時,亦可執行非特殊用途部分之其他處理、程式執行、或例行程序。在一些實施例中,操作可藉由一電腦加以處理,其中該電腦被一或更多儲存在電腦記憶體、快取記憶體、或透過網路得到的電腦程式選擇性地啟動或配置。當透過網路得到資料時,可以網路上的其他電腦處理該資料,例如,雲端的計算資源。
一或更多實施例亦可被製作為非暫態的電腦可讀媒體上的電腦可讀代碼。該非暫態的電腦可讀媒體係可儲存資料的任何資料儲存硬體單元(例如,記憶體元件,等),其中該資料儲存硬體單元之後可被電腦系統讀取。非暫態的電腦可讀媒體的範例包括硬碟、網路附接儲存器(NAS)、ROM、RAM、光碟唯讀記憶體(CD-ROMs)、可錄式光碟(CD-Rs)、可覆寫式光碟(CD-RWs)、磁帶、及其他光學與非光學資料儲存硬體單元。非暫態的電腦可讀媒體可包括電腦可讀的有形媒體,其中該媒體係透過連接網路的電腦系統加以散佈,俾使電腦可讀代碼被以散佈的方式被儲存及執行。
雖然以特定順序描述上述方法操作,吾人應理解可在操作之間執行其他庶務操作,或可調整操作使得其在略為不同之時間發生,或可將其分散在系統中,其中只要重疊之操作的處理被以想要的方式執行則該系統允許處理操作發生在與處理有關的不同區間。
可將任何實施例的一或更多特徵與任何其他實施例的一或更多特徵結合而不超出本揭露內容中描述之各樣實施例所描述的範圍。
雖然為了清楚理解的目的已對前述的實施例進行詳細地描述,顯而易見的,仍可在隨附申請專利範圍的範圍內實行某些改變及修改。因此,本發明之實施例應被認為係說明性的而非限制性的,且本發明之實施例不受限於本文中所提供的細節,而係可在隨附申請專利範圍的範圍及均等物內修改。
100‧‧‧電漿系統
102‧‧‧輸出端
104‧‧‧阻抗匹配電路
106‧‧‧RF纜線
108‧‧‧輸出端
110‧‧‧RF纜線
112‧‧‧輸出端
114‧‧‧RF纜線
116‧‧‧RF傳輸線
118‧‧‧電漿腔室
119‧‧‧複阻抗感測器
120‧‧‧卡盤
122‧‧‧上電極
124‧‧‧擋片
126‧‧‧頂部表面
127‧‧‧纜線
128‧‧‧處理器
130‧‧‧主機系統
132‧‧‧記憶元件
133‧‧‧工具
150‧‧‧電漿系統
152‧‧‧工具
154‧‧‧複阻抗感測器
156‧‧‧阻抗匹配電路
158‧‧‧電漿腔室
160‧‧‧輸出端
161‧‧‧輸入端
162‧‧‧RF纜線
164‧‧‧輸出端
165‧‧‧輸入端
166‧‧‧RF纜線
167‧‧‧輸入端
168‧‧‧輸出端
170‧‧‧RF纜線
172‧‧‧RF傳輸線
174‧‧‧卡盤
176‧‧‧上電極
178‧‧‧工作件
180‧‧‧上表面
186‧‧‧RF傳輸線
188‧‧‧圓柱體
190‧‧‧阻抗匹配電路
191‧‧‧RF纜線
192‧‧‧絕緣體
194‧‧‧RF棒
196‧‧‧輸出端
198‧‧‧RF帶
202‧‧‧RF棒
204‧‧‧支撐物
206‧‧‧電漿腔室
208‧‧‧卡盤
212‧‧‧纜線
220‧‧‧線路
250‧‧‧電漿系統
252‧‧‧蝕刻速率測量裝置
254‧‧‧纜線
256‧‧‧窗
270‧‧‧電漿系統
272‧‧‧蝕刻速率測量裝置
273‧‧‧窗
274‧‧‧纜線
276‧‧‧擋片
290‧‧‧曲線圖
292‧‧‧曲線圖
302‧‧‧曲線圖
304‧‧‧曲線圖
306‧‧‧曲線圖
308‧‧‧曲線圖
B1‧‧‧螺栓
B2‧‧‧螺栓
B3‧‧‧螺栓
B4‧‧‧螺栓
V‧‧‧電壓
I‧‧‧電流
F‧‧‧頻率
P‧‧‧功率
參考以下配合隨附圖式所做的詳細描述可以最好地理解本發明。
根據本揭露範圍所描述的一些實施例,圖1為一圖式,該圖式係用以說明一模型蝕刻速率為功率、頻率、電壓、及電流之函數。
根據本揭露範圍所描述的一些實施例,圖2A為一電漿系統之實施例的圖式,該電漿系統係用以判定模型蝕刻速率。
根據本揭露範圍所描述的各樣實施例,圖2B為一電漿系統之實施例的圖式,該電漿系統包括一工具,該工具與圖2A之電漿系統的工具相同或相似。
根據本揭露範圍所描述的幾個實施例,圖3為一射頻(RF)傳輸線結構之實施例的圖式。
根據本揭露範圍所描述的一些實施例,圖4A為一電漿系統之實施例的方塊圖,該電漿系統係用以判定電壓及電流之係數,該電壓及電流之係數係用以判定蝕刻速率。
根據本揭露範圍所描述的各樣實施例,圖4B為另一電漿系統之實施例的方塊圖,該電漿系統係用以判定電壓及電流之係數,該電壓及電流之係數係用以判定蝕刻速率。
根據本揭露範圍所描述的幾個實施例,圖5為一曲線圖之實施例,當z 百萬赫茲(MHz)RF產生器為運作的、且x及y MHz RF產生器為不運作的時候,該曲線圖繪製了蝕刻速率模型的平均值對所測得的蝕刻速率平均值之曲線,其中該測得的蝕刻速率平均值係藉由使用一蝕刻速率測量裝置(ERMD)來判定。
根據本揭露範圍所描述的一些實施例,圖6為一曲線圖之實施例,當z MHz RF產生器為運作的、且x及y MHz RF產生器為不運作的時候,該曲線圖繪製了在蝕刻速率模型之平均值上的誤差對平均蝕刻速率之曲線。
根據本揭露範圍所描述的一些實施例,圖7A顯示了複數曲線圖之實施例,當27 MHz 射頻產生器為運作的時候,該等曲線圖繪製了平均測得的蝕刻速率對模型化蝕刻速率之曲線以說明該測得的與該模型化的蝕刻速率之間的大致線性關係。
根據本揭露範圍所描述的一些實施例,圖7B顯示了複數曲線圖之實施例,當60 MHz 射頻產生器為運作的時候,該等曲線圖繪製了平均測得的蝕刻速率對模型化蝕刻速率之曲線以說明該測得的與該模型化的蝕刻速率之間的大致線性關係。
290‧‧‧曲線圖

Claims (23)

  1. 一種執行蝕刻速率之腔室至腔室匹配的方法,該方法係基於模型蝕刻速率而執行,該方法包含: 接收於一第一電漿系統的一射頻(RF)產生器的一輸出端所測量到的一電壓及一電流; 基於該電壓、該電流、及一功率而計算一第一模型蝕刻速率,該功率係基於該電壓、該電流、及該電壓與該電流之間的一相位而計算; 接收於一第二電漿系統的一RF產生器的一輸出端所測量到的一電壓及一電流; 基於在該第二電漿系統的該RF產生器的該輸出端之該電壓及該電流而判定一第二模型蝕刻速率; 對該第二模型蝕刻速率與該第一模型蝕刻速率進行比較;及 一旦判定該第二模型蝕刻速率與該第一模型蝕刻速率不匹配則調整在該第二電漿系統的該RF產生器之該輸出端的一功率以達成與該第一電漿系統相關聯的該第一模型蝕刻速率, 其中,該方法係由一處理器來執行。
  2. 如申請專利範圍第1項之執行蝕刻速率之腔室至腔室匹配的方法,更包含了調整在該第二電漿系統的該RF產生器之該輸出端的該電壓或該電流以達成與該第一電漿系統相關聯的該第一模型蝕刻速率。
  3. 如申請專利範圍第1項之執行蝕刻速率之腔室至腔室匹配的方法,其中用以計算該第一模型蝕刻速率的該電壓及該電流包括一電壓強度、一電流強度、及該電壓強度與該電流強度之間的該相位,且用以計算該模型蝕刻速率的該功率包括一功率強度,該功率強度係計算為該電壓強度、該電流強度、及該相位的一乘積。
  4. 如申請專利範圍第1項之執行蝕刻速率之腔室至腔室匹配的方法,更包含: 接收於該第一電漿系統的該RF產生器的該輸出端所測量到的一頻率; 基於該頻率而計算一第三模型蝕刻速率; 接收於該第二電漿系統的該RF產生器的該輸出端所測量到的一頻率; 基於在該第二電漿系統的該RF產生器的該輸出端所測量到的該頻率而判定一第四模型蝕刻速率; 對該第三模型蝕刻速率與該第四模型蝕刻速率進行比較;及 調整在該第二電漿系統的該RF產生器之該輸出端的該功率以達成與該第一電漿系統相關聯的該第三模型蝕刻速率。
  5. 如申請專利範圍第4項之執行蝕刻速率之腔室至腔室匹配的方法,更包含了調整在該第二電漿系統的該RF產生器之該輸出端的該頻率以達成與該第一電漿系統相關聯的該第三模型蝕刻速率。
  6. 如申請專利範圍第4項之執行蝕刻速率之腔室至腔室匹配的方法,其中接收在該第一電漿系統的該RF產生器之該輸出端所測量到的該電壓、該電流、及該頻率之步驟係使用在該第一電漿系統的一電漿腔室中的一擋片(dummy wafer)來執行。
  7. 如申請專利範圍第1項之執行蝕刻速率之腔室至腔室匹配的方法,其中該第一電漿系統的該RF產生器經由一纜線而連接至一阻抗匹配電路,且其中該阻抗匹配電路經由一RF傳輸線而連接至一電漿腔室。
  8. 如申請專利範圍第1項之執行蝕刻速率之腔室至腔室匹配的方法,其中該第一電漿系統的該RF產生器之該輸出端連接至一阻抗匹配電路的一輸入端,其中該輸出端係用以促成一RF信號經由該阻抗匹配電路而傳輸至一電漿腔室。
  9. 如申請專利範圍第1項之執行蝕刻速率之腔室至腔室匹配的方法,其中調整在該第二電漿系統的該RF產生器之該輸出端的該功率之步驟係於該第二電漿系統被用以蝕刻一半導體晶圓時執行。
  10. 如申請專利範圍第1項之執行蝕刻速率之腔室至腔室匹配的方法,其中調整該功率之步驟包括增加或減少在該第二電漿系統的該RF產生器之該輸出端所測量的該功率。
  11. 如申請專利範圍第1項之執行蝕刻速率之腔室至腔室匹配的方法,其中該第一電漿系統包括一工具,該工具在功能上與該第二電漿系統的一工具相同及具有與該第二電漿系統的該工具不同的識別身分。
  12. 如申請專利範圍第1項之執行蝕刻速率之腔室至腔室匹配的方法,其中該第一電漿系統包括一工具,該工具在結構上與該第二電漿系統的一工具相同及具有與該第二電漿系統的該工具不同的識別身分。
  13. 如申請專利範圍第1項之執行蝕刻速率之腔室至腔室匹配的方法,其中該第一電漿系統包括一工具,該工具在結構及功能上與該第二電漿系統的一工具相同及具有與該第二電漿系統的該工具不同的識別身分。
  14. 如申請專利範圍第1項之執行蝕刻速率之腔室至腔室匹配的方法,其中計算該第一模型蝕刻速率之步驟包括計算一總和,其中該總和為一泰勒級數。
  15. 一種執行蝕刻速率之腔室內匹配的方法,該方法係基於模型蝕刻速率而執行,該方法包含: 接收在一第一時間於一電漿系統的一射頻(RF)產生器之一輸出端所測量到的一電壓及一電流; 基於該電壓、該電流、及一功率而計算一第一模型蝕刻速率,該功率係基於該電壓與該電流之間的一相位而計算; 接收在一第二時間於該RF產生器之該輸出端所測量到的一電壓及一電流; 基於該電壓、該電流、及一功率而計算一第二模型蝕刻速率,該功率係基於在該第二時間所測量到的該電壓與該電流之間的一相位而計算; 對該第一模型蝕刻速率與該第二模型蝕刻速率進行比較;及 一旦判定該第二模型蝕刻速率與該第一模型蝕刻速率不匹配則調整在該RF產生器之該輸出端的功率以達成該第一模型蝕刻速率, 其中,該方法係由一處理器來執行。
  16. 如申請專利範圍第15項之執行蝕刻速率之腔室內匹配的方法,更包含: 接收在該第一時間於該RF產生器之該輸出端所測量到的一頻率; 基於該頻率而計算一第三模型蝕刻速率; 接收在該第二時間於該RF產生器之該輸出端所測量到的一頻率; 基於在該第二時間所測量到的該頻率而計算一第四模型蝕刻速率; 對該第三模型蝕刻速率與該第四模型蝕刻速率進行比較;及 一旦判定該第三模型蝕刻速率與該第四模型蝕刻速率不匹配則調整在該RF產生器之該輸出端的該功率以達成該第三模型蝕刻速率。
  17. 如申請專利範圍第16項之執行蝕刻速率之腔室內匹配的方法,更包含: 調整在該RF產生器之該輸出端的該電壓、或該電流、或該頻率以達成該第三模型蝕刻速率。
  18. 如申請專利範圍第16項之執行蝕刻速率之腔室內匹配的方法,其中接收在該第一時間於該RF產生器之該輸出端所測量到的該電壓、該電流、及該頻率之步驟係使用在該電漿系統的一電漿腔室中的一擋片來執行。
  19. 如申請專利範圍第15項之執行蝕刻速率之腔室內匹配的方法,其中該第二時間發生於該第一時間之後。
  20. 如申請專利範圍第15項之執行蝕刻速率之腔室內匹配的方法,其中用以計算該第一模型蝕刻速率的該電壓及該電流包括一電壓強度、一電流強度、及該電壓強度與該電流強度之間的該相位,且用以計算該第一模型蝕刻速率的該功率包括一功率強度。
  21. 如如申請專利範圍第15項之執行蝕刻速率之腔室內匹配的方法,其中調整在該第二時間於該RF產生器之該輸出端的該功率之步驟係於該電漿系統被用以蝕刻一半導體晶圓時執行。
  22. 一種第一電漿系統,包含: 用以產生一RF信號的一射頻(RF)產生器,該RF產生器包括用以促成該RF信號之傳輸的一輸出端; 一複阻抗感測器,連接至該輸出端以測量該RF信號的一電壓及一電流; 一電漿腔室,用以產生電漿; 一阻抗匹配電路,經由一纜線連接至該RF產生器、且經由一RF傳輸線連接至該電漿腔室用以修改該RF信號以產生一修改後RF信號; 其中該電漿腔室係用以在從該阻抗匹配電路接收到該修改後RF信號時產生電漿; 一處理器,連接至該複阻抗感測器用以: 接收在該RF產生器之該輸出端所測量到的該電壓及該電流; 基於該電壓、該電流、及一功率而計算一第一模型蝕刻速率,該功率係基於該電壓、該電流、及該電壓與該電流之間的一相位而計算; 接收在一第二電漿系統的一RF產生器之一輸出端所測量到的一電壓及一電流; 基於在該第二電漿系統的該RF產生器之該輸出端的該電壓及該電流而判定一第二模型蝕刻速率; 對該第二模型蝕刻速率與該第一模型蝕刻速率進行比較;及 一旦判定該第二模型蝕刻速率與該第一模型蝕刻速率不匹配時則調整在該第一電漿系統的該RF產生器之該輸出端的一功率以達成該第一模型蝕刻速率。
  23. 如申請專利範圍第22項之第一電漿系統,其中該處理器更係用以調整在該第一電漿系統的該RF產生器之該輸出端的該電壓或該電流以達成該第一模型蝕刻速率。
TW103125354A 2013-07-26 2014-07-24 用於腔室內及腔室至腔室匹配之具有多參數的蝕刻速率模型化及其使用 TWI641042B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201361858985P 2013-07-26 2013-07-26
US61/858,985 2013-07-26
US14/243,705 US9502221B2 (en) 2013-07-26 2014-04-02 Etch rate modeling and use thereof with multiple parameters for in-chamber and chamber-to-chamber matching
US14/243,705 2014-04-02

Publications (2)

Publication Number Publication Date
TW201517165A true TW201517165A (zh) 2015-05-01
TWI641042B TWI641042B (zh) 2018-11-11

Family

ID=52389903

Family Applications (3)

Application Number Title Priority Date Filing Date
TW103125354A TWI641042B (zh) 2013-07-26 2014-07-24 用於腔室內及腔室至腔室匹配之具有多參數的蝕刻速率模型化及其使用
TW107103421A TWI654681B (zh) 2013-07-26 2014-07-25 用於腔室內及腔室至腔室匹配之蝕刻速率模型化及其使用
TW103125516A TWI623035B (zh) 2013-07-26 2014-07-25 用於腔室內及腔室至腔室匹配之蝕刻速率模型化及其使用

Family Applications After (2)

Application Number Title Priority Date Filing Date
TW107103421A TWI654681B (zh) 2013-07-26 2014-07-25 用於腔室內及腔室至腔室匹配之蝕刻速率模型化及其使用
TW103125516A TWI623035B (zh) 2013-07-26 2014-07-25 用於腔室內及腔室至腔室匹配之蝕刻速率模型化及其使用

Country Status (5)

Country Link
US (2) US9502221B2 (zh)
JP (1) JP6465579B2 (zh)
KR (2) KR102282713B1 (zh)
CN (1) CN104347340B (zh)
TW (3) TWI641042B (zh)

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9720022B2 (en) 2015-05-19 2017-08-01 Lam Research Corporation Systems and methods for providing characteristics of an impedance matching model for use with matching networks
US9412670B2 (en) * 2013-05-23 2016-08-09 Lam Research Corporation System, method and apparatus for RF power compensation in plasma etch chamber
US9460894B2 (en) * 2013-06-28 2016-10-04 Lam Research Corporation Controlling ion energy within a plasma chamber
US9595424B2 (en) * 2015-03-02 2017-03-14 Lam Research Corporation Impedance matching circuit for operation with a kilohertz RF generator and a megahertz RF generator to control plasma processes
JP6604595B2 (ja) * 2016-03-10 2019-11-13 パナソニックIpマネジメント株式会社 プラズマ処理装置およびプラズマ処理方法
US10438805B2 (en) 2016-12-06 2019-10-08 Tokyo Electron Limited Methods and systems for chamber matching and monitoring
CN108663642B (zh) 2017-03-31 2020-09-29 西门子(深圳)磁共振有限公司 一种射频线圈的调谐去谐结构和去谐方法
US10636686B2 (en) * 2018-02-27 2020-04-28 Lam Research Corporation Method monitoring chamber drift
JP7018823B2 (ja) * 2018-05-29 2022-02-14 東京エレクトロン株式会社 モデル生成装置、モデル生成プログラムおよびモデル生成方法
CN112868084B (zh) * 2018-08-17 2024-04-26 朗姆研究公司 衬底处理系统和用于操作衬底处理系统的方法
JP7257918B2 (ja) * 2019-08-29 2023-04-14 東京エレクトロン株式会社 プラズマ処理システムおよびプラズマ着火支援方法
CN110850812B (zh) * 2019-11-18 2020-07-31 北京邮电大学 基于模型的离子束刻蚀速率控制方法及装置
DE102022108634A1 (de) * 2022-04-08 2023-10-12 TRUMPF Hüttinger GmbH + Co. KG Plasmasystem und Verfahren zum Betrieb eines Plasmasystems

Family Cites Families (166)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4377961A (en) 1979-09-10 1983-03-29 Bode Harald E W Fundamental frequency extracting system
DE3027828A1 (de) 1980-07-23 1982-03-04 Deutsche Itt Industries Gmbh, 7800 Freiburg Frequenz/phasenregelschleife
US4353777A (en) 1981-04-20 1982-10-12 Lfe Corporation Selective plasma polysilicon etching
US4457820A (en) 1981-12-24 1984-07-03 International Business Machines Corporation Two step plasma etching
US4420790A (en) 1982-04-02 1983-12-13 Honeywell Inc. High sensitivity variable capacitance transducer
US4454001A (en) 1982-08-27 1984-06-12 At&T Bell Laboratories Interferometric method and apparatus for measuring etch rate and fabricating devices
US4500563A (en) 1982-12-15 1985-02-19 Pacific Western Systems, Inc. Independently variably controlled pulsed R.F. plasma chemical vapor processing
US4855897A (en) 1987-07-13 1989-08-08 The Foxboro Company Method and apparatus for statistical set point bias control
DE3923662A1 (de) 1989-07-18 1991-01-24 Leybold Ag Schaltungsanordnung zum automatischen abstimmen eines anpassungsnetzwerks
US5788801A (en) 1992-12-04 1998-08-04 International Business Machines Corporation Real time measurement of etch rate during a chemical etching process
US5479340A (en) 1993-09-20 1995-12-26 Sematech, Inc. Real time control of plasma etch utilizing multivariate statistical analysis
US5571366A (en) 1993-10-20 1996-11-05 Tokyo Electron Limited Plasma processing apparatus
US5980767A (en) 1994-02-25 1999-11-09 Tokyo Electron Limited Method and devices for detecting the end point of plasma process
US5556549A (en) 1994-05-02 1996-09-17 Lsi Logic Corporation Power control and delivery in plasma processing equipment
US5474648A (en) 1994-07-29 1995-12-12 Lsi Logic Corporation Uniform and repeatable plasma processing
US5989999A (en) 1994-11-14 1999-11-23 Applied Materials, Inc. Construction of a tantalum nitride film on a semiconductor wafer
US6042686A (en) 1995-06-30 2000-03-28 Lam Research Corporation Power segmented electrode
US5810963A (en) 1995-09-28 1998-09-22 Kabushiki Kaisha Toshiba Plasma processing apparatus and method
US5812361A (en) 1996-03-29 1998-09-22 Lam Research Corporation Dynamic feedback electrostatic wafer chuck
US5892198A (en) 1996-03-29 1999-04-06 Lam Research Corporation Method of and apparatus for electronically controlling r.f. energy supplied to a vacuum plasma processor and memory for same
US6110214A (en) 1996-05-03 2000-08-29 Aspen Technology, Inc. Analyzer for modeling and optimizing maintenance operations
US5764471A (en) 1996-05-08 1998-06-09 Applied Materials, Inc. Method and apparatus for balancing an electrostatic force produced by an electrostatic chuck
US5689215A (en) 1996-05-23 1997-11-18 Lam Research Corporation Method of and apparatus for controlling reactive impedances of a matching network connected between an RF source and an RF plasma processor
US6048435A (en) 1996-07-03 2000-04-11 Tegal Corporation Plasma etch reactor and method for emerging films
US6246972B1 (en) 1996-08-23 2001-06-12 Aspen Technology, Inc. Analyzer for modeling and optimizing maintenance operations
JP3630931B2 (ja) * 1996-08-29 2005-03-23 富士通株式会社 プラズマ処理装置、プロセスモニタ方法及び半導体装置の製造方法
US5737177A (en) 1996-10-17 1998-04-07 Applied Materials, Inc. Apparatus and method for actively controlling the DC potential of a cathode pedestal
US5866985A (en) 1996-12-03 1999-02-02 International Business Machines Corporation Stable matching networks for plasma tools
US5694207A (en) 1996-12-09 1997-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Etch rate monitoring by optical emission spectroscopy
US5889252A (en) 1996-12-19 1999-03-30 Lam Research Corporation Method of and apparatus for independently controlling electric parameters of an impedance matching network
US6017414A (en) * 1997-03-31 2000-01-25 Lam Research Corporation Method of and apparatus for detecting and controlling in situ cleaning time of vacuum processing chambers
US5894400A (en) 1997-05-29 1999-04-13 Wj Semiconductor Equipment Group, Inc. Method and apparatus for clamping a substrate
CN1299226C (zh) 1997-09-17 2007-02-07 东京电子株式会社 用于监视和控制气体等离子体处理的系统和方法
US6020794A (en) 1998-02-09 2000-02-01 Eni Technologies, Inc. Ratiometric autotuning algorithm for RF plasma generator
US6157867A (en) 1998-02-27 2000-12-05 Taiwan Semiconductor Manufacturing Company Method and system for on-line monitoring plasma chamber condition by comparing intensity of certain wavelength
US6198616B1 (en) 1998-04-03 2001-03-06 Applied Materials, Inc. Method and apparatus for supplying a chucking voltage to an electrostatic chuck within a semiconductor wafer processing system
AU4057999A (en) 1998-06-02 1999-12-20 Nikon Corporation Scanning aligner, method of manufacture thereof, and method of manufacturing device
US6021672A (en) 1998-09-18 2000-02-08 Windbond Electronics Corp. Simultaneous in-situ optical sensing of pressure and etch rate in plasma etch chamber
JP4408313B2 (ja) 1999-10-29 2010-02-03 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
US7361287B2 (en) 1999-04-30 2008-04-22 Robert Bosch Gmbh Method for etching structures in an etching body by means of a plasma
US6431112B1 (en) 1999-06-15 2002-08-13 Tokyo Electron Limited Apparatus and method for plasma processing of a substrate utilizing an electrostatic chuck
US6319355B1 (en) * 1999-06-30 2001-11-20 Lam Research Corporation Plasma processor with coil responsive to variable amplitude rf envelope
US6528751B1 (en) 2000-03-17 2003-03-04 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma
US6441555B1 (en) 2000-03-31 2002-08-27 Lam Research Corporation Plasma excitation coil
US6472822B1 (en) 2000-04-28 2002-10-29 Applied Materials, Inc. Pulsed RF power delivery for plasma processing
US7137354B2 (en) 2000-08-11 2006-11-21 Applied Materials, Inc. Plasma immersion ion implantation apparatus including a plasma source having low dissociation and low minimum plasma voltage
JP4240259B2 (ja) 2000-08-21 2009-03-18 富士電機システムズ株式会社 プラズマ電位測定方法と測定用プローブ
US6492774B1 (en) 2000-10-04 2002-12-10 Lam Research Corporation Wafer area pressure control for plasma confinement
US7871676B2 (en) 2000-12-06 2011-01-18 Novellus Systems, Inc. System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US7019543B2 (en) 2001-03-16 2006-03-28 Tokyo Electron Limited Impedance monitoring system and method
US6522121B2 (en) 2001-03-20 2003-02-18 Eni Technology, Inc. Broadband design of a probe analysis system
IE20010288A1 (en) 2001-03-23 2002-10-02 Scient Systems Res Ltd Endpoint Detection in the Etching of Dielectric Layers
US7096819B2 (en) 2001-03-30 2006-08-29 Lam Research Corporation Inductive plasma processor having coil with plural windings and method of controlling plasma density
US6750711B2 (en) 2001-04-13 2004-06-15 Eni Technology, Inc. RF power amplifier stability
US6669783B2 (en) 2001-06-28 2003-12-30 Lam Research Corporation High temperature electrostatic chuck
US6727655B2 (en) 2001-10-26 2004-04-27 Mcchesney Jon Method and apparatus to monitor electrical states at a workpiece in a semiconductor processing chamber
JP4006982B2 (ja) 2001-11-16 2007-11-14 セイコーエプソン株式会社 プリンタ及びプリンタユニット
KR100557842B1 (ko) 2001-12-10 2006-03-10 동경 엘렉트론 주식회사 고주파 전원 및 그 제어 방법 및 플라즈마 처리 장치
US20030119308A1 (en) 2001-12-20 2003-06-26 Geefay Frank S. Sloped via contacts
US7480571B2 (en) 2002-03-08 2009-01-20 Lam Research Corporation Apparatus and methods for improving the stability of RF power delivery to a plasma load
US6962644B2 (en) * 2002-03-18 2005-11-08 Applied Materials, Inc. Tandem etch chamber plasma processing system
JP2003282545A (ja) 2002-03-26 2003-10-03 Seiko Epson Corp 半導体装置の製造方法及びプラズマ処理装置
US7557591B2 (en) 2002-03-28 2009-07-07 Tokyo Electron Limited System and method for determining the state of a film in a plasma reactor using an electrical property
US7505879B2 (en) 2002-06-05 2009-03-17 Tokyo Electron Limited Method for generating multivariate analysis model expression for processing apparatus, method for executing multivariate analysis of processing apparatus, control device of processing apparatus and control system for processing apparatus
US20040028837A1 (en) 2002-06-28 2004-02-12 Tokyo Electron Limited Method and apparatus for plasma processing
US20050252884A1 (en) 2002-06-28 2005-11-17 Tokyo Electron Limited Method and system for predicting process performance using material processing tool and sensor data
US6664166B1 (en) 2002-09-13 2003-12-16 Texas Instruments Incorporated Control of nichorme resistor temperature coefficient using RF plasma sputter etch
US6873114B2 (en) 2002-09-26 2005-03-29 Lam Research Corporation Method for toolmatching and troubleshooting a plasma processing system
US20040060660A1 (en) 2002-09-26 2004-04-01 Lam Research Inc., A Delaware Corporation Control of plasma density with broadband RF sensor
KR100708313B1 (ko) * 2002-10-31 2007-04-17 세메스 주식회사 플라즈마 처리 장치 및 플라즈마 처리 방법
TWI391035B (zh) 2002-12-16 2013-03-21 Japan Science & Tech Agency Plasma generation device, plasma control method and substrate manufacturing method (1)
US20040127031A1 (en) 2002-12-31 2004-07-01 Tokyo Electron Limited Method and apparatus for monitoring a plasma in a material processing system
JP2004239211A (ja) 2003-02-07 2004-08-26 Denso Corp 吸気モジュール
US6781317B1 (en) 2003-02-24 2004-08-24 Applied Science And Technology, Inc. Methods and apparatus for calibration and metrology for an integrated RF generator system
JP2004335594A (ja) 2003-05-02 2004-11-25 Matsushita Electric Ind Co Ltd プラズマ処理装置
US7247218B2 (en) 2003-05-16 2007-07-24 Applied Materials, Inc. Plasma density, energy and etch rate measurements at bias power input and real time feedback control of plasma source and bias power
US7795153B2 (en) 2003-05-16 2010-09-14 Applied Materials, Inc. Method of controlling a chamber based upon predetermined concurrent behavior of selected plasma parameters as a function of selected chamber parameters
US6862557B2 (en) 2003-06-12 2005-03-01 Lam Research Corporation System and method for electronically collecting data in a fabrication facility
US7169625B2 (en) 2003-07-25 2007-01-30 Applied Materials, Inc. Method for automatic determination of semiconductor plasma chamber matching and source of fault by comprehensive plasma monitoring
US7625460B2 (en) 2003-08-01 2009-12-01 Micron Technology, Inc. Multifrequency plasma reactor
US7405521B2 (en) 2003-08-22 2008-07-29 Lam Research Corporation Multiple frequency plasma processor method and apparatus
US8296687B2 (en) * 2003-09-30 2012-10-23 Tokyo Electron Limited System and method for using first-principles simulation to analyze a process performed by a semiconductor processing tool
US7042311B1 (en) 2003-10-10 2006-05-09 Novellus Systems, Inc. RF delivery configuration in a plasma processing system
JP2005130198A (ja) 2003-10-23 2005-05-19 Ulvac Japan Ltd 高周波装置
US7838430B2 (en) 2003-10-28 2010-11-23 Applied Materials, Inc. Plasma control using dual cathode frequency mixing
JP3768999B2 (ja) 2003-10-29 2006-04-19 澄英 池之内 プラズマ処理装置とその制御方法
US7190119B2 (en) 2003-11-07 2007-03-13 Lam Research Corporation Methods and apparatus for optimizing a substrate in a plasma processing system
US6983215B2 (en) 2003-12-02 2006-01-03 Mks Instruments, Inc. RF metrology characterization for field installation and serviceability for the plasma processing industry
US20050130620A1 (en) * 2003-12-16 2005-06-16 Andreas Fischer Segmented radio frequency electrode apparatus and method for uniformity control
US7879185B2 (en) 2003-12-18 2011-02-01 Applied Materials, Inc. Dual frequency RF match
US7157857B2 (en) 2003-12-19 2007-01-02 Advanced Energy Industries, Inc. Stabilizing plasma and generator interactions
US6972524B1 (en) 2004-03-24 2005-12-06 Lam Research Corporation Plasma processing system control
JP2005284046A (ja) 2004-03-30 2005-10-13 Kumamoto Univ パターンずれ量検出方法及び露光装置
US7435926B2 (en) 2004-03-31 2008-10-14 Lam Research Corporation Methods and array for creating a mathematical model of a plasma processing system
US20050241762A1 (en) 2004-04-30 2005-11-03 Applied Materials, Inc. Alternating asymmetrical plasma generation in a process chamber
JP2005333075A (ja) * 2004-05-21 2005-12-02 Tokyo Electron Ltd 被処理体の処理方法及びその処理システム装置
KR101144018B1 (ko) 2004-05-28 2012-05-09 램 리써치 코포레이션 복수 rf 주파수에 반응하는 전극을 갖는 플라즈마 처리기
JP2006019442A (ja) * 2004-06-30 2006-01-19 Fujitsu Ltd ドライエッチング装置および半導体装置の製造方法
FR2875304B1 (fr) 2004-09-16 2006-12-22 Ecole Polytechnique Etablissem Sonde de mesure de caracteristiques d'un courant d'excitation d'un plasma, et reacteur a plasma associe
US20060065632A1 (en) * 2004-09-27 2006-03-30 Chia-Cheng Cheng Methods and apparatus for monitoring a process in a plasma processing system by measuring a plasma frequency
US20060065631A1 (en) 2004-09-27 2006-03-30 Chia-Cheng Cheng Methods and apparatus for monitoring a process in a plasma processing system by measuring impedance
US7323116B2 (en) 2004-09-27 2008-01-29 Lam Research Corporation Methods and apparatus for monitoring a process in a plasma processing system by measuring self-bias voltage
US7666464B2 (en) 2004-10-23 2010-02-23 Applied Materials, Inc. RF measurement feedback control and diagnostics for a plasma immersion ion implantation reactor
US20060100824A1 (en) 2004-10-27 2006-05-11 Tokyo Electron Limited Plasma processing apparatus, abnormal discharge detecting method for the same, program for implementing the method, and storage medium storing the program
US7459100B2 (en) 2004-12-22 2008-12-02 Lam Research Corporation Methods and apparatus for sequentially alternating among plasma processes in order to optimize a substrate
US7364623B2 (en) 2005-01-27 2008-04-29 Lam Research Corporation Confinement ring drive
US20060172536A1 (en) 2005-02-03 2006-08-03 Brown Karl M Apparatus for plasma-enhanced physical vapor deposition of copper with RF source power applied through the workpiece
US7602127B2 (en) 2005-04-18 2009-10-13 Mks Instruments, Inc. Phase and frequency control of a radio frequency generator from an external source
US7359177B2 (en) 2005-05-10 2008-04-15 Applied Materials, Inc. Dual bias frequency plasma reactor with feedback control of E.S.C. voltage using wafer voltage measurement at the bias supply output
US20070021935A1 (en) 2005-07-12 2007-01-25 Larson Dean J Methods for verifying gas flow rates from a gas supply system into a plasma processing chamber
US7375038B2 (en) 2005-09-28 2008-05-20 Applied Materials, Inc. Method for plasma etching a chromium layer through a carbon hard mask suitable for photomask fabrication
US20080179948A1 (en) 2005-10-31 2008-07-31 Mks Instruments, Inc. Radio frequency power delivery system
US7764140B2 (en) 2005-10-31 2010-07-27 Mks Instruments, Inc. Radio frequency power delivery system
US7780864B2 (en) 2006-04-24 2010-08-24 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion radial distribution
US7722778B2 (en) 2006-06-28 2010-05-25 Lam Research Corporation Methods and apparatus for sensing unconfinement in a plasma processing chamber
CN100530529C (zh) 2006-07-17 2009-08-19 应用材料公司 具有静电卡盘电压反馈控制的双偏置频率等离子体反应器
US20080029385A1 (en) 2006-08-03 2008-02-07 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
US7732728B2 (en) 2007-01-17 2010-06-08 Lam Research Corporation Apparatuses for adjusting electrode gap in capacitively-coupled RF plasma reactor
US7858898B2 (en) 2007-01-26 2010-12-28 Lam Research Corporation Bevel etcher with gap control
US20100216263A1 (en) * 2007-02-02 2010-08-26 Lexas Research, Ltd. Method and Apparatus for Measuring Process Parameters of a Plasma Etch Process
US7728602B2 (en) 2007-02-16 2010-06-01 Mks Instruments, Inc. Harmonic derived arc detector
US8241457B2 (en) 2007-03-30 2012-08-14 Tokyo Electron Limited Plasma processing system, plasma measurement system, plasma measurement method, and plasma control system
KR100870121B1 (ko) 2007-04-19 2008-11-25 주식회사 플라즈마트 임피던스 매칭 방법 및 이 방법을 위한 매칭 시스템
US7768269B2 (en) 2007-08-15 2010-08-03 Applied Materials, Inc. Method of multi-location ARC sensing with adaptive threshold comparison
ES2688300T3 (es) 2007-11-06 2018-10-31 Creo Medical Limited Aplicador para esterilización por plasma mediante microondas
US9074285B2 (en) 2007-12-13 2015-07-07 Lam Research Corporation Systems for detecting unconfined-plasma events
US7586100B2 (en) 2008-02-12 2009-09-08 Varian Semiconductor Equipment Associates, Inc. Closed loop control and process optimization in plasma doping processes using a time of flight ion detector
US9856558B2 (en) * 2008-03-14 2018-01-02 Applied Materials, Inc. Physical vapor deposition method with a source of isotropic ion velocity distribution at the wafer surface
JP5319150B2 (ja) 2008-03-31 2013-10-16 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法及びコンピュータ読み取り可能な記憶媒体
JP2011525682A (ja) 2008-05-14 2011-09-22 アプライド マテリアルズ インコーポレイテッド Rf電力供給のための時間分解チューニングスキームを利用したパルス化プラズマ処理の方法及び装置
US7967944B2 (en) 2008-05-29 2011-06-28 Applied Materials, Inc. Method of plasma load impedance tuning by modulation of an unmatched low power RF generator
US8337661B2 (en) 2008-05-29 2012-12-25 Applied Materials, Inc. Plasma reactor with plasma load impedance tuning for engineered transients by synchronized modulation of an unmatched low power RF generator
US8264238B1 (en) 2008-06-13 2012-09-11 Mks Instruments, Inc. Method for calibrating a broadband voltage/current probe
US20090308734A1 (en) 2008-06-17 2009-12-17 Schneider Automation Inc. Apparatus and Method for Wafer Level Arc Detection
JP5089513B2 (ja) * 2008-07-11 2012-12-05 東京エレクトロン株式会社 プラズマ処理装置システムの制御装置、プラズマ処理システムの制御方法および制御プログラムを記憶した記憶媒体
US8103492B2 (en) 2008-09-05 2012-01-24 Tokyo Electron Limited Plasma fluid modeling with transient to stochastic transformation
US20100099266A1 (en) 2008-09-22 2010-04-22 Applied Materials, Inc. Etch reactor suitable for etching high aspect ratio features
US8313664B2 (en) 2008-11-21 2012-11-20 Applied Materials, Inc. Efficient and accurate method for real-time prediction of the self-bias voltage of a wafer and feedback control of ESC voltage in plasma processing chamber
US8040068B2 (en) 2009-02-05 2011-10-18 Mks Instruments, Inc. Radio frequency power control system
WO2010102125A2 (en) 2009-03-05 2010-09-10 Applied Materials, Inc. Inductively coupled plasma reactor having rf phase control and methods of use thereof
US8382999B2 (en) 2009-03-26 2013-02-26 Applied Materials, Inc. Pulsed plasma high aspect ratio dielectric process
JP2010250959A (ja) 2009-04-10 2010-11-04 Hitachi High-Technologies Corp プラズマ処理装置
US8674606B2 (en) 2009-04-27 2014-03-18 Advanced Energy Industries, Inc. Detecting and preventing instabilities in plasma processes
US8271121B2 (en) 2009-06-30 2012-09-18 Lam Research Corporation Methods and arrangements for in-situ process monitoring and control for plasma processing tools
US8473089B2 (en) 2009-06-30 2013-06-25 Lam Research Corporation Methods and apparatus for predictive preventive maintenance of processing chambers
KR101708078B1 (ko) 2009-06-30 2017-02-17 램 리써치 코포레이션 플라즈마 챔버의 검정을 위한 에칭 레이트 균일성을 예측하는 방법 및 장치
US8404598B2 (en) 2009-08-07 2013-03-26 Applied Materials, Inc. Synchronized radio frequency pulsing for plasma etching
US20110097901A1 (en) 2009-10-26 2011-04-28 Applied Materials, Inc. Dual mode inductively coupled plasma reactor with adjustable phase coil assembly
US8909365B2 (en) 2009-11-19 2014-12-09 Lam Research Corporation Methods and apparatus for controlling a plasma processing system
US8901935B2 (en) 2009-11-19 2014-12-02 Lam Research Corporation Methods and apparatus for detecting the confinement state of plasma in a plasma processing system
US8501631B2 (en) 2009-11-19 2013-08-06 Lam Research Corporation Plasma processing system control based on RF voltage
US20110139748A1 (en) 2009-12-15 2011-06-16 University Of Houston Atomic layer etching with pulsed plasmas
US20120000887A1 (en) 2010-06-30 2012-01-05 Kabushiki Kaisha Toshiba Plasma treatment apparatus and plasma treatment method
KR20120022251A (ko) 2010-09-01 2012-03-12 삼성전자주식회사 플라즈마 식각방법 및 그의 장치
US9076826B2 (en) 2010-09-24 2015-07-07 Lam Research Corporation Plasma confinement ring assembly for plasma processing chambers
US8779662B2 (en) 2010-10-20 2014-07-15 Comet Technologies Usa, Inc Pulse mode capability for operation of an RF/VHF impedance matching network with 4 quadrant, VRMS/IRMS responding detector circuitry
US8723423B2 (en) 2011-01-25 2014-05-13 Advanced Energy Industries, Inc. Electrostatic remote plasma source
US8679358B2 (en) 2011-03-03 2014-03-25 Tokyo Electron Limited Plasma etching method and computer-readable storage medium
US8869612B2 (en) 2011-03-08 2014-10-28 Baxter International Inc. Non-invasive radio frequency liquid level and volume detection system using phase shift
US20130122711A1 (en) 2011-11-10 2013-05-16 Alexei Marakhtanov System, method and apparatus for plasma sheath voltage control
US9224618B2 (en) 2012-01-17 2015-12-29 Lam Research Corporation Method to increase mask selectivity in ultra-high aspect ratio etches
US10157729B2 (en) 2012-02-22 2018-12-18 Lam Research Corporation Soft pulsing
US9210790B2 (en) 2012-08-28 2015-12-08 Advanced Energy Industries, Inc. Systems and methods for calibrating a switched mode ion energy distribution system
US9408288B2 (en) 2012-09-14 2016-08-02 Lam Research Corporation Edge ramping
JP6257638B2 (ja) 2012-10-30 2018-01-10 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 高アスペクト比酸化物エッチング用のフルオロカーボン分子
US9460894B2 (en) 2013-06-28 2016-10-04 Lam Research Corporation Controlling ion energy within a plasma chamber

Also Published As

Publication number Publication date
TW201816887A (zh) 2018-05-01
KR20150013080A (ko) 2015-02-04
KR102208578B1 (ko) 2021-01-27
TWI641042B (zh) 2018-11-11
TWI623035B (zh) 2018-05-01
US20150028744A1 (en) 2015-01-29
KR102282713B1 (ko) 2021-07-30
US9502221B2 (en) 2016-11-22
US9082594B2 (en) 2015-07-14
CN104347340A (zh) 2015-02-11
JP6465579B2 (ja) 2019-02-06
US20150032245A1 (en) 2015-01-29
CN104347340B (zh) 2017-04-12
TWI654681B (zh) 2019-03-21
TW201517166A (zh) 2015-05-01
KR20150013094A (ko) 2015-02-04
JP2015029093A (ja) 2015-02-12

Similar Documents

Publication Publication Date Title
TWI641042B (zh) 用於腔室內及腔室至腔室匹配之具有多參數的蝕刻速率模型化及其使用
US10748748B2 (en) RF impedance model based fault detection
US9720022B2 (en) Systems and methods for providing characteristics of an impedance matching model for use with matching networks
US10325759B2 (en) Multiple control modes
KR101027183B1 (ko) 플라즈마 처리로부터 데이터를 분석하는 방법 및 시스템
TWI609606B (zh) 用於功率控制模式之腔室匹配
US20160260584A1 (en) Impedance matching circuit for operation with a kilohertz rf generator and a megahertz rf generator to control plasma processes
US9620334B2 (en) Control of etch rate using modeling, feedback and impedance match
KR102223863B1 (ko) 이중 제어 모드
TWI734700B (zh) 處理速率決定設備
JP2015029093A5 (zh)
JP2005197503A (ja) プラズマ処理方法及びプラズマ処理装置
CN109671624B (zh) 用于确定工艺参数的方法和装置
TWI603651B (zh) 使用電壓控制模式之腔室匹配
US20190318919A1 (en) Control of etch rate using modeling, feedback and impedance match
TW201528322A (zh) 使用模型化、回授及阻抗匹配之蝕刻速率的控制
TWI639182B (zh) 電漿蝕刻腔室中之rf功率補償用系統、方法、及設備
TWI784989B (zh) 基於電漿系統狀態使用變數的電漿系統及控制變數的方法及電腦系統