KR102282713B1 - 인-챔버 및 챔버-투-챔버 매칭을 위한 에칭 레이트 모델링 및 그 사용 - Google Patents

인-챔버 및 챔버-투-챔버 매칭을 위한 에칭 레이트 모델링 및 그 사용 Download PDF

Info

Publication number
KR102282713B1
KR102282713B1 KR1020140094966A KR20140094966A KR102282713B1 KR 102282713 B1 KR102282713 B1 KR 102282713B1 KR 1020140094966 A KR1020140094966 A KR 1020140094966A KR 20140094966 A KR20140094966 A KR 20140094966A KR 102282713 B1 KR102282713 B1 KR 102282713B1
Authority
KR
South Korea
Prior art keywords
generator
plasma system
plasma
output
voltage
Prior art date
Application number
KR1020140094966A
Other languages
English (en)
Other versions
KR20150013080A (ko
Inventor
주니어 존 씨. 발코어
하미트 싱
헨리 포볼리
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20150013080A publication Critical patent/KR20150013080A/ko
Application granted granted Critical
Publication of KR102282713B1 publication Critical patent/KR102282713B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32926Software, data control or modelling
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/3299Feedback systems

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Quality & Reliability (AREA)
  • General Physics & Mathematics (AREA)
  • Automation & Control Theory (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

챔버-투-챔버 매칭을 수행하는 방법은 제 1 플라즈마 시스템의 RF 발생기의 출력에서 측정된 전압 및 전류를 수신하는 단계를 포함한다. 상기 방법은 항들의 합을 계산하는 단계를 더 포함한다. 상기 제 1 항은 계수와 상기 전압의 함수의 제 1 곱이다. 상기 제 2 항은 계수와 상기 전류의 함수의 제 2 곱이다. 상기 제 3 항은 계수, 상기 전압의 함수, 및 상기 전류의 함수의 제 3 곱이다. 상기 방법은 상기 합을 상기 제 1 플라즈마 시스템과 연관된 에칭 레이트로 결정하는 단계 및 상기 제 1 플라즈마 시스템과 연관된 상기 에칭 레이트를 달성하기 위해 제 2 플라즈마 시스템의 RF 발생기로부터의 전력 출력을 조절하는 단계를 더 포함한다.

Description

인-챔버 및 챔버-투-챔버 매칭을 위한 에칭 레이트 모델링 및 그 사용{ETCH RATE MODELING AND USE THEREOF FOR IN-CHAMBER AND CHAMBER-TO-CHAMBER MATCHING}
본 실시예들은 인-챔버(in-chamber) 및 챔버-투-챔버(chamber-to-chamber) 매칭을 위한 에칭 레이트 모델링 및 상기 모델의 사용에 관한 것이다.
플라즈마 챔버들은 다양한 프로세스들, 예를 들어, 웨이퍼 세정, 웨이퍼 상에 재료들을 증착, 등에 사용된다. 플라즈마가 상기 프로세스들을 수행하기 위해 사용된다. 예를 들어, 고주파(RF; radio frequency) 발생기는 플라즈마 챔버 내에 플라즈마를 발생하기 위해 플라즈마 챔버로 이동할 RF 신호를 발생시킨다. 상기 RF 발생기는 2 ㎒(megahertz) RF 발생기, 27 ㎒ RF 발생기, 또는 60 ㎒ RF 발생기일 수도 있다.
상기 프로세스들 중 다른 하나는 웨이퍼를 에칭하는 것을 포함한다. 그러나, 웨이퍼가 플라즈마 챔버에서 에칭될 때, 상기 웨이퍼의 에칭 레이트는 상기 플라즈마 챔버 내에서 시간에 따라 변한다. 예를 들어, 웨이퍼를 에칭하기 위해 플라즈마 챔버로 RF 전력을 공급하도록 상기 27 ㎒ RF 발생기가 사용될 때 0.85%의 에칭 레이트 변화가 있다. 다른 예로서, 웨이퍼를 에칭하기 위해 플라즈마 챔버로 RF 전력을 공급하도록 상기 60 ㎒ RF 발생기가 사용될 때 1.08%의 에칭 레이트 변화가 있다.
게다가, 웨이퍼가 다수의 플라즈마 챔버들에서 에칭될 때, 상이한 에칭 레이트들이 상기 웨이퍼에 적용될 수 있다. 예를 들어, 웨이퍼를 에칭하기 위해 플라즈마 챔버로 RF 전력을 공급하도록 상기 27 ㎒ RF 발생기가 사용될 때 챔버-투-챔버 에칭 레이트에 3.3%의 가변성이 있을 수 있다. 다른 예로서, 웨이퍼를 에칭하기 위해 플라즈마 챔버로 RF 전력을 공급하도록 상기 60 ㎒ RF 발생기가 사용될 때 챔버-투-챔버 에칭 레이트에 4.8%의 가변성이 있을 수 있다.
이것이 본원에 설명된 실시예들이 발생하는 맥락이다.
본원의 실시예들은 에칭 레이트 모델링을 위한 장치, 방법들, 및 컴퓨터 프로그램들 및 인-챔버 매칭 및 챔버-투-챔버 매칭을 위한 상기 모델의 사용을 제공한다. 본 실시예들이 많은 방식들, 예를 들어, 프로세스, 장치, 시스템, 디바이스 또는 컴퓨터 판독가능 매체 상의 방법으로 구현될 수 있다는 것이 인식될 것이다. 몇몇 실시예들이 이하에 설명된다.
일부 실시예들에서, 에칭 레이트들의 챔버-투-챔버 매칭 및/또는 인-챔버 매칭을 용이하게 하도록 에칭 레이트가 모델링된다. 예를 들어, 에칭 레이트는 계수들과 전압들 및/또는 전류들의 함수로서 결정된다. 상기 결정된 에칭 레이트는 플라즈마 시스템에 대해 또는 다수의 플라즈마 시스템들에 걸쳐 일정한 에칭 레이트를 유지하도록 사용된다.
다양한 실시예들에서, 에칭 레이트 모델에 기초하여 에칭 레이트들의 챔버-투-챔버 매칭을 수행하는 방법이 설명된다. 상기 방법은 제 1 플라즈마 시스템의 고주파(RF) 발생기의 출력에서 측정된 전압 및 전류를 수신하는 단계 및 제 1 항, 제 2 항, 및 제 3 항의 합을 계산하는 단계를 포함한다. 상기 제 1 항은 계수와 상기 전압의 함수의 제 1 곱이고, 상기 제 2 항은 계수와 상기 전류의 함수의 제 2 곱이고, 상기 제 3 항은 계수, 상기 전압의 함수, 및 상기 전류의 함수의 제 3 곱이다. 상기 방법은 상기 합을 상기 제 1 플라즈마 시스템과 연관된 에칭 레이트로 결정하는 단계, 및 상기 제 1 플라즈마 시스템과 연관된 상기 에칭 레이트를 달성하기 위해 제 2 플라즈마 시스템의 RF 발생기로부터의 전력 출력을 조절하는 단계를 더 포함한다. 상기 방법은 프로세서에 의해 실행된다.
몇몇 실시예들에서, 에칭 레이트 모델에 기초하여 에칭 레이트들의 인-챔버 매칭을 수행하는 방법이 설명된다. 상기 방법은 플라즈마 시스템의 RF 발생기의 출력에서 제 1 시간에 측정된 전압 및 전류를 수신하는 단계 및 제 1 항, 제 2 항 제 3 항의 합을 계산하는 단계를 포함한다. 상기 제 1 항은 계수와 상기 전압의 함수의 제 1 곱이고, 상기 제 2 항은 계수와 상기 전류의 함수의 제 2 곱이고, 상기 제 3 항은 계수, 상기 전압의 함수, 및 상기 전류의 함수의 제 3 곱이다. 상기 방법은 상기 합을 상기 플라즈마 시스템과 연관된 상기 에칭 레이트로 결정하는 단계, 및 상기 에칭 레이트를 달성하기 위해 제 2 시간에서 상기 플라즈마 시스템의 상기 RF 발생기로부터의 전력 출력을 조절하는 단계를 더 포함한다. 상기 방법은 프로세서에 의해 실행된다.
일부 실시예들에서, 에칭 레이트를 모델링하기 위한 플라즈마 시스템이 설명된다. 상기 제 1 플라즈마 시스템은 RF 신호를 발생하기 위한 RF 발생기를 포함한다. 상기 RF 발생기는 상기 RF 신호의 이동을 용이하게 하기 위한 출력을 포함한다. 상기 제 1 플라즈마 시스템은 상기 RF 신호의 복소 전압 및 전류를 측정하기 위해 상기 출력에 결합된 복소 임피던스 센서, 상기 RF 신호가 수신될 때 플라즈마를 발생하기 위한 플라즈마 챔버, 및 케이블을 통해 상기 RF 발생기에 결합되고 RF 송전선을 통해 상기 플라즈마 챔버에 결합된 임피던스 매칭 회로를 더 포함한다. 상기 제 1 플라즈마 시스템은 상기 복소 임피던스 센서로부터 상기 복소 전압 및 전류의 측정치를 수신하기 위해 상기 복소 임피던스 센서에 결합된 프로세서를 포함한다. 상기 프로세서는 제 2 플라즈마 시스템의 RF 발생기의 출력에서 측정된 전압 및 전류를 수신하고 제 1 항, 제 2 항, 및 제 3 항의 합을 계산하기 위해 사용된다. 상기 제 1 항은 계수와 상기 제 2 플라즈마 시스템의 상기 RF 발생기의 상기 출력에서 측정된 상기 전압의 함수의 곱이다. 상기 제 2 항은 계수와 상기 제 2 플라즈마 시스템의 상기 RF 발생기의 상기 출력에서 측정된 상기 전류의 함수의 곱이다. 상기 제 3 항은 계수, 상기 제 2 플라즈마 시스템의 상기 RF 발생기의 상기 출력에서 측정된 상기 전압의 함수, 및 상기 제 2 플라즈마 시스템의 상기 RF 발생기의 상기 출력에서 측정된 상기 전류의 함수의 곱이다. 상기 프로세서는 또한 상기 합을 상기 제 2 플라즈마 시스템과 연관된 상기 에칭 레이트로 결정하고, 상기 제 2 플라즈마 시스템과 연관된 상기 에칭 레이트를 달성하기 위해 상기 제 1 플라즈마 시스템의 상기 RF 발생기의 상기 출력에서 측정된 전력을 조절하기 위해 사용된다. 상기 전력의 조절은 상기 복소 전압 및 전류에 기초하여 이루어진다.
상기 설명된 실시예들의 일부 장점들은 에칭 레이트의 모델을 제공하는 것을 포함한다. 예를 들어, 상기 에칭 레이트는 계수들과 전압들 및/또는 전류들의 함수로서 결정된다. 다른 예로서, 상기 에칭 레이트는 계수들과 전압의 함수들, 또는 전류의 함수들, 상기 전압의 함수들 및 상기 전류의 함수들의 조합의 곱들의 합으로서 결정된다. 상기 모델은 에칭 레이트들을 유지하기 위해 실현가능하고 프로세서의 적은 계산 시간 및 적은 리소스들, 예를 들어, 에칭 레이트 측정 디바이스들(ERMDs)의 수, 등을 사용한다. 예를 들어, 인-챔버 에칭 레이트 균일성을 달성하기 위해 에칭 레이트 측정에 ERMD를 사용할 필요는 없다. 다른 예로서, 반도체 기판을 프로세싱하면서 에칭 레이트 균일성을 달성하기 위해 다수의 플라즈마 시스템들, 예를 들어, 챔버-투-챔버, 등에서 다수의 ERMD들을 사용할 필요는 없다. 또한, 에칭 레이트들의 상기 인-챔버 또는 챔버-투-챔버 균일성은 웨이퍼를 에칭하기 위해 상기 플라즈마 시스템의 상기 RF 발생기에 의해 상기 전압 및/또는 전류가 공급되는 시간 독립적인 에칭 레이트들의 정확도를 제공한다.
첨부된 도면들과 함께 취해진, 이하의 상세한 설명으로부터 다른 양태들이 명백해질 것이다.
도 1은 본원에 설명된 일부 실시예들에 따라, 모델 에칭 레이트가 전압 및 전류의 함수라는 것을 예시하도록 사용된 도면이다.
도 2a는 본원에 설명된 일부 실시예들에 따른, 모델 에칭 레이트를 결정하기 위해 사용된 플라즈마 시스템의 실시예의 도면이다.
도 2b는 본원에 설명된 다양한 실시예들에 따른, 도 2a의 상기 플라즈마 시스템의 툴과 같거나 비슷한 유형의 툴을 포함하는 플라즈마 시스템의 실시예의 도면이다.
도 3은 본원에 설명된 일부 실시예들에 따른, 고주파(RF) 송전선의 구조의 실시예의 도면이다.
도 4a는 본원에 설명된 일부 실시예들에 따른, 에칭 레이트를 결정하기 위해 사용된 전압 및 전류의 계수들을 결정하기 위한 플라즈마 시스템의 실시예의 블록도이다.
도 4b는 본원에 설명된 다양한 실시예들에 따른, 에칭 레이트를 결정하기 위해 사용된 전압 및 전류의 계수들을 결정하기 위한 다른 플라즈마 시스템의 실시예의 블록도이다.
도 5는 본원에 설명된 몇몇 실시예들에 따라, z ㎒ RF 발생기는 동작중이고 x ㎒ 및 y ㎒ RF 발생기들은 동작하지 않을 때, 에칭 레이트 측정 디바이스(ERMD)를 사용하여 결정된, 에칭 레이트 모델의 평균 값 대 측정된 에칭 레이트의 평균 값을 플롯하는 그래프의 실시예이다.
도 6은 본원에 설명된 일부 실시예들에 따라, z ㎒ RF 발생기는 동작중이고 x ㎒ 및 y ㎒ RF 발생기들은 동작하지 않을 때, 에칭 레이트 모델의 평균 값 대 평균 에칭 레이트의 에러를 플롯하는 그래프의 실시예이다.
도 7a는 본원에 설명된 일부 실시예들에 따라, 27 ㎒ RF 발생기가 동작중일 때 측정된 평균 에칭 레이트들과 모델링된 에칭 레이트들 간의 일반적으로 선형인 관계를 예시하기 위해 상기 측정된 에칭 레이트들 대 모델링된 에칭 레이트들을 플롯하는 그래프들의 실시예를 나타낸다.
도 7b는 본원에 설명된 일부 실시예들에 따라, 60 ㎒ RF 발생기가 동작중일 때 측정된 평균 에칭 레이트들과 모델링된 에칭 레이트들 간의 일반적으로 선형인 관계를 예시하기 위해 상기 측정된 에칭 레이트들 대 모델링된 에칭 레이트들을 플롯하는 그래프들의 실시예를 나타낸다.
실시예들은 첨부된 도면들과 함께 취해진, 이하의 설명을 참조하여 가장 잘 이해될 수 있다.
이하의 실시예들은 전력 제어 모드를 사용하는 챔버 매칭을 위한 시스템들 및 방법들을 설명한다. 본 실시예들은 이들 구체적인 상세들의 일부 또는 전부가 없이도 실시될 수도 있다는 것이 명백해질 것이다. 다른 예시들에서, 본 실시예들을 불필요하게 모호하게 하지 않도록 공지의 프로세스 동작들은 상세히 설명되지 않았다.
도 1은 모델 에칭 레이트가 전압 및/또는 전류의 함수라는 것을 예시하도록 사용된 도면이다. 일부 실시예들에서, 에칭 레이트는 분 당 나노미터 단위로 측정된다. 상기 전압이 고주파(RF) 발생기의 출력에서 측정되고 상기 전류는 상기 RF 발생기의 상기 출력에서 측정된다.
상기 전압 및 상기 전류 중 하나 이상이 변경될 때, 상기 모델 에칭 레이트가 변한다.
일부 실시예들에서, 상기 전압은 전압 크기이고 상기 전류는 전류 크기이다. 크기의 예들은 제로-투-피크 크기, 피크-투-피크 크기, 및 제곱 평균(RMS) 크기를 포함한다.
도 2a는 모델 에칭 레이트를 결정하기 위해 사용된 플라즈마 시스템(100)의 실시예의 도면이다. 상기 플라즈마 시스템(100)은 x ㎒ 고주파(RF) 발생기, y ㎒ RF 발생기, 및 z ㎒ RF 발생기를 포함한다. x ㎒의 예들은 2 ㎒, 27 ㎒, 및 60 ㎒를 포함한다. y ㎒의 예들은 2 ㎒, 27 ㎒, 및 60 ㎒를 포함한다. z ㎒의 예들은 2 ㎒, 27 ㎒, 및 60 ㎒를 포함한다.
일부 실시예들에서, 상기 x ㎒는 y ㎒ 및 z ㎒와 상이하고, y ㎒는 z ㎒와 상이하다. 예를 들어, x ㎒가 2 ㎒일 때, y ㎒는 27 ㎒이고 z ㎒는 60 ㎒이다.
일부 실시예들에서, RF 발생기의 출력에 접속된 복소 임피던스 센서는 정확하게 조정된다는 것을 주의한다. 예를 들어, 상기 x ㎒ RF 발생기의 출력(102)에 결합된 복소 임피던스 센서(119)는 상기 출력(102)에서 측정이 취해질 때마다 같은 양의 전압, 같은 양의 전류 및/또는 같은 양의 위상을 측정한다. 다른 예로서, 상기 출력(102)에서 측정이 취해질 때마다, 상기 복소 임피던스 센서(119)는 상기 출력(102)에서 상기 전압의 측정치의 문턱값 내인 전압 량을 측정하고 및/또는 상기 출력(102)에서 상기 전류의 측정치의 문턱값 내인 상기 출력(102)에서의 전류 량을 측정하고 및/또는 상기 출력(102)에서 상기 위상의 측정치의 문턱값 내인 상기 출력(102)에서의 위상을 측정한다. 또 다른 예로서, 상기 출력(102)에서 측정이 취해지는 대부분의 시간들에 대해, 상기 복소 임피던스 센서(119)는 상기 출력(102)에서 측정이 취해질 때마다 같은 양의 전압, 같은 양의 전류 및/또는 같은 양의 위상을 측정한다. 다른 예로서, 상기 출력(102)에서 측정이 취해지는 대부분의 시간들에 대해, 상기 복소 임피던스 센서(119)는 상기 출력(102)에서 상기 전압의 측정치의 문턱값 내인 전압 량을 측정하고 및/또는 상기 출력(102)에서 상기 전류의 측정치의 문턱값 내인 전류 량을 측정하고 및/또는 상기 출력(102)에서 상기 위상의 측정치의 문턱값 내인 상기 출력(102)에서의 위상을 측정한다.
일부 실시예들에서, 다른 복소 임피던스 센서(도시되지 않음)가 출력(108)에 결합되고 또 다른 복소 임피던스 센서(도시되지 않음)가 출력(112)에 결합된다.
몇몇 실시예들에서, 상기 용어들 복소 임피던스 센서 및 복소 전압 및 전류 센서는 서로 교체 사용 가능하다.
다양한 실시예들에서, 위상은 전압과 전류 간의 위상이라는 것을 주의한다. 예를 들어, 전압이 Vm V이고 전류가 Im A일때, 상기 전압과 전류 간의 위상은 φ도이고, 여기서, m은 0보다 큰 정수이다.
다양한 실시예들에서, 상기 x, y, 및 z ㎒ RF 발생기들을 조정하도록 사용된 복소 임피던스 센서는 미리 설정된 표준, 예를 들어, NIST(National Institute of Standards and Technology) 표준을 따른다. 예를 들어, RF 발생기의 출력에 접속된 조정된 복소 임피던스 센서는 NIST에 유래한다.
다수의 실시예들에서, 임의의 수, 예를 들어, 2, 4, 등의 RF 발생기들이 상기 플라즈마 시스템(100) 내에서 사용된다.
임피던스 매칭 회로(104)는 RF 케이블(106)을 통해 상기 출력(102)에 결합된다. 비슷하게, 상기 임피던스 매칭 회로(104)는 RF 케이블(110)을 통해 상기 y ㎒ RF 발생기의 출력(108)에 결합되고 상기 임피던스 매칭 회로(104)는 RF 케이블(114)을 통해 상기 z ㎒ RF 발생기의 출력(112)에 결합된다. 상기 임피던스 매칭 회로(104)는 한 측면에서 상기 임피던스 매칭 회로(104)에 결합된 부하의 임피던스를 다른 측면에서 상기 임피던스 매칭 회로(104)에 결합된 소스의 임피던스와 매칭한다. 예를 들어, 상기 임피던스 매칭 회로(104)는 RF 송전선(116) 및 플라즈마 챔버(118)의 임피던스를 상기 x ㎒ RF 발생기, 상기 y ㎒ RF 발생기, 상기 z ㎒ RF 발생기, 상기 RF 케이블(106), 상기 RF 케이블(110), 및 상기 RF 케이블(114)의 임피던스와 매칭한다.
상기 플라즈마 챔버(118)는 상기 RF 송전선(116)을 통해 상기 임피던스 매칭 회로(104)에 결합된다. 상기 플라즈마 챔버(118)는 척(120: chuck), 상부 전극(122), 및 다른 부품들(도시되지 않음), 예를 들어, 상기 상부 전극(122)을 둘러싸는 상부 유전체 링, 상기 상부 유전체 링을 둘러싸는 상부 전극 연장, 상기 척(120)의 하부 전극을 둘러싸는 하부 유전체 링, 상기 하부 유전체 링을 둘러싸는 하부 전극 연장, 상부 플라즈마 배제 존(PEZ: plasma exclusion zone) 링, 하부 PEZ 링, 등을 포함한다. 상기 상부 전극(122)은 상기 척(120)의 맞은 편에 마주보고 위치된다. 더미 웨이퍼(124)는 상기 척(120)의 상부 표면(126)으로 지지된다. 각각의 상기 하부 전극 및 상기 상부 전극(122)은 금속, 예를 들어, 알루미늄, 알루미늄 합금, 구리, 등으로 만들어진다. 상기 척(120)의 예들은 정전 척(ESC: electrostatic chuck) 및 자기 척을 포함한다.
일부 실시예들에서, 상기 더미 웨이퍼(124)는 에칭 레이트를 결정하기 위해 사용된다. 예를 들어, 상기 더미 웨이퍼(124)는 반도체 이외의 재료로 만들어진다. 반도체 이외의 재료들의 예들은 유리, 소다라임, 보로실리케이트, 석영, 세라믹, 탄소 섬유, 등을 포함한다. 다른 예로서, 상기 더미 웨이퍼(124)는 반도체 웨이퍼보다 저렴하다.
일부 실시예들에서, 반도체 웨이퍼가 상기 더미 웨이퍼(124) 대신 사용된다. 다양한 프로세스들, 예를 들어, 화학 기상 성장(chemical vapor deposition), 세정, 증착, 스퍼터링, 에칭, 이온 주입, 레지스트 박리, 등이 제작 동안 상기 반도체 웨이퍼에 수행된다. 집적 회로들, 예를 들어, ASIC(application specific integrated circuit), PLD(programmable logic device), 등이 상기 반도체 웨이퍼 상에 성장되고 상기 집적 회로들은 다양한 전자 아이템들, 예를 들어, 휴대 전화, 태블릿, 스마트폰, 컴퓨터, 랩탑, 네트워크 장비, 등에 사용된다.
상기 RF 케이블들(106, 110, 114), 상기 임피던스 매칭 회로(104), 상기 RF 송전선(116), 및 상기 플라즈마 챔버(118)는 상기 플라즈마 시스템(100)의 툴(133)의 일부이다.
일부 실시예들에서, 상기 상부 전극(122)은 중앙 가스 배관(도시되지 않음)에 결합된 하나 이상의 가스 인렛들(gas inlets), 예를 들어, 홀들, 등을 포함한다. 상기 중앙 가스 배관은 가스 저장소(도시되지 않음)로부터 하나 이상의 프로세스 가스들을 받아들인다. 프로세스 가스들의 예들은 O2와 같은 산소 함유 가스를 포함한다. 상기 프로세스 가스의 다른 예들은 예를 들어, 사불화탄소(CF4), 육불화황(SF6), 헥사플루오로에탄(C2F6), 등과 같은 불소 함유 가스를 포함한다. 상기 상부 전극(122)은 접지된다. 상기 척(120)은 상기 임피던스 매칭 회로(104)를 통해 상기 x ㎒ RF 발생기, 상기 y ㎒ RF 발생기, 및 상기 z ㎒ RF 발생기에 결합된다.
상기 프로세스 가스가 상기 상부 전극(122)과 상기 척(120) 사이에 공급될 때 및 상기 x ㎒ RF 발생기 및/또는 상기 y ㎒ RF 발생기 및/또는 상기 z ㎒ RF 발생기가 상기 임피던스 매칭 회로(104) 및 상기 RF 송전선(116)을 통해 RF 신호들을 상기 척(120)에 공급할 때, 상기 프로세스 가스는 상기 플라즈마 챔버(118) 내에 플라즈마를 발생시키기 위해 점화된다.
상기 x ㎒ RF 발생기가 RF 신호를 발생하고 이를 상기 출력(102), 상기 RF 케이블(106), 상기 임피던스 매칭 회로(104), 및 상기 RF 송전선(116)을 통해 상부에 상기 더미 웨이퍼(124)가 위치된 상기 척(120)에 공급할 때, 상기 복소 임피던스 센서(119)는 상기 출력(102)에서 복소 전압 및 전류를 측정한다. 일부 실시예들에서, 복소 전압 및 전류는 전압 크기, 전류 크기, 및 상기 전압 크기와 전류 크기 간의 위상을 포함한다. 상기 복소 임피던스 센서(119)에 의해 측정된 상기 복소 전압 및 전류는 호스트 시스템(130)의 메모리 디바이스(132)에 저장하기 위해 케이블(127)을 통해 상기 호스트 시스템(130)의 프로세서(128)에 제공된다. 상기 프로세서(128)는 상기 케이블(127)을 통해 상기 복소 임피던스 센서(119)에 결합된다.
본원에 사용된 바와 같이, 프로세서는 중앙 처리 장치(CPU), 마이크로프로세서, ASIC(application specific integrated circuit), PLD(programmable logic device), 등일 수 있다. 메모리 디바이스의 예들은 ROM(read-only memory), RAM(random access memory), 또는 이들의 조합을 포함한다. 메모리 디바이스는 플래시 메모리, RAID(redundant array of storage disks), 하드 디스크, 등일 수 있다.
비슷하게, 상기 출력들(108 및 112)에 결합된 상기 복소 임피던스 센서들(도시되지 않음)은 상기 메모리 디바이스(132)에 저장하기 위해 복소 전압 및 전류의 측정치를 대응하는 케이블들을 통해 상기 프로세서(128)에 제공한다.
상기 프로세서(128)는 상기 복소 임피던스 센서(119)로부터 수신된 복소 전압 및 전류의 시간 t1에서의 측정치에 기초하여 상기 플라즈마 시스템(100)과 연관된 에칭 레이트를 계산한다. 상기 복소 전압 및 전류의 측정치는 상기 x ㎒ RF 발생기(162)가 상기 출력(102)을 통해 상기 플라즈마 챔버(118)에 전력을 공급할 때 발생되고 수신된다. 상기 프로세서(128)는 상기 측정된 복소 전압 및 전류에 기초하여 모델 에칭 레이트를 발생한다. 예를 들어, 상기 프로세서(128)는 상기 플라즈마 시스템(100)과 연관된 모델 에칭 레이트를 결정하기 위해 다수의 항들의 합을 계산한다. 각각의 항은 계수와 상기 시간 t1에서 측정된 상기 전압의 함수의 곱, 또는 계수와 상기 시간 t1에서 측정된 상기 전류의 함수의 곱, 또는 계수, 상기 시간 t1에서 측정된 상기 전압의 함수, 및 상기 시간 t1에서 측정된 상기 전류의 함수의 곱이다. 예로서, 상기 프로세서(128)는 1차 다항식인, C11V1 + C12I1과 같도록 모델 에칭 레이트 ER1001을 계산하고, 여기서 C11V1 및 C12I1 각각은 항이고, C11 및 C12는 계수들이고, V1은 상기 시간 t1에서 상기 복소 임피던스 센서(119)에 의해 측정된 전압 크기이고, I1은 상기 시간 t1에서 상기 복소 임피던스 센서(119)에 의해 측정된 전류 크기이다. 다른 예로서, 모델 에칭 레이트 ER1002는 2차 다항식인 C21V1 + C22I1 + C23V1 2 + C24V1I1 + C25I1 2으로 계산되고, 여기서, C21V1, C22I1, C23V1 2, C24V1I1, 및 C25I1 2 각각은 항이고, C21, C22, C23, C24, 및 C25는 계수들이고, I1 2은 상기 전류 I1의 제곱의 함수이고, V1 2은 상기 전압 V1의 제곱의 함수이다. 또 다른 예로서, 모델 에칭 레이트 ER1003은 3차 다항식인 C31V1 + C32I1 + C33V1 2 + C34V1I1 + C35I1 2 + C36V1 3 + C37V1 2I1 + C38V1I1 2 + C39I1 3으로 계산되고, 여기서, C31V1, C32I1, C33V1 2, C34V1I1, C35I1 2, C36V1 3, C37V1 2I1, C38V1I1 2, 및 C39I1 3 각각은 항이고, C31, C32, C33, C34, C35, C36, C37, C38, 및 C39는 계수들이고, I1 3은 상기 전류 I1의 3의 제곱의 함수이고, V1 3은 상기 전압 V1의 3의 제곱의 함수이다. 다른 예로서, 모델 에칭 레이트 ER1004는 4차 다항식인 C41V1 + C42I1 + C43V1 2 + C44V1I1 + C45I1 2 + C46V1 3 + C47V1 2I + C48V1I1 2 + C49I1 3 + C50V1 4 + C51V1 3I1 + C52V1 2I1 2 + C53V1I1 3 + C54I1 4으로 계산되고, 여기서, C41V1, C42I1, C43V1 2, C44V1I1, C45I1 2, C46V1 3, C47V1 2I, C48V1I1 2, C49I1 3, C50V1 4, C51V1 3I1, C52V1 2I1 2, C53V1I1 3, 및 C54I1 4 각각은 항이고, C41, C42, C43, C44, C45, C46, C47, C48, C49, C50, C51, C52, C53, 및 C54는 계수들이고, I1 4는 상기 전류 I1의 4의 제곱의 함수이고, V1 4은 상기 전압 V1의 4의 제곱의 함수이다.
Vm은 전압 크기, 예를 들어, 제로-투-피크 전압, 피크-투-피크 전압, 제곱 평균(RMS) 전압, 등이고, Im은 전류 크기, 예를 들어, 제로-투-피크 전류, 피크-투-피크 전류, RMS 전류, 등이고, 여기서, "m"은 0보다 큰 정수라는 것을 주의한다. 일부 실시예들에서, 각각의 상기 1차 다항식, 상기 2차 다항식, 상기 3차 다항식, 및 상기 4차 다항식 각각은 테일러 급수 전개(Taylor series expansion)의 일부라는 것을 주의한다. 예로서, 상기 프로세서(128)는 에칭 레이트 모델 ER100n을 상기 전압 V1의 함수, 상기 전류 I1의 함수, 및 계수의 n차 다항식인 테일러 급수 전개와 같도록 계산하고, 여기서, n은 정수이다. 예시를 위해, 상기 프로세서(128)는 상기 에칭 레이트 ER100n을 값에 수렴하는 n차 다항식으로서 계산하고, 여기서, n은 0보다 큰 정수이다.
다양한 실시예들에서, 에칭 레이트 모델의 항들의 수는 1에서부터 큰 수, 예를 들어, 100, 1000, 10000, 등의 범위이다. 예를 들어, 상기 에칭 레이트 ER1001은 2 항들을 포함하고, 상기 에칭 레이트 ER1002는 5 항들을 포함하고, 상기 에칭 레이트 ER1003은 9 항들을 포함하고, 상기 에칭 레이트 ER1004는 14 항들을 포함한다.
다수의 실시예들에서, 플라즈마 시스템과 연관된 에칭 레이트 모델을 결정하기 위해 사용된 다수의 항들은 상기 프로세서(128)에 결합된 입력 디바이스(도시되지 않음), 예를 들어, 마우스, 키보드, 스타일러스, 터치스크린, 등을 통해 사용자에 의한 입력으로서 제공된다. 다양한 실시예들에서, 상기 프로세서(128)는 상기 에칭 레이트 모델의 값의 수렴을 달성하도록 플라즈마 시스템과 연관된 에칭 레이트 모델을 결정하기 위해 사용된 다수의 항들을 결정한다. 예를 들어, 상기 프로세서(128)는 상기 에칭 레이트 모델의 수렴이 달성될 때까지 플라즈마 시스템과 연관된 에칭 레이트 모델을 결정하는데 사용된 항들을 계속해서 합산한다.
다양한 실시예들에서, 상기 프로세서(128)는 상기 프로세서(128)가 상기 계수들을 결정하는 것과 같은 방식으로 상기 계수들을 결정하는 다른 프로세서로부터 계수들, 예를 들어, 상기 계수들 C11, C12, C21, C22, C23, C24, C25, C31, C32, C33, C34, C35, C36, C37, C38, C39, C41, C42, C43, C44, C45, C46, C47, C48, C49, C50, C51, C52, C53, C54, 등을 수신한다. 상기 계수들을 결정하는 방식은 이하에 설명된다.
일부 실시예들에서, 상기 툴(133)은 몇몇 플라즈마 시스템들의 몇몇 툴들 중에서 더 높은 수율을 제공한다. 상기 몇몇 툴들은 상기 툴(133)과 같거나 비슷한 유형이다. 예를 들어, 다양한 대응하는 툴들의 특성들, 예를 들어, 레지스턴스, 캐패시턴스, 인덕턴스, 또는 이들의 조합, 등은 상기 툴(133)의 특성의 범위 내에 있거나 같다. 다른 예로서, 상기 몇몇 툴들은 상기 툴(133)과 같은 구조를 갖고 및/또는 상기 툴(133)에 의해 수행된 것과 같은 기능을 수행한다.
다양한 실시예들에서, 상기 툴(133)은 더 낮은 수율의 툴을 사용하여 달성된 것보다 높은 애스팩트 비(aspect ratio)를 달성하도록 웨이퍼를 에칭하기 위해 더 높은 수율의 툴이 사용될 때, 또는 더 높은 수율의 툴의 사용이 더 낮은 수율의 툴을 사용하여 세정된 것보다 웨이퍼들을 더 깨끗하게 할 때, 또는 더 높은 수율의 툴이 더 낮은 수율의 툴을 사용하여 달성된 것보다 더 빠른 레이트로 에칭할 때, 또는 더 높은 수율의 툴이 더 낮은 수율의 툴을 사용하여 달성된 것보다 더 빠른 레이트로 웨이퍼를 세정할 때, 또는 더 높은 수율의 툴이 더 낮은 수율의 툴보다 높은 웨이퍼 프로세싱 레이트를 가질 때, 또는 이들의 조합 시 같거나 비슷한 유형의 다른 플라즈마 시스템의 툴보다 높은 수율을 갖는다.
다양한 실시예들에서, 상기 툴(133)은 특별한 툴로 참조된다.
일부 실시예들에서, 상기 플라즈마 시스템(100)과 연관된 에칭 레이트는 시간이 흘러도 일정하게 유지된다. 예를 들어, 시간 t2에서 상기 전압 V1의 변화 및/또는 상기 전류 I1의 변화가 있을 때, 상기 x ㎒ RF 발생기는 상기 플라즈마 시스템(100)과 연관된 상기 에칭 레이트를 유지하기 위해 예를 들어, 상기 x ㎒ RF 발생기의 상기 출력(102)을 통해 전달된 V1 및 I1의 조합, 등으로 전력을 조절한다. 상기 시간 t2는 상기 시간 t1 이후이다. 상기 전압 V1의 변화 및/또는 상기 전류 I1의 변화는 상기 복소 임피던스 센서(119)로부터 상기 프로세서(128)에 의해 수신된다. 다른 예로서, 시간 t2에서 상기 전압 V1의 변화 및/또는 상기 전류 I1의 변화가 있을 때, 상기 x ㎒ RF 발생기는 상기 플라즈마 시스템(100)과 연관된 상기 에칭 레이트를 유지하기 위해 상기 x ㎒ RF 발생기의 상기 출력(102)에서 측정된 상기 전압 V1 및/또는 상기 전류 I1을 조절한다. 다른 예로서, 상기 에칭 레이트 ER1001을 결정한 후, 상기 x ㎒ RF 발생기에 의해 공급된 RF 신호의 전력에 변화가 있을 때, 상기 x ㎒ RF 발생기는 상기 에칭 레이트 ER1001을 달성하기 위해 상기 전력을 조절한다. 다른 예로서, 상기 x ㎒ RF 발생기에 의해 상기 플라즈마 챔버(118)에 전달된 전력에 변동이 있을 때, 상기 x ㎒ RF 발생기는 상기 에칭 레이트 ER1001을 달성하기 위해 상기 전력을 조절한다.
다양한 실시예들에서, 상기 더미 웨이퍼(124)를 사용하여 상기 플라즈마 시스템(100)과 연관된 상기 에칭 레이트를 결정한 후, 상기 더미 웨이퍼(124)는 반도체 웨이퍼를 에칭하기 위해 상기 반도체 웨이퍼로 교체된다. 하나 이상의 상기 x, y, 및 z ㎒ RF 발생기들은 반도체 웨이퍼가 상기 척(120)의 상면(126) 상에 위치될 때 상기 대응하는 출력들(102, 108, 및 112)을 통해, 상기 대응하는 RF 케이블들(106, 110, 및 114)을 통해, 상기 임피던스 매칭 회로(104)를 통해, 상기 RF 송전선(116)을 통해 상기 척(120)에 RF 전력을 공급한다. 상기 RF 전력이 상기 x ㎒ RF 발생기에 의해 공급될 때, 상기 복소 임피던스 센서(119)는 상기 시간 t2에서 상기 출력(102)에서의 복소 전압 및 전류를 측정한다.
일부 실시예들에서, 상기 호스트 시스템(130)의 상기 프로세서(128)는 상기 플라즈마 시스템(100)과 연관된 상기 에칭 레이트가 결정되고 상기 일정한 에칭 레이트가 유지되는 시간 동안 상기 플라즈마 챔버(118) 내에 플라즈마를 발생하기 위해 시간이 흘러도 방식을 유지한다. 예를 들어, 상기 프로세서(128)는 상기 플라즈마 챔버(118) 내의 압력 및/또는 상기 플라즈마 챔버(118) 내의 온도 및/또는 상기 x ㎒ RF 발생기의 주파수 및/또는 상기 y ㎒ RF 발생기의 주파수 및/또는 상기 z ㎒ RF 발생기의 주파수 및/또는 상기 상부 전극(122)과 상기 척(120) 간의 간격 및/또는 상기 플라즈마 챔버(118) 내의 하나 이상의 프로세스 가스들의 화학적 성질을 일정하게 유지한다. 다른 예로서, 상기 프로세서(128)는 상기 플라즈마 챔버(118) 내의 압력 및/또는 상기 플라즈마 챔버(118) 내의 온도 및/또는 상기 상부 전극(122)과 상기 척(120) 간의 간격 및/또는 상기 플라즈마 챔버(118) 내의 하나 이상의 프로세스 가스들의 화학적 성질을 일정하게 유지한다. 다른 예로서, 상기 프로세서(128)는 상기 플라즈마 챔버(118) 내에 비슷한 압력들 및/또는 상기 플라즈마 챔버(118) 내에 비슷한 온도들 및/또는 상기 x ㎒ RF 발생기의 비슷한 주파수들 및/또는 상기 y ㎒ RF 발생기의 비슷한 주파수들 및/또는 상기 z ㎒ RF 발생기의 비슷한 주파수들 및/또는 상기 상부 전극(122)과 상기 척(120) 간에 비슷한 간격들 및/또는 상기 플라즈마 챔버(118) 내에 하나 이상의 프로세스 가스들의 비슷한 화학적 성질들을 유지한다. 다른 예로서, 상기 프로세서(128)는 상기 플라즈마 챔버(118) 내에 비슷한 압력들 및/또는 상기 플라즈마 챔버(118) 내에 비슷한 온도들 및/또는 상기 상부 전극(122)과 상기 척(120) 간의 비슷한 간격들 및/또는 상기 플라즈마 챔버(118) 내에 하나 이상의 프로세스 가스들의 비슷한 화학적 성질들을 유지한다.
일부 실시예들에서, 상기 압력들 중 첫번째 압력이 상기 압력들 중 두번째 압력의 범위 내에 있을 때 비슷한 압력들이 상기 플라즈마 챔버(118) 내에 유지된다. 다양한 실시예들에서, 상기 온도들 중 첫번째 온도가 상기 온도들 중 두번째 온도의 범위 내에 있을 때 비슷한 온도들이 상기 플라즈마 챔버(118) 내에 유지된다. 다양한 실시예들에서, 상기 주파수들 중 첫번째 주파수가 상기 주파수들 중 두번째 주파수의 범위 내에 있을 때 RF 발생기의 비슷한 주파수들이 유지된다. 일부 실시예들에서, 상기 간격들 중 첫번째 간격이 상기 간격들 중 두번째 간격의 범위 내에 있을 때 비슷한 간격들이 상기 플라즈마 챔버(118) 내에 유지된다. 다양한 실시예들에서, 각각의 프로세스 가스 량이 미리 결정된 범위 내에 유지되고 및/또는 프로세스 가스들의 유형들의 조합이 상기 플라즈마 챔버(118) 내에 유지될 때 비슷한 화학적 성질들이 상기 플라즈마 챔버(118) 내에 유지된다. 일부 실시예들에서, 프로세스 가스들의 유형들의 조합이 상기 플라즈마 챔버(118) 내에 유지될 때 비슷한 화학적 성질들이 상기 플라즈마 챔버(118) 내에 유지된다. 프로세스 가스의 유형들의 예들은 산소 함유 가스, 불소 함유 가스, 등을 포함한다. 예시를 위해 불소 함유 가스는 산소 함유 가스와 상이한 유형이다. 일부 실시예들에서, 제 1 프로세스 가스가 제 2 프로세스 가스에 포함되지 않은 화학 원소를 포함할 때 상기 제 1 프로세스 가스는 상기 제 2 프로세스 가스와 상이한 유형이다.
다양한 실시예들에서, 상기 프로세서(128)는 플라즈마 챔버 내의 압력을 제어하기 위해 가스 저장소(도시되지 않음)로부터 플라즈마 챔버의 상부 전극의 가스 인렛들로의 가스의 공급을 용이하게 하는 가스 공급 밸브(도시되지 않음)를 제어한다. 예를 들어, 상기 프로세서(128)는 플라즈마 챔버에 공급된 상기 프로세스 가스 량을 제어하는 양만큼 상기 밸브를 열거나 닫기 위한 전류를 공급하는 드라이버(도시되지 않음), 예를 들어, 트랜지스터, 등을 제어한다. 상기 프로세스 가스의 공급의 제어는 또한 상기 프로세서(128)로 하여금 가스가 공급된 플라즈마 챔버 내의 압력을 제어하도록 한다.
몇몇 실시예들에서, 상기 프로세서(128)는 플라즈마 챔버 내의 화학적 성질을 제어하기 위해 다수의 가스 저장소들(도시되지 않음)로부터 플라즈마 챔버의 상부 전극의 가스 인렛들로의 다수의 프로세스 가스의 공급을 용이하게 하는 다수의 가스 공급 밸브들(도시되지 않음)을 제어한다. 예를 들어, 상기 프로세서(128)는 제 1 가스 저장소로부터 상기 상부 전극(122)의 가스 인렛들로 공급된 제 1 프로세스 가스 량을 제어하는 양만큼 상기 밸브를 열거나 닫기 위한 전류를 공급하는 드라이버를 제어하고 제 2 가스 저장소로부터 상기 가스 인렛들로 공급된 제 2 프로세스 가스 량을 제어하는 양만큼 다른 밸브를 열거나 닫기 위한 전류를 공급하는 다른 드라이버를 제어한다. 다양한 실시예들에서, 상기 제 2 프로세스 가스는 상기 제 1 프로세스 가스와 상이하다. 예를 들어, 상기 제 1 프로세스 가스는 산소계 프로세스 가스이고 상기 제 2 프로세스 가스는 불소계 프로세스 가스이다. 다른 예로서, 상기 제 1 프로세스 가스는 테트라플루오로메탄이고 상기 제 2 프로세스 가스는 육불화황이다. 또 다른 예로서, 상기 제 1 프로세스 가스는 상기 제 2 프로세스 가스의 유형과 상이한 유형이다.
일부 실시예들에서, 히터가 플라즈마 챔버의 척에 포함되고 상기 히터는 상기 플라즈마 챔버 내의 온도를 변경하기 위해 드라이버를 통해 상기 프로세서(128)에 의해 제어된다.
몇몇 실시예들에서, 열 교환 매커니즘, 예를 들어, 덕트, 등이 플라즈마 챔버 내에 제공되고 상기 플라즈마 챔버 내의 온도를 변경하기 위해 상기 열 교환 매커니즘 내에서 냉각액의 흐름이 밸브 및 드라이버를 통해 상기 프로세서(128)에 의해 제어된다.
다양한 실시예들에서, 플라즈마 챔버의 상부 전극은 모터 구동 스크류 매커니즘(도시되지 않음)을 사용하여 상승 또는 하강될 수 있는 상부 구조(도시되지 않음) 내에 위치된다. 상기 프로세서(128)는 상기 상부 전극과 상기 상부 전극과 마주보는 척 간의 간격을 변경하기 위해 상기 상부 구조를 위 또는 아래로 이동시키기 위해 드라이버를 통해 상기 모터 구동 스크류 매커니즘을 제어한다.
일부 실시예들에서, 상기 프로세서(128)는 에칭 레이트를 달성하기 위해 전압 및/또는 전류를 조절하기 위해 케이블을 통해 RF 발생기의 DSP(digital signal processor)로 신호를 전송한다. 예를 들어, 상기 프로세서(128)는 RF 신호의 전압 크기를 RF 발생기로 전송한다. 상기 신호의 수신 시, 상기 RF 발생기의 DSP는 상기 RF 발생기의 DAS(drive and amplifier system)으로 상기 전압 크기를 전송한다. 예를 들어, 상기 RF 발생기의 DSP는 상기 전압 크기를 수신하고 상기 전압 크기를 상기 RF 발생기의 DAS로 전송한다. 상기 RF 발생기의 상기 DAS는 상기 전압 크기를 갖고 상기 에칭 레이트를 달성하기 위한 RF 신호를 발생한다. 상기 RF 신호는 RF 케이블 및 상기 임피던스 매칭 회로(104) 및 상기 RF 송전선(116)을 통해 상기 플라즈마 챔버(118)에 공급된다.
일부 실시예들에서, 상기 플라즈마 시스템(100)에 임의의 수의 RF 발생기들이 사용된다는 것을 주의한다.
도 2b는 상기 툴(133)(도 2a)과 같거나 비슷한 유형의 툴(152)을 포함하는 플라즈마 시스템(150)의 실시예의 도면이다. 상기 플라즈마 시스템(100)(도 2a)을 사용하여 결정된 에칭 레이트, 예를 들어, 상기 시간 t1에서 측정된 전압 및/또는 전류를 사용하여 결정된 에칭 레이트는 에칭 레이트들의 챔버-투-챔버 매칭을 수행하기 위해 상기 플라즈마 시스템(150)에 적용된다.
상기 플라즈마 시스템(150)은 상기 x, y, 및 z ㎒ RF 발생기들, 복소 임피던스 센서(154), 임피던스 매칭 회로(156), 및 플라즈마 챔버(158)를 포함한다. 임피던스 매칭 회로(156)의 입력(161)은 RF 케이블(162)을 통해 상기 x ㎒ RF 발생기의 상기 출력(160)에 결합되고, 상기 임피던스 매칭 회로(156)의 입력(165)은 RF 케이블(166)을 통해 상기 y ㎒ RF 발생기의 출력(164)에 결합되고, 상기 임피던스 매칭 회로(156)의 입력(167)은 RF 케이블(170)을 통해 상기 z ㎒ RF 발생기의 출력(168)에 결합된다. 상기 플라즈마 챔버(158)는 RF 송전선(172)을 통해 상기 임피던스 매칭 회로(156)에 결합된다.
상기 플라즈마 챔버(158)는 척(174), 상부 전극(176), 및 상기 설명된 다른 부품들을 포함한다. 상기 상부 전극(176)은 상기 척(174)의 맞은 편에 마주보고 위치되고 접지된다. 워크 피스(178: work piece), 예를 들어, 반도체 웨이퍼, 등은 상기 척(174)의 상부 표면(180)으로 지지된다. 상기 설명된 다양한 프로세스들이 제작 동안 상기 워크 피스(178)에 수행된다. 집적 회로들이 상기 워크 피스(175) 상에 성장되고 상기 집적 회로들은 다양한 전자 아이템들, 예를 들어, 휴대 전화, 태블릿, 스마트폰, 컴퓨터, 랩탑, 네트워크 장비, 등에 사용된다. 상기 플라즈마 챔버(158)의 상기 하부 전극 및 상기 상부 전극(176) 각각은 금속, 예를 들어, 알루미늄, 알루미늄 합금, 구리, 등으로 만들어진다. 상기 척(174)은 ESC 또는 자기 척일 수 있다.
다양한 실시예들에서, 상기 플라즈마 챔버(158)는 상기 플라즈마 챔버(118)(도 2a)와 같은 구조를 갖는다. 예를 들어, 상기 플라즈마 챔버(158)는 상기 플라즈마 챔버(118)의 대응하는 구조적 소자들과 같은 치수들을 갖는 구조적 소자들을 포함한다. 같은 구조를 갖는 상기 플라즈마 챔버들(118 및 158)의 다른 예로서, 상기 플라즈마 챔버(158)는 상기 플라즈마 챔버(118)의 대응하는 구조적 소자들과 같은 유형의 구조적 소자들을 포함한다. 예시를 위해, 트랜스포머 결합 플라즈마(TCP: transformer coupled plasma) 챔버는 유도 결합 플라즈마(ICP: inductively coupled plasma) 챔버의 하나 이상의 구조적 소자들과 상이한 하나 이상의 구조적 소자들을 갖고, 상기 TCP 및 상기 ICP 챔버들은 모두 전자 사이클로트론 공명(ECR: electron cyclotron resonance) 플라즈마 챔버의 하나 이상의 소자들과 상이한 유형들의 하나 이상의 구조적 소자들을 갖는다. 다른 예시로서, 전극들로서 인덕터들을 포함하는 플라즈마 챔버는 전극들로서 캐패시터들을 포함하는 플라즈마 챔버와 상이한 유형이다.
플라즈마 챔버의 구조적 소자들의 예들은 상부 전극, 하부 전극, 상부 플라즈마 배제 존(PEZ) 링, 하부 PEZ 링, 컨파인먼트 링 어셈블리, 에지 링, 절연층, 가스 분배 어퍼처들, 상기 플라즈마 챔버의 벽들, 상기 상부 전극을 둘러싸는 상부 유전체 링, 상기 상부 유전체 링을 둘러싸는 상부 전극 연장, 상기 하부 전극을 둘러싸는 하부 유전체 링, 상기 상부 전극 또는 상기 하부 전극 내에 위치된 가열 소자, 상기 상부 전극 또는 상기 하부 전극 내에 위치된 냉각 소자, 상기 하부 유전체 링을 둘러싸는 하부 전극 연장, 등을 포함한다. 다양한 실시예들에서, 상기 하부 전극 및 상기 하부 전극 연장은 금속, 예를 들어, 양극 산화 알루미늄(anodized aluminum), 알루미늄 합금, 등으로 만들어진다. 또한, 일부 실시예들에서, 상기 상부 전극 및 상기 상부 전극 연장은 금속, 예를 들어, 알루미늄, 알루미늄 합금, 등으로 만들어진다. 몇몇 실시예들에서, 상기 상부 전극은 상기 하부 전극의 맞은 편에 마주보고 위치되고 상기 상부 전극 연장은 상기 상부 전극의 맞은 편에 마주보고 위치된다.
구조적 소자의 치수들의 예들은 상기 소자의 크기, 상기 소자의 길이, 상기 소자의 깊이, 상기 소자들의 폭, 상기 소자의 표면 면적, 상기 소자에 의해 점유된 부피, 등을 포함한다.
상이한 유형들의 구조적 소자들의 예들은 플레이트 전극, 전기 코일 전극, 등을 포함한다.
다양한 실시예들에서, 상기 플라즈마 챔버(158)는 플라즈마 챔버(118)와 구조적으로 동일하고 상기 플라즈마 챔버(118)와 상이한 식별 코드를 갖는다. 예를 들어, 엔티티는 아이덴티티 코드(aaaa)를 사용하여 상기 플라즈마 챔버(158)를 식별하고 상기 엔티티는 아이덴티티 코드(bbbb)를 사용하여 상기 플라즈마 챔버(118)를 식별한다.
다수의 실시예들에서, 상기 플라즈마 챔버(158)는 구조적으로 상기 플라즈마 챔버(118)와 동일하고 상기 플라즈마 챔버(118)와 같은 기능을 수행하도록 사용된다. 상기 같은 기능의 예로서, 상기 플라즈마 챔버(158)는 상기 플라즈마 챔버(118)와 비슷한 특성들, 예를 들어, 캐패시턴스, 레지스턴스, 인덕턴스, 이들의 조합, 등을 갖는다. 예시를 위해, 상기 플라즈마 챔버(158)는 상기 플라즈마 챔버(118)의 인덕턴스의 범위 내의 인덕턴스를 갖는다. 다른 예시로서, 상기 플라즈마 챔버(158)는 상기 플라즈마 챔버(118)의 캐패시턴스의 범위 내의 캐패시턴스를 갖는다. 또 다른 예시로서, 상기 플라즈마 챔버(158)는 상기 플라즈마 챔버(118)의 레지스턴스의 범위 내의 레지스턴스를 갖는다. 다른 예시로서, 상기 플라즈마 챔버(158)는 상기 플라즈마 챔버(118)의 인덕턴스, 레지스턴스, 및 캐패시턴스의 조합의 범위 내에 있는 인덕턴스, 레지스턴스, 및 캐패시턴스의 조합을 갖는다. 플라즈마 챔버에 의해 수행된 기능의 예들은 물리 기상 성장(PVD), 화학 기상 성장(CVD), 플라즈마 인핸스드 CVD(PECVD), 금속 CVD, 고밀도 플라즈마 CVD(HDP-CVD) 기능, 포토레지스트 박리 기능, 포토레지스트 표면 처리, 자외선 가열 처리(UVTP), 등을 포함한다.
다양한 실시예들에서, 상기 플라즈마 챔버(158)는 구조적 및 기능적으로 플라즈마 챔버(118)와 동일하고 상기 플라즈마 챔버(118)와 상이한 식별 코드를 갖는다.
게다가, 다양한 실시예들에서, 상기 RF 송전선(172)은 구조적 및/또는 기능적으로 상기 RF 송전선(116)(도 2a)과 동일하다. RF 송전선은 도 3에서 더 설명된다.
일부 실시예들에서, 상기 RF 케이블(162)은 상기 출력(102)에 결합되고, 상기 RF 케이블(166)은 상기 출력(108)에 결합되고, 상기 RF 케이블(170)은 상기 출력(112)에 결합된다.
도 3은 상기 RF 송전선(116)(도 2a) 또는 상기 RF 송전선(172)(도 2b)의 예인 RF 송전선(186)의 구조의 실시예의 도면이다. 상기 RF 송전선(186)은 상기 임피던스 매칭 회로(104)(도 2a) 또는 상기 임피던스 매칭 회로(156)(도 2b)의 예인, 임피던스 매칭 회로(190)에 볼트 접합된 실린더(188), 예를 들어, 터널, 등을 포함한다. 상기 임피던스 매칭 회로(190)는 상기 RF 케이블(106)(도 2a) 또는 상기 RF 케이블(162)(도 2b)의 예인 RF 케이블(191)과 결합된다. 상기 실린더(188)의 구멍(hollow) 안에 절연체(192) 및 RF 라드(rod)(194)가 위치된다.
상기 RF 송전선(186)은 볼트들(B1, B2, B3, 및 B4)을 통해 상기 임피던스 매칭 회로(190)에 볼트 접합된다. 일 실시예에서, 상기 RF 송전선(186)은 임의의 수의 볼트들을 통해 상기 임피던스 매칭 회로(190)와 볼트 접합된다. 일부 실시예들에서, 볼트들 대신 또는 볼트들에 부가하여, 임의의 다른 형태의 부착 기구, 예를 들어, 접착제, 스크류, 등이 상기 RF 송전선(186)을 상기 임피던스 매칭 회로(190)에 부착하기 위해 사용된다.
상기 RF 송신 라드(194)는 상기 임피던스 매칭 회로(190)의 출력(196)과 결합된다. 또한, RF 스푼으로도 공지된 RF 스트랩(198)이 상기 RF 송전 라드(194) 및 일부가 지지부(204), 예를 들어, 실린더 내에 위치된, RF 라드(202)와 결합된다. 일 실시예에서, 상기 실린더(188), 상기 RF 스트랩(198), 상기 지지부(204) 및 상기 RF 라드(202)의 조합은 상기 RF 송전선(186)을 형성한다. 상기 지지부(204)는 상기 플라즈마 챔버(118)(도 2a) 또는 상기 플라즈마 챔버(158)(도 2b)의 예인 플라즈마 챔버(206)를 지지한다. 상기 지지부(204)는 상기 플라즈마 챔버(206)의 척(208)에 부착된다. 상기 척(208)은 상기 척(120)(도 2a) 또는 상기 척(174)(도 2b)의 예이다. RF 신호는 상기 RF 케이블(191), 상기 임피던스 매칭 회로(190), 상기 RF 라드(194), 상기 RF 스트랩(198), 및 상기 RF 라드(202)를 통해 상기 x ㎒ 발생기(도 2a 또는 도 2b)로부터 상기 척(208)에 공급된다.
다시 도 2b를 참조하면, 일부 실시예들에서, 상기 RF 송전선(172)은 상기 RF 송전선(116)(도 2a)과 구조적으로 동일하다. 예를 들어, 상기 RF 송전선(172)은 상기 RF 송전선(116)과 동일한 소자들을 포함한다. RF 송전선의 소자들의 예들은 임피던스 매칭 회로에 결합된 RF 라드, 상기 RF 라드를 둘러싸는 실린더, RF 스푼, 상기 RF 스푼 및 척에 결합된 RF 라드, 및 상기 RF 라드의 적어도 일부를 둘러싸는 실린더를 포함한다.
다양한 실시예들에서, 상기 RF 송전선(172)은 상기 RF 송전선(116)과 구조적으로 동일하고 상기 RF 송전선(116)과 상이한 식별 코드를 갖는다.
다수의 실시예들에서, 상기 RF 송전선(172)은 상기 RF 송전선(116)과 기능적으로 동일하다. 예를 들어, 상기 RF 송전선(172)은 상기 RF 송전선(116)과 비슷한 특성들을 갖는다. 예시를 위해, 상기 RF 송전선(172)은 상기 RF 송전선(116)의 인덕턴스의 범위 내의 인덕턴스를 갖는다. 다른 예시로서, RF 송전선(172)은 상기 RF 송전선(116)의 캐패시턴스의 범위 내의 캐패시턴스를 갖는다. 또 다른 예시로서, 상기 RF 송전선(172)은 상기 RF 송전선(116)의 레지스턴스의 범위의 레지스턴스를 갖는다. 다른 예시로서, RF 송전선(172)은 상기 RF 송전선(116)의 인덕턴스, 레지스턴스, 및 캐패시턴스의 조합의 범위 내의 인덕턴스, 레지스턴스, 및 캐패시턴스의 조합을 갖는다.
일부 실시예들에서, 상기 RF 송전선(172)은 상기 RF 송전선(116)과 기능적으로 동일하고 상기 RF 송전선(116)과 상이한 식별 코드를 갖는다.
일부 실시예들에서, 상기 RF 송전선(172)은 상기 RF 송전선(116)과 구조적 및 기능적으로 동일하고 상기 RF 송전선(116)과 상이한 식별 코드를 갖는다.
비슷하게, 일부 실시예들에서, 상기 임피던스 매칭 회로(156)는 상기 임피던스 매칭 회로(104)(도 2a)와 같은 구조를 갖는다. 예를 들어, 상기 임피던스 매칭 회로(156)는 상기 임피던스 매칭 회로(104)와 같은 수의 캐패시터들 및/또는 상기 임피던스 매칭 회로(104)와 같은 수의 인덕터들을 갖는다. 또한, 본 예에서, 상기 임피던스 매칭 회로들(104 및 156) 모두의 캐패시터들은 같은 방식, 예를 들어, 직렬, 병렬, 등으로 서로 접속된다. 게다가, 본 예에서, 상기 임피던스 매칭 회로들(104 및 156) 모두의 인덕터들은 같은 방식, 예를 들어, 직렬, 병렬, 등으로 서로 접속된다.
다양한 실시예들에서, 상기 임피던스 매칭 회로(156)는 상기 임피던스 매칭 회로(104)와 구조적으로 동일하고 상기 임피던스 매칭 회로(104)와 상이한 식별 코드를 갖는다.
몇몇 실시예들에서, 상기 임피던스 매칭 회로(156)는 상기 임피던스 매칭 회로(104)에 의해 수행된 것과 같은 기능을 수행한다. 예를 들어, 상기 임피던스 매칭 회로(156)는 상기 임피던스 매칭 회로(104)와 비슷한 특성들을 갖는다. 예시를 위해, 상기 임피던스 매칭 회로(156)는 상기 임피던스 매칭 회로(104)의 인덕턴스의 범위 내의 인덕턴스를 갖는다. 다른 예시로서, 상기 임피던스 매칭 회로(156)는 상기 임피던스 매칭 회로(104)의 캐패시턴스의 범위 내의 캐패시턴스를 갖는다. 다른 예시로서, 임피던스 매칭 회로(156)는 상기 임피던스 매칭 회로(104)의 인덕턴스 및 캐패시턴스의 조합의 범위 내의 인덕턴스 및 캐패시턴스의 조합을 갖는다.
다양한 실시예들에서, 상기 임피던스 매칭 회로(156)는 상기 임피던스 매칭 회로(104)에 의해 수행된 것과 같은 기능을 수행하고 상기 임피던스 매칭 회로(104)와 상이한 식별 코드를 갖는다.
다양한 실시예들에서, 상기 임피던스 매칭 회로(156)는 같은 구조를 갖고 상기 임피던스 매칭 회로(104)에 의해 수행된 것과 같은 기능을 수행하고 상기 임피던스 매칭 회로(104)와 상이한 식별 코드를 갖는다.
상기 RF 케이블(162)은 상기 RF 케이블(106)(도 2a)과 구조적으로 같다. 예를 들어, 각각의 RF 케이블(162) 및 RF 케이블(106)은 절연체로 둘러싸인 도전체를 포함한다. 다른 예로서, 상기 RF 케이블(162)은 상기 RF 케이블(106)과 같은 치수들, 예를 들어, 길이, 직경, 등을 갖는다.
일부 실시예들에서, 상기 RF 케이블(162)은 상기 RF 케이블(106)과 같은 구조를 갖고 상기 RF 케이블(106)과 상이한 식별 코드를 갖는다.
다양한 실시예들에서, 상기 RF 케이블(162)은 상기 RF 케이블(106)과 같은 기능을 수행한다. 예를 들어, 상기 RF 케이블(162)은 상기 RF 케이블(106)과 비슷한 특성들을 갖는다. 예시를 위해, 상기 RF 케이블(162)은 상기 RF 케이블(106)의 레지스턴스의 범위 내의 레지스턴스를 갖고 및/또는 상기 RF 케이블(106)의 캐패시턴스의 범위 내의 캐패시턴스를 갖고 및/또는 상기 RF 케이블(106)의 인덕턴스의 범위 내의 인덕턴스를 갖는다.
몇몇 실시예들에서, 상기 RF 케이블(162)은 상기 RF 케이블(106)에 의해 수행된 것과 같은 기능을 수행하고 상기 RF 케이블(106)과 상이한 식별 코드를 갖는다.
다수의 실시예들에서, 상기 RF 케이블(162)은 같은 구조를 갖고 상기 RF 케이블(106)에 의해 수행된 것과 같은 기능을 수행하고 상기 RF 케이블(106)과 상이한 식별 코드를 갖는다.
비슷하게, 상기 RF 케이블(166)은 상기 RF 케이블(110)(도 2a)과 같은 구조를 갖고 및/또는 상기 RF 케이블(110)과 같은 기능을 수행하고 및/또는 상기 RF 케이블(110)과 상이한 식별 코드를 갖는다. 게다가, 상기 RF 케이블(170)은 상기 RF 케이블(114)(도 2a)과 같은 구조를 갖고 및/또는 상기 RF 케이블(114)과 같은 기능을 수행하고 및/또는 상기 RF 케이블(114)과 상이한 식별 코드를 갖는다.
상기 RF 케이블들(162, 166, 170), 상기 임피던스 매칭 회로(156), 상기 RF 송전선(172), 및 상기 플라즈마 챔버(158)는 상기 플라즈마 시스템(150)의 상기 툴(152)의 부품들이다.
다양한 실시예들에서, 특별한 툴인, 상기 툴(133)(도 2a)은 상기 툴(152)보다 높은 수율을 제공한다.
상기 x ㎒ RF 발생기는 RF 전력을 발생하고 이를 상기 출력(160), 상기 RF 케이블(162), 상기 임피던스 매칭 회로(156), 및 상기 RF 송전선(172)을 통해 에칭을 위한 상기 웨이퍼(178)가 상부에 배치된 상기 척(174)에 공급한다. 게다가, 상기 y ㎒ RF 발생기는 RF 전력을 발생하고 이를 상기 출력(164), 상기 RF 케이블(166), 상기 임피던스 매칭 회로(156), 및 상기 RF 송전선(172)을 통해 상기 척(174)에 공급한다. 또한, 상기 z ㎒ RF 발생기는 RF 전력을 발생하고 이를 상기 출력(168), 상기 RF 케이블(170), 상기 임피던스 매칭 회로(156), 및 상기 RF 송전선(172)을 통해 상기 척(174)에 공급한다. 프로세스 가스가 상기 상부 전극(176)의 가스 배관 및 가스 인렛들을 통해 가스 공급부로부터 상기 상부 전극(176)과 상기 척(174) 사이의 공간에 공급되고 상기 척(174)이 상기 x, y 및/또는 z ㎒ RF 발생기들에 의해 공급된 RF 신호들로부터 RF 전력을 수신할 때, 상기 프로세스 가스는 상기 플라즈마 챔버(158) 내에서 플라즈마를 발생하도록 점화된다.
상기 복소 임피던스 센서(154)는 RF 신호가 상기 x ㎒ RF 발생기에 의해 상기 출력(160)을 통해 공급될 때 전압 크기, 전류 크기, 및 상기 전압 크기와 상기 전류 크기 사이의 위상을 측정한다. 비슷하게, 상기 출력(164)에 결합된 다른 복소 임피던스 센서(도시되지 않음)는 RF 신호가 상기 y ㎒ RF 발생기에 의해 상기 출력(164)을 통해 공급될 때 전압 크기, 전류 크기, 및 상기 전압 크기와 상기 전류 크기 사이의 위상을 측정한다. 또한, 상기 출력(168)에 결합된 복소 임피던스 센서(도시되지 않음)는 RF 신호가 상기 z ㎒ RF 발생기에 의해 상기 출력(168)을 통해 공급될 때 전압, 전류, 및 상기 전압과 상기 전류 사이의 위상을 측정한다.
케이블(212)을 통해 상기 복소 임피던스 센서(154)에 결합된 상기 프로세서(128)는 상기 케이블(212)을 통해 상기 복소 임피던스 센서(154)에 의해 측정된 상기 복소 전압 및 전류를 수신하고, 상기 출력(164)에 결합된 상기 복소 임피던스 센서에 의해 측정된 상기 복소 전압 및 전류를 수신하고, 상기 출력(168)에 결합된 상기 복소 임피던스 센서에 의해 측정된 상기 복소 전압 및 전류를 수신한다.
상기 프로세서(128)는 상기 복소 임피던스 센서(154)로부터 수신된 상기 복소 전압 및 전류에 기초하여 상기 플라즈마 시스템(150)과 연관된 에칭 레이트를 결정한다. 예를 들어, 상기 프로세서(128)는 상기 플라즈마 시스템(100)과 연관된 에칭 레이트를 결정하는 것과 비슷한 방식으로 상기 플라즈마 시스템(150)과 연관된 에칭 레이트를 결정한다. 다른 예로서, 상기 프로세서(128)는 C11V2 + C12I2와 같도록 에칭 레이트 ER1501을 결정하고, 여기서, V2는 상기 복소 임피던스 센서(154)에 의해 측정된 전압 크기이고 I2는 상기 복소 임피던스 센서(154)에 의해 측정된 전류 크기이다. 다른 예로서, 에칭 레이트 ER1502가 C21V2 + C22I2 + C23V2 2 + C24V2I2 + C25I2 2으로 계산된다. 또 다른 예로서, 에칭 레이트 ER1503이 C31V2 + C32I2 + C33V2 2 + C34V2I2 + C35I2 2 + C36V2 3 + C37V2 2I2 + C38V2I2 2 + C39I2 3으로 계산된다. 다른 예로서, 에칭 레이트 ER1504가 C41V2 + C42I2 + C43V2 2 + C44V2I2 + C45I2 2 + C46V2 3 + C47V2 2I2 + C48V2I2 2 + C49I2 3 + C50V2 4 + C51V2 3I2 + C52V2 2I2 2 + C53V2I2 3 + C54I2 4으로 계산된다. 다른 예로서, 상기 프로세서(128)는 n차 다항식인 테일러 급수 전개와 같도록 에칭 레이트 ER150n을 계산하고, 여기서, n은 정수이다. 예시를 위해, 상기 프로세서(128)는 상기 에칭 레이트 150n을 값에 수렴하는 n차 다항식으로 계산한다.
상기 프로세서(128)는 상기 툴(133)(도 2a)을 사용하여 결정된 상기 에칭 레이트가 상기 툴(152)을 사용하여 결정된 상기 에칭 레이트와 같거나 상기 툴(152)을 사용하여 결정된 상기 에칭 레이트의 한계 내에 있는지 결정하기 위해 상기 툴(133)을 사용하여 결정된 상기 에칭 레이트와 상기 툴(152)을 사용하여 결정된 상기 에칭 레이트를 비교한다. 예를 들어, 상기 프로세서(128)는 상기 에칭 레이트 ER1001이 상기 에칭 레이트 ER1501과 매칭하거나 상기 에칭 레이트 ER1501의 한계 내에 있는지 결정한다. 다른 예로서, 상기 프로세서(128)는 상기 에칭 레이트 ER1002가 상기 에칭 레이트 ER1502와 매칭하거나 상기 에칭 레이트 ER1502의 한계 내에 있는지 결정한다. 또 다른 예로서, 상기 프로세서(128)는 상기 에칭 레이트 ER1003이 상기 에칭 레이트 ER1503과 매칭하거나 상기 에칭 레이트 ER1503의 한계 내에 있는지 결정한다. 다른 예로서, 상기 프로세서(128)는 상기 에칭 레이트 ER1004가 상기 에칭 레이트 ER1504와 매칭하거나 상기 에칭 레이트 ER1504의 한계 내에 있는지 결정한다. 다른 예로서, 상기 프로세서(128)는 상기 에칭 레이트 ER100n이 상기 에칭 레이트 ER150n과 매칭하거나 상기 에칭 레이트 ER150n의 한계 내에 있는지 결정한다.
상기 툴(133)을 사용하여 결정된 상기 에칭 레이트가 상기 툴(152)을 사용하여 결정된 상기 에칭 레이트와 동일하거나 상기 툴(152)을 사용하여 결정된 상기 에칭 레이트의 한계 내에 있다고 결정된 경우가 아닌 결정 시, 상기 프로세서(128)는 상기 전압 V2 및/또는 상기 전류 I2를 조절하기 위해 신호를 상기 x ㎒ RF 발생기로 전송한다. 일부 실시예들에서, V2 및 I2 모두의 조절은 전력의 조절이라는 것을 주의한다. 다양한 실시예들에서, 전력은 V2와 I2의 곱이다. 상기 프로세서(128)는 상기 툴(133)을 사용하여 결정된 상기 에칭 레이트의 달성을 용이하게 하기 위해 상기 전압 V2 및/또는 상기 전류 I2를 조절하도록 상기 신호를 상기 x ㎒ RF 발생기로 전송한다. 예를 들어, 상기 에칭 레이트 ER1501이 상기 에칭 레이트 ER1001과 매칭하지 않거나 상기 에칭 레이트 ER1001의 한계 내에 있지 않을 때, 상기 프로세서(128)는 상기 에칭 레이트 ER1001과 상기 에칭 레이트 1501의 매칭을 용이하게 하기 위해, 상기 전압 V2 및/또는 상기 전류 I2를 변경하도록 신호를 상기 x ㎒ RF 발생기로 전송한다. 다른 예로서, 상기 에칭 레이트 ER150n이 상기 에칭 레이트 ER100n의 한계 내에 있지 않을 때, 상기 프로세서(128)는 상기 에칭 레이트 ER100n과 상기 에칭 레이트 150n의 매칭을 용이하게 하기 위해, 상기 전압 V2 및/또는 상기 전류 I2를 변경하도록 신호를 상기 x ㎒ RF 발생기로 전송한다.
상기 프로세서(128)로부터 선(220)을 통한 신호 수신 시, 상기 x ㎒ RF 발생기는 상기 툴(133)을 사용하여 결정된 상기 에칭 레이트를 달성하기 위해 상기 x ㎒ RF 발생기에 의해 발생된 상기 전압 V2 및/또는 전류 I2를 조절, 예를 들어, 증가, 감소시킨다. 예를 들어, 상기 에칭 레이트 100n을 달성하기 위해, 상기 x ㎒ RF 발생기는 상기 출력(160), 상기 RF 케이블(162), 상기 임피던스 매칭 회로(156), 및 상기 RF 송전선(172)을 통해 상기 웨이퍼(178)가 위치된 상기 플라즈마 챔버(158)에 전달 또는 공급된 전력의 크기를 조절한다. 다른 예로서, 상기 에칭 레이트 100n을 달성하기 위해, 상기 x ㎒ RF 발생기는 상기 출력(160), 상기 RF 케이블(162), 상기 임피던스 매칭 회로(156), 및 상기 RF 송전선(172)을 통해 상기 플라즈마 챔버(158)에 공급된 RF 신호의 전압의 크기 및/또는 상기 RF 신호의 전류의 크기를 조절한다.
상기 툴(152)을 사용하여 결정된 에칭 레이트가 상기 툴(133)을 사용하여 결정된 에칭 레이트와 매칭하거나 상기 툴(133)을 사용하여 결정된 에칭 레이트의 한계 내에 있을 때, 에칭 레이트의 챔버-투-챔버 매칭이 달성된다.
일부 실시예들에서, 상기 호스트 시스템(130)의 상기 프로세서(128)는 상기 플라즈마 챔버들(118 및 158) 내에 일정한 에칭 레이트가 유지되는 시간 동안 상기 플라즈마 챔버들(118 및 158) 내에서 플라즈마를 발생하기 위해 시간이 흘러도 방식을 유지한다. 예를 들어, 상기 프로세서(128)는 상기 플라즈마 챔버들(118 및 158) 내에 같거나 비슷한 압력을 유지하고 및/또는 상기 플라즈마 챔버들(118 및 158) 내에 같거나 비슷한 온도를 유지하고 및/또는 상기 플라즈마 챔버들(118 및 158) 내에 상기 x ㎒ RF 발생기들과 같거나 비슷한 주파수를 유지하고 및/또는 상기 플라즈마 챔버들(118 및 158) 내에 상기 y ㎒ RF 발생기들과 같거나 비슷한 주파수를 유지하고 및/또는 상기 플라즈마 챔버들(118 및 158) 내에 상기 z ㎒ RF 발생기들과 같거나 비슷한 주파수를 유지하고 및/또는 상기 플라즈마 챔버들(118 및 158) 내에 하나 이상의 프로세스 가스들과 같거나 비슷한 화학적 성질들을 유지하고 및/또는 상기 상부 전극(122)과 상기 척(120)(도 2a) 간의 제 1 간격 및 상기 상부 전극(176)과 상기 척(174) 간의 제 2 간격을 같거나 비슷한 양으로 유지한다. 본 예에서, 압력들이 서로의 범위 내에 있을 때 상기 플라즈마 챔버(118) 내의 상기 압력은 상기 플라즈마 챔버(158) 내의 상기 압력과 비슷하다. 게다가, 본 예에서, 온도들이 서로의 범위 내에 있을 때 상기 플라즈마 챔버(118) 내의 상기 온도는 상기 플라즈마 챔버(158) 내의 상기 온도와 비슷하다. 또한, 본 예에서, 주파수들이 서로의 범위 내에 있을 때 상기 플라즈마 시스템(100)의 상기 x ㎒ RF 발생기의 상기 주파수는 상기 플라즈마 시스템(150)의 상기 x ㎒ RF 발생기의 상기 주파수와 비슷하고, 주파수들이 서로의 범위 내에 있을 때 상기 플라즈마 시스템(100)의 상기 y ㎒ RF 발생기의 상기 주파수는 상기 플라즈마 시스템(150)의 상기 y ㎒ RF 발생기의 상기 주파수와 비슷하고, 주파수들이 서로의 범위 내에 있을 때 상기 플라즈마 시스템(100)의 상기 z ㎒ RF 발생기의 상기 주파수는 상기 플라즈마 시스템(150)의 상기 z ㎒ RF 발생기의 상기 주파수와 비슷하다. 게다가, 본 예에서, 상기 제 1 및 제 2 간격들이 서로의 범위 내에 있을 때 상기 제 1 간격은 상기 제 2 간격과 비슷한 양이다.
다양한 실시예들에서, 각각의 프로세스 가스의 양이 각각의 상기 플라즈마 챔버들(118 및 158)에서 미리 결정된 범위 내로 유지될 때 비슷한 화학적 성질들이 상기 플라즈마 챔버들(118 및 158) 내에 유지된다. 일부 실시예들에서, 프로세스 가스들의 유형들의 조합의 각각의 프로세스 가스의 유형이 각각의 상기 플라즈마 챔버들(118 및 158) 내에 유지될 때 비슷한 화학적 성질들이 상기 플라즈마 챔버들(118 및 158) 내에 유지된다. 예를 들어, 상기 플라즈마 챔버들(118 및 158)이 서로 같은 양 또는 미리 결정된 범위의 양의 불소 함유 가스를 가질 때 상기 플라즈마 챔버들(118 및 158)은 모두 비슷한 화학적 성질들을 갖는다. 다양한 실시예들에서, 상기 플라즈마 챔버들(118 및 158)의 프로세스 가스들의 유형들이 같을 때 비슷한 화학적 성질들이 상기 플라즈마 챔버들(118 및 158) 내에 유지된다. 예를 들어, 상기 플라즈마 챔버들(118 및 158)이 불소 함유 가스를 가질 때 상기 플라즈마 챔버들(118 및 158)은 모두 비슷한 화학적 성질을 갖는다.
일부 실시예들에서, 상기 플라즈마 시스템(150)에 임의의 수의 RF 발생기들이 사용된다는 것을 주의한다.
도 4a는 에칭 레이트를 결정하기 위해 사용된 전압 및 전류의 계수들을 결정하기 위한 플라즈마 시스템(250)의 실시예의 블록도이다. 상기 플라즈마 시스템(250)은 상기 플라즈마 시스템(100)(도 2a) 및 에칭 레이트 측정 디바이스(ERMD)(252)를 포함한다. 상기 ERMD(252)는 케이블(254)을 통해 상기 프로세서(128)에 결합되고 상기 플라즈마 챔버(118)의 윈도(256)를 통한 시선을 갖는다. 상기 시선은 상기 플라즈마 챔버(118) 내에서 플라즈마가 발생된 공간을 향한다. 예를 들어, 상기 ERMD(252)는 상기 윈도(256)를 통해 플라즈마에 의해 방출된 복사 강도를 측정하기 위해 상기 플라즈마 챔버(118) 내의 플라즈마를 모니터링하는 분광 광도계를 포함한다. 일부 실시예들에서, 상기 윈도(256)는 상기 플라즈마에 의해 방출된 광이 통과되게 하는 투광성 재료 예를 들어, 유리로 만들어진다. 다양한 실시예들에서, 상기 윈도(256)는 반투명 윈도이다. 상기 강도는 상기 플라즈마에 의해 소모된 상기 더미 웨이퍼(124)의 층의 에칭 레이트에 정비례한다. 상기 프로세서(128)는 상기 강도에 정비례하는 에칭 레이트를 결정하기 위해 상기 케이블(254)을 통해 상기 측정된 강도를 수신한다. 다른 예로서, 공지의 방식에서, 상기 ERMD(252)는 시간 tm1에서 상기 더미 웨이퍼(124)의 두께를 측정하고, 시간 tm1 이후이고 상기 더미 웨이퍼(124)를 에칭한 후인 시간 tm2에서 상기 더미 웨이퍼(124)의 두께를 측정한다. 상기 ERMD(252)는 상기 시간들 tm2와 tm1 간의 차에 대한 상기 시간 tm2에서의 두께와 상기 시간 tm1에서의 두께 사이의 차의 비로 상기 더미 웨이퍼(124)의 에칭 레이트 ER2501을 결정한다. 상기 에칭 레이트 ER2501은 상기 ERMD(252)에 의해 상기 케이블(254)을 통해 상기 프로세서(128)에 제공된다. 일부 실시예들에서, 상기 에칭 레이트 ER2501을 결정하는 상기 ERMD(252) 대신, 상기 프로세서(128)가 상기 측정된 강도로부터 상기 에칭 레이트 ER2501을 결정하고 저장을 위해 상기 에칭 레이트 ER2501을 상기 메모리 디바이스(132)에 제공한다. 공지된 방식의 예들은 상기 플라즈마 챔버(118) 내에 유지된 압력, 상기 플라즈마 챔버(118) 내에 유지된 온도, 상기 상부 전극(122)과 상기 척(120) 간의 간격, 상기 x, y 및 z ㎒ RF 발생기들에 의해 공급된 전력 량, 상기 x, y 및 z ㎒ RF 발생기들의 주파수들, 또는 이들의 조합을 포함한다.
상기 설명된 바와 같이, 상기 복소 임피던스 센서(119)는 상기 케이블(127)을 통해 복소 전압 및 전류의 측정치를 상기 프로세서(128)에 제공한다. 상기 프로세서(128)는 상기 복소 전압 및 전류의 상기 측정치를 수신하고, 상기 측정치로부터 전압 크기 V3 및 전류 크기 I3을 추출하고 저장을 위해 상기 전압 크기 V3 및 상기 전류 크기 I3을 상기 메모리 디바이스(132)에 제공한다. 일부 실시예들에서, 상기 전압 크기 V3은 상기 플라즈마 시스템(100)을 사용하여 결정된 상기 전압 크기 V1과 같고 상기 전류 크기 I3은 상기 플라즈마 시스템(100)을 사용하여 결정된 상기 전류 크기 I1과 같다. 게다가, 상기 프로세서(128)는 상기 측정된 강도로부터 에칭 레이트 ER2501을 결정하고 저장을 위해 상기 에칭 레이트 ER2501을 상기 메모리 디바이스(132)에 제공한다.
일부 실시예들에서, 상기 플라즈마 시스템(100) 대신, 에칭 레이트를 결정하기 위해 사용된 전압 및 전류의 계수들의 계수들을 결정하기 위해 다른 플라즈마 시스템이 사용된다. 예를 들어, 상기 임피던스 매칭 회로(104)와 같은 구조 및/또는 같은 기능을 갖고 및/또는 상기 임피던스 매칭 회로(104)와 상이한 식별 번호를 갖는 임피던스 매칭 회로가 상기 임피던스 매칭 회로(104) 대신 사용된다. 다른 예로서, 상기 케이블(106)과 같은 구조 및/또는 같은 기능을 갖고 및/또는 상기 케이블(106)과 상이한 식별 번호를 갖는 케이블이 상기 케이블(106) 대신 사용된다. 또 다른 예로서, 상기 RF 송전선(116)과 같은 구조 및/또는 같은 기능을 갖고 및/또는 상기 RF 송전선(116)과 상이한 식별 번호를 갖는 RF 송전선이 상기 RF 송전선(116) 대신 사용된다. 다른 예로서, 상기 플라즈마 챔버(118)와 같은 구조 및/또는 같은 기능을 갖고 및/또는 상기 플라즈마 챔버(118)와 상이한 식별 번호를 갖는 플라즈마 챔버가 상기 플라즈마 챔버(118) 대신 사용된다.
다양한 실시예들에서, 상기 플라즈마 챔버(118) 내의 플라즈마의 강도를 측정하고 상기 출력(102)에서 복소 전압 및 전류를 측정하기 위해 상기 x, y, 및/또는 z ㎒ RF 발생기들이 상기 RF 신호들을 발생할 때, 반도체 웨이퍼가 상기 더미 웨이퍼(124) 대신, 상기 플라즈마 시스템(250)에 사용된다.
다수의 실시예들에서, 상기 플라즈마 시스템(250)은 임의의 수의 RF 발생기들을 포함한다.
도 4b는 에칭 레이트를 결정하기 위해 사용된 전압 및 전류의 계수들을 결정하기 위한 플라즈마 시스템(270)의 실시예의 블록도이다. 상기 플라즈마 시스템(270)은 상기 반도체 웨이퍼(178)(도 2b) 대신 더미 웨이퍼(276)가 상기 플라즈마 챔버(158)에 사용되는 것을 제외하고 상기 플라즈마 시스템(150)(도 2b)과 같다. 게다가, 상기 플라즈마 시스템(270)은 에칭 레이트 ER2701을 측정하는 ERMD(272)를 포함한다. 상기 에칭 레이트 ER2701은 상기 ERMD(272)에 의해 케이블(274)을 통해 상기 프로세서(128)에 제공된다.
다양한 실시예들에서, 상기 에칭 레이트 ER2701을 결정하는 대신, 상기 ERMD(272)는 상기 플라즈마 챔버(158)의 윈도(273)를 통해 상기 플라즈마 챔버(158) 내에서 발생된 플라즈마에 의해 방출된 광의 강도를 측정하고 상기 측정된 강도를 상기 케이블(274)을 통해 상기 프로세서(128)에 제공한다. 상기 케이블(274)은 상기 ERMD(272)를 상기 프로세서(128)에 결합한다. 일부 실시예들에서, 상기 프로세서(128)는 상기 측정된 강도에 정비례하는 것으로 에칭 레이트 ER2701을 결정하고 저장을 위해 상기 에칭 레이트 ER2701을 상기 메모리 디바이스(132)에 제공한다.
상기 프로세서(128)는 또한 상기 복소 임피던스 센서(154)에 의해 상기 출력(160)에서 측정된 상기 복소 전압 및 전류를 수신하고, 상기 복소 전압 및 전류로부터 전압 크기 V4 및 전류 크기 I4를 추출하고, 저장을 위해 상기 전압 크기 V4 및 상기 전류 크기 I4를 상기 메모리 디바이스(132)에 제공한다. 일부 실시예들에서, 상기 전압 크기 V4는 상기 전압 크기 V2와 같고 상기 전류 크기 I4는 상기 전류 크기 I2와 같다.
상기 프로세서(128)는 또한 상기 플라즈마 시스템(250)(도 4a)을 사용하여 결정된 상기 에칭 레이트 ER2501, 상기 에칭 레이트 ER2701, 상기 전압들(V3 및 V4), 및 상기 전류들(I3 및 I4)에 기초하여 상기 전압 V1 및 상기 전류 I1의 계수들을 결정한다. 예시를 위해, 상기 프로세서(128)는 C11V3 + C12I3과 같은 상기 에칭 레이트 ER2501의 상기 계수들 및 C11V4 + C12I4와 같은 상기 에칭 레이트 ER2701의 상기 계수들에 대해 풀어 상기 계수들 C11 및 C12를 결정한다.
다른 예로서, 상기 프로세서(128)는 상기 플라즈마 시스템(250)(도 4a)을 사용하여 결정된 상기 에칭 레이트 ER2501, 상기 에칭 레이트 ER2701, 3개의 부가적인 플라즈마 시스템들을 사용하여 결정된 3개의 부가적인 에칭 레이트들, 상기 전압들(V3 및 V4) 및 상기 3개의 부가적인 플라즈마 시스템들을 사용하여 결정된 3개의 부가적인 전압들(V5, V6, 및 V7), 상기 전류들(I3 및 I4) 및 상기 3개의 부가적인 플라즈마 시스템들을 사용하여 결정된 3개의 부가적인 전류들(I5, I6, 및 I7)에 기초하여 상기 전압 V1 및 상기 전류 I1의 상기 계수들 C21, C22, C23, C24, 및 C25를 결정한다. 예시를 위해, 상기 프로세서(128)는 C21V3 + C22I3 + C23V3 2 + C24V3I3 + C25I3 2과 같은 상기 에칭 레이트 ER2501, C21V4 + C22I4 + C23V4 2 + C24V4I4 + C25I4 2과 같은 상기 에칭 레이트 ER2701, C21V5 + C22I5 + C23V5 2 + C24V5I5 + C25I5 2과 같은 상기 3개의 부가적인 에칭 레이트 중 하나인 에칭 레이트, C21V6 + C22I6 + C23V6 2 + C24V6I6 + C25I6 2과 같은 상기 3개의 부가적인 에칭 레이트 중 두번째 에칭 레이트, 및 C21V7 + C22I7 + C23V7 2 + C24V1I7 + C25I7 2과 같은 상기 3개의 부가적인 에칭 레이트 중 세번째 에칭 레이트의 계수들에 대해 풀어 상기 계수들 C21, C22, C23, C24, 및 C25를 결정한다.
일부 실시예들에서, 상기 플라즈마 시스템(270) 대신, 에칭 레이트를 결정하기 위해 사용된 전압의 계수들 및 전류의 계수들을 결정하기 위해 다른 플라즈마 시스템이 사용된다. 예를 들어, 상기 임피던스 매칭 회로(156)와 같은 구조 및/또는 기능을 갖고 및/또는 상기 임피던스 매칭 회로(156)와 상이한 식별 번호를 갖는 임피던스 매칭 회로가 상기 임피던스 매칭 회로(156) 대신 사용된다. 다른 예로서, 상기 케이블(162)과 같은 구조 및/또는 같은 기능을 갖고 및/또는 상기 케이블(162)과 상이한 식별 번호를 갖는 케이블이 상기 케이블(162) 대신 사용된다. 또 다른 예로서, 상기 RF 송전선(172)과 같은 구조 및/또는 같은 기능을 갖고 및/또는 상기 RF 송전선(172)과 상이한 식별 번호를 갖는 RF 송전선이 상기 RF 송전선(172) 대신 사용된다. 다른 예로서, 상기 플라즈마 챔버(158)와 같은 구조 및/또는 같은 기능을 갖고 및/또는 상기 플라즈마 챔버(158)와 상이한 식별 번호를 갖는 플라즈마 챔버가 상기 플라즈마 챔버(158) 대신 사용된다.
일부 실시예들에서, 에칭 레이트의 미지수들, 예를 들어, 계수들, 등을 결정하기 위해 임의의 수의 플라즈마 시스템들이 사용된다. 예를 들어, 미지수들의 수는 상기 미지수들을 결정하기 위해 사용된 플라즈마 시스템들의 수와 같다.
다양한 실시예들에서, 상기 플라즈마 챔버(158) 내의 플라즈마의 강도를 측정하고 상기 출력(160)에서 복소 전압 및 전류를 측정하기 위해 상기 x, y, 및/또는 z ㎒ RF 발생기들이 상기 RF 신호들을 발생할 때, 반도체 웨이퍼가 상기 더미 웨이퍼(276) 대신, 상기 플라즈마 시스템(270)에 사용된다.
도 5는 z ㎒ RF 발생기는 동작중, 예를 들어, 전력을 공급하고, 작동 중, 가동 중이고 x ㎒ 및 y ㎒ RF 발생기들은 비동작, 예를 들어, 전력을 공급하지 않고, 작동하지 않고, 가동 중이지 않을 때, ERMD를 사용하여 결정된 에칭 레이트 모델의 값들, 예를 들어, 2차 다항식 에칭 레이트, 3차 다항식 에칭 레이트, 4차 다항식 에칭 레이트, 등의 값들 대 측정된 에칭 레이트의 값들을 플롯하는 그래프(290)의 실시예이다. ERMD를 사용하여 결정된 상기 측정된 에칭 레이트는 x축을 따라 플롯되고 상기 에칭 레이트 모델은 y축을 따라 플롯된다. 최적의 직선은 상기 그래프(290)의 각각의 에칭 레이트 모델에 대한 여러 점들을 거쳐 그려질 수 있다. 도시된 바와 같이, 상기 그래프(290)의 상기 2차 다항식 에칭 레이트 모델은 0.998의 결정 계수(R2)를 갖고, 상기 그래프(290)의 상기 3차 다항식 에칭 레이트 모델은 0.9999의 R2를 갖고 상기 그래프(290)의 상기 4차 다항식 에칭 레이트 모델은 0.9997의 R2를 갖는다. 상기 R2가 클수록, 에칭 레이트 모델의 평균 값들은 더 정확하다.
도 6은 z ㎒ RF 발생기는 동작중이고 x 및 y ㎒ RF 발생기들은 동작하지 않을 때, 에칭 레이트의 값들 대 모델링된 에칭 레이트들의 에러들을 플롯하는 그래프(292)의 실시예이다. "x"들, "*"들 및 상기 그래프(292)의 우측을 가리키는 정점을 갖는 삼각형들은 2 이상의 상이한 툴들이 사용될 때 에칭 레이트 모델들의 값들 대 상기 에칭 레이트 모델들의 상기 값들의 에러를 나타낸다. 원들, 사각형들, 및 상기 그래프(292)의 좌측을 가리키는 정점을 갖는 삼각형들은 상기 2 이상의 상이한 툴들 이외의 독립된 툴이 사용될 때 에칭 레이트 모델들의 값들 대 상기 값들의 에러들을 나타낸다.
도 7a는 그래프(302) 및 그래프(304)의 실시예이다. 각각의 그래프(302 및 304)는 상기 y ㎒ RF 발생기가 동작중이고 상기 x 및 z ㎒ RF 발생기들이 동작하지 않을 때 ERMD를 사용하여 측정된 에칭 레이트들인, 측정된 에칭 레이트들 대 모델링된 에칭 레이트들을 플롯한다. 상기 측정된 에칭 레이트들은 y축에 플롯되고 상기 모델링된 에칭 레이트들은 x축에 플롯된다. 상기 그래프(302)의 상기 "*"들은 3개의 상이한 툴들이 사용될 때 측정된 에칭 레이트들 대 모델링된 에칭 레이트들을 나타낸다. 상기 그래프(302)의 상기 원들은 상기 3개의 상이한 툴들 이외의 독립된 툴이 사용될 때 측정된 에칭 레이트들 대 모델링된 에칭 레이트들을 나타낸다. 상기 그래프(302)의 상기 "*"들은 직선에 대략 맞춰진다는 것을 주의한다. 상기 그래프(304)는 상기 그래프(302)의 일부의 확대도이다.
도 7b는 그래프(306) 및 그래프(308)의 실시예이다. 각각의 그래프(306 및 308)는 상기 z ㎒ RF 발생기가 동작중이고 상기 x 및 y ㎒ RF 발생기들이 동작하지 않을 때 측정된 에칭 레이트들 대 모델링된 에칭 레이트들을 플롯한다. 상기 그래프(306)의 상기 "*"들은 3개의 상이한 툴들이 사용될 때 측정된 에칭 레이트들 대 모델링된 에칭 레이트들을 나타낸다. 상기 그래프(306)의 상기 원들은 상기 3개의 상이한 툴들 이외의 독립된 툴이 사용될 때 측정된 에칭 레이트들 대 모델링된 에칭 레이트들을 나타낸다. 상기 그래프(306)의 상기 "*"들은 직선에 대략 맞춰진다는 것을 주의한다. 상기 그래프(308)는 상기 그래프(306)의 일부의 확대도이다.
일부 실시예들에서, 복소 임피던스 센서를 RF 발생기의 출력에 접속하는 대신, 상기 복소 임피던스 센서는 상기 RF 발생기의 상기 출력에 접속된 임피던스 매칭 회로의 입력에 결합된다.
상기 상술된 실시예들이 에칭 레이트에 관하여 설명되지만, 다양한 실시예들에서, 스퍼터링 레이트가 상기 에칭 레이트 대신 사용될 수 있다. 예를 들어, 일부 실시예들에서, 스퍼터링 레이트 및 에칭 레이트는 본원에서 서로 교체 사용될 수 있다.
상기 실시예들이 RF 발생기의 출력에서의 복소 전압 및 전류와 관련하여 설명되었지만, 상기 실시예들을 상기 임피던스 매칭 회로(104)(도 2a 및 도 2b)의 입력에서의 복소 전압 및 전류뿐만 아니라 상기 임피던스 매칭 회로(156)(도 4a 및 도 4b)의 입력에서의 복소 전압 및 전류에 동일하게 적용한다는 것을 주의한다. 예를 들어, 상기 출력(102)에서 측정된 상기 복소 전압 및 전류는 상기 임피던스 매칭 회로(104)(도 2a 및 도 4a)의 상기 입력(161)의 상기 복소 전압 및 전류와 같다. 다른 예로서, 상기 출력(160)(도 2b 및 도 4b)에서 측정된 상기 복소 전압 및 전류는 상기 임피던스 매칭 회로(104)(도 2b 및 도 4b)의 상기 입력(161)의 상기 복소 전압 및 전류와 같다. 또 다른 예로서, 상기 출력(102)에서 결정된 상기 복소 전압 및 전류에 기초하여 결정된 모델링된 에칭 레이트는 상기 입력(161)의 모델링된 에칭 레이트와 같다.
상기 상술된 동작들은 평행 평판 플라즈마 챔버, 예를 들어, 용량 결합된 플라즈마 챔버, 등과 관련하여 설명되었지만, 일부 실시예들에서, 상기 상술된 동작들을 다른 유형들의 플라즈마 챔버들, 예를 들어, ICP 반응기, TCP 반응기, 도전 툴들, 유전 툴들을 포함하는 플라즈마 챔버, ECR 반응기를 포함하는 플라즈마 챔버, 등에 적용한다는 것을 또한 주의한다. 예를 들어, 상기 x ㎒ RF 발생기, 상기 y ㎒ RF 발생기, 및 상기 z ㎒ RF 발생기는 임피던스 매칭 회로를 통해 상기 ICP 플라즈마 챔버 내의 인덕터에 결합된다.
상기 동작들은 상기 프로세서(128)(도 2a, 도 2b, 도 4a, 및 도 4b)에 의해 수행되는 것으로 설명되었지만, 일부 실시예들에서, 상기 동작들은 상기 호스트 시스템(130)의 하나 이상의 프로세서들에 의해 또는 다수의 호스트 시스템들의 다수의 프로세서들에 의해 또는 RF 발생기의 DSP와 호스트 시스템의 프로세서의 조합에 의해 수행될 수 있다는 것을 또한 주의한다.
상기 상술된 실시예들은 플라즈마 챔버의 척의 상기 하부 전극에 RF 신호를 제공하고 상기 플라즈마 챔버의 상부 전극을 접지하는 것과 관련되지만, 몇몇 실시예들에서, 상기 RF 신호는 상기 하부 전극을 접지하면서 상기 상부 전극에 제공된다는 것을 주의한다.
본원에 설명된 실시예들은 휴대형 하드웨어 유닛들, 마이크로프로세서 시스템들, 마이크로프로세서-기반 또는 프로그램가능한 가전제품들, 미니컴퓨터, 메인프레임 컴퓨터 등을 포함하는 다양한 컴퓨터 시스템 구성들로 실시될 수 있다. 상기 실시예들은 또한 분산 컴퓨팅 환경들에서 실시될 수 있고, 여기서, 태스크들은 네트워크를 통해 링크된 하드웨어 유닛들을 원격 프로세싱함으로써 수행된다.
상기 실시예들을 고려하여, 상기 실시예들은 컴퓨터 시스템들에 저장된 데이터를 수반하는 다양한 컴퓨터-구현 동작들을 채용할 수 있다는 것이 이해된다. 이들 동작들은 물리 량들의 물리적 조작을 필요로 한다. 상기 실시예들의 일부를 형성하는 본원에 설명된 임의의 상기 동작들은 유용한 기계 동작들이다. 상기 실시예들은 또한 이들 동작들을 수행하기 위한 하드웨어 유닛 또는 장치에 관한 것이다. 상기 장치는 특수 목적 컴퓨터로 특별히 구성될 수 있다. 특수 목적 컴퓨터로 규정될 때, 상기 컴퓨터는 여전히 상기 특수 목적을 위해 동작가능하면서, 상기 특수 목적의 일부가 아닌 다른 프로세싱, 프로그램 실행, 또는 루틴들을 또한 수행할 수 있다. 일부 실시예들에서, 상기 동작들은 선택적으로 활성화된 컴퓨터에 의해 프로세싱되거나, 상기 컴퓨터 메모리, 캐시에 저장되거나 네트워크를 통해 획득된 하나 이상의 컴퓨터 프로그램들에 의해 구성될 수 있다. 데이터가 네트워크를 통해 획득될 때, 상기 데이터는 상기 네트워크 상의 다른 컴퓨터들, 예를 들어, 컴퓨팅 리소스들의 클라우드에 의해 프로세싱될 수 있다.
하나 이상의 실시예들은 또한 비일시적인 컴퓨터 판독가능 매체 상의 컴퓨터 판독가능 코드와 같이 제작될 수 있다. 상기 비일시적인 컴퓨터 판독가능 매체는 임의의 데이터 저장 하드웨어 유닛, 예를 들어, 나중에 컴퓨터 시스템에 의해 판독될 수 있는 데이터를 저장할 수 있는 메모리 디바이스, 등이다. 상기 비일시적인 컴퓨터 판독가능 매체의 예들은 하드 드라이브들, 네트워크 부착 저장장치(NAS: network attached storage), ROM, RAM, CD-ROM들, CD-R들, CD-RW들, 자기 테이프들 및 다른 광학 및 비광학 데이터 저장 하드웨어 유닛들을 포함한다. 상기 비일시적인 컴퓨터 판독가능 매체는 네트워크 결합된 컴퓨터 시스템 상에 분산된 컴퓨터 판독가능 유형의 매체를 포함할 수 있어서 상기 컴퓨터 판독가능 코드가 분산된 방식으로 저장되고 실행될 수 있다.
상기 방법의 동작들이 특정한 순서로 설명되었지만, 오버레이 동작들의 프로세싱이 바람직한 방식으로 수행되는 한, 다른 관리 동작들이 동작들 사이에 수행될 수 있고, 또는 동작들이 조정되어 약간 다른 시간들에 발생할 수 있고, 또는 상기 프로세싱과 연관된 다양한 간격들로 상기 프로세싱 동작들이 발생하도록 하는 시스템에 분산될 수 있다는 것이 이해된다.
임의의 실시예로부터의 하나 이상의 특징들은 본원에 설명된 다양한 실시예들에서 설명된 범위를 벗어나지 않고 임의의 다른 실시예의 하나 이상의 특징들과 결합될 수 있다.
상술한 실시예들이 명확한 이해를 목적으로 다소 상세히 설명되었지만, 첨부된 청구항들의 범위 내에서 실시될 수 있는 약간의 변경들 및 수정들이 명백할 것이다. 따라서, 본 실시예들은 예시적이며 비제한적인 것으로 간주되고, 상기 실시예들은 본원에 주어진 상세들로 제한되지 않고, 첨부된 청구항들의 범위 내 및 등가로 수정될 수 있다.
119, 154: 복소 임피던스 센서
104, 156: 임피던스 매칭 회로
120, 180: 척
128: 프로세서
130: 호스트 시스템
132: 메모리 디바이스

Claims (27)

  1. 에칭 레이트를 모델링하기 위한 플라즈마 시스템에 있어서,
    고주파(RF) 신호를 발생하기 위한 RF 발생기로서, 상기 RF 신호의 이동을 용이하게 하기 위한 출력을 포함하는, 상기 RF 발생기;
    상기 RF 신호의 복소 전압 및 전류의 측정치를 출력하기 위한 상기 RF 발생기의 상기 출력에 결합된 복소 임피던스 센서;
    플라즈마를 발생하기 위한 플라즈마 챔버;
    상기 RF 신호를 수신하기 위해 케이블을 통해 상기 RF 발생기에 결합되고 RF 송전선을 통해 상기 플라즈마 챔버에 결합된 임피던스 매칭 회로;
    상기 복소 임피던스 센서로부터 상기 복소 전압 및 전류의 상기 측정치를 수신하기 위해 상기 복소 임피던스 센서에 결합된 프로세서를 포함하고, 상기 프로세서는:
    제 2 플라즈마 시스템의 RF 발생기의 출력에서 측정된 전압 및 전류를 수신하는 단계;
    제 1 항, 제 2 항, 및 제 3 항의 합을 계산하는 단계로서, 상기 제 1 항은 계수와 상기 제 2 플라즈마 시스템의 상기 RF 발생기의 출력에서 측정된 상기 전압의 함수의 제 1 곱이고, 상기 제 2 항은 계수와 상기 제 2 플라즈마 시스템의 상기 RF 발생기의 상기 출력에서 측정된 상기 전류의 함수의 제 2 곱이고, 상기 제 3 항은 계수, 상기 제 2 플라즈마 시스템의 상기 RF 발생기의 상기 출력에서 측정된 상기 전압의 함수, 및 상기 제 2 플라즈마 시스템의 상기 RF 발생기의 상기 출력에서 측정된 상기 전류의 함수의 제 3 곱인, 상기 계산하는 단계;
    상기 합을 상기 제 2 플라즈마 시스템과 연관된 상기 에칭 레이트로 결정하는 단계; 및
    상기 제 2 플라즈마 시스템과 연관된 상기 에칭 레이트를 달성하기 위해 제 1 플라즈마 시스템의 상기 RF 발생기의 출력에서 전력을 조절하는 단계로서, 상기 전력을 조절하는 단계는 상기 복소 전압 및 전류에 기초하는, 상기 전력을 조절하는 단계를 위한 프로세서인, 플라즈마 시스템.
  2. 제 1 항에 있어서,
    상기 제 1 플라즈마 시스템은 상기 제 2 플라즈마 시스템의 툴과 기능이 동일한 툴을 포함하고 상기 제 2 플라즈마 시스템의 툴과는 상이한 식별자를 갖는, 플라즈마 시스템.
  3. 에칭 레이트를 모델링하기 위한 호스트 시스템에 있어서,
    프로세서로서,
    제 1 플라즈마 시스템의 고주파(RF) 발생기의 출력에서 측정된 전압 및 전류를 수신하는 단계;
    제 1 항(term), 제 2 항, 및 제 3 항의 합을 계산하는 단계로서, 상기 제 1 항은 계수와 상기 전압의 함수의 제 1 곱이고, 상기 제 2 항은 계수와 상기 전류의 함수의 제 2 곱이고, 상기 제 3 항은 계수, 상기 전압의 함수 상기 전류의 함수의 제 3 곱인, 상기 계산하는 단계;
    상기 합을 상기 제 1 플라즈마 시스템과 연관된 에칭 레이트로 결정하는 단계; 및
    상기 제 1 플라즈마 시스템과 연관된 상기 에칭 레이트를 달성하기 위해 제 2 플라즈마 시스템의 RF 발생기로부터의 전력 출력을 조절하는 단계를 위한 프로세서; 및
    상기 프로세서에 결합되고, 상기 에칭 레이트를 저장하도록 구성되는 메모리 디바이스를 포함하는, 호스트 시스템.
  4. 제 3 항에 있어서,
    상기 제 1 플라즈마 시스템의 상기 RF 발생기는 케이블을 통해 임피던스 매칭 회로에 결합되고, 상기 임피던스 매칭 회로는 RF 송전선을 통해 플라즈마 챔버에 결합되는, 호스트 시스템.
  5. 제 3 항에 있어서,
    상기 제 1 곱 또는 상기 제 3 곱의 상기 전압의 함수는 상기 제 1 플라즈마 시스템의 상기 RF 발생기의 상기 출력에서 측정된 상기 전압의 수학적 멱 함수(mathematical power function)를 포함하고, 상기 제 2 곱 또는 상기 제 3 곱의 상기 전류의 함수는 상기 제 1 플라즈마 시스템의 상기 RF 발생기의 상기 출력에서 측정된 상기 전류의 수학적 멱 함수를 포함하는, 호스트 시스템.
  6. 제 3 항에 있어서,
    상기 프로세서는 더미 웨이퍼(dummy wafer)가 상기 제 1 플라즈마 시스템의 플라즈마 챔버 내에 위치될 때 상기 제 1 플라즈마 시스템의 상기 RF 발생기의 상기 출력에서 측정된 상기 전압 및 상기 전류를 수신하도록 구성되는, 호스트 시스템.
  7. 제 3 항에 있어서,
    상기 제 1 플라즈마 시스템의 상기 RF 발생기의 상기 출력은 임피던스 매칭 회로의 입력에 결합되고, 상기 출력은 상기 임피던스 매칭 회로를 통한 RF 신호의 플라즈마 챔버로의 이동을 용이하게 하는, 호스트 시스템.
  8. 제 3 항에 있어서,
    상기 프로세서는 상기 제 2 플라즈마 시스템이 반도체 웨이퍼를 에칭하는데 사용될 때 상기 제 2 플라즈마 시스템의 상기 RF 발생기로부터의 상기 전력 출력을 조절하도록 구성되는, 호스트 시스템.
  9. 제 3 항에 있어서,
    상기 제 2 플라즈마 시스템의 상기 RF 발생기로부터의 상기 전력 출력을 조절하도록, 상기 제 2 플라즈마 시스템의 상기 RF 발생기로부터의 상기 전력 출력을 증가 또는 감소시키도록 구성되는, 호스트 시스템.
  10. 제 3 항에 있어서,
    상기 제 1 플라즈마 시스템은 상기 제 2 플라즈마 시스템의 툴과 기능이 동일한 툴을 포함하고 상기 제 2 플라즈마 시스템의 툴과는 상이한 식별자를 갖는, 호스트 시스템.
  11. 제 3 항에 있어서,
    상기 제 1 플라즈마 시스템은 상기 제 2 플라즈마 시스템의 툴과 구조가 동일한 툴을 포함하고 상기 제 2 플라즈마 시스템의 툴과는 상이한 식별자를 갖는, 호스트 시스템.
  12. 제 3 항에 있어서,
    상기 제 1 플라즈마 시스템은 상기 제 2 플라즈마 시스템의 툴과 동일한 구조 및 기능을 갖는 툴을 포함하고 상기 제 2 플라즈마 시스템의 툴과는 상이한 식별자를 갖는, 호스트 시스템.
  13. 제 3 항에 있어서,
    상기 합은 테일러 급수인, 호스트 시스템.
  14. 에칭 레이트를 모델링하기 위한 호스트 시스템에 있어서,
    프로세서로서,
    플라즈마 시스템의 고주파(RF) 발생기의 출력에서 제 1 시간에 측정된 전압 및 전류를 수신하는 단계;
    제 1 항, 제 2 항, 및 제 3 항의 합을 계산하는 단계로서, 상기 제 1 항은 계수와 상기 전압의 함수의 제 1 곱이고, 상기 제 2 항은 계수와 상기 전류의 함수의 제 2 곱이고, 상기 제 3 항은 계수, 상기 전압의 함수, 및 상기 전류의 함수의 제 3 곱인, 상기 계산하는 단계;
    상기 합을 상기 플라즈마 시스템과 연관된 에칭 레이트로 결정하는 단계; 및
    상기 에칭 레이트를 달성하기 위해 제 2 시간에서 상기 플라즈마 시스템의 상기 RF 발생기로부터의 전력 출력을 조절하는 단계를 위한 프로세서; 및
    상기 프로세서에 결합되고, 상기 에칭 레이트를 저장하도록 구성되는 메모리 디바이스를 포함하는, 호스트 시스템.
  15. 제 14 항에 있어서,
    상기 RF 발생기의 상기 출력은 임피던스 매칭 회로의 입력에 결합되고, 상기 출력은 상기 임피던스 매칭 회로를 통한 RF 신호의 플라즈마 챔버로의 이동을 용이하게 하도록 사용되는, 호스트 시스템.
  16. 제 14 항에 있어서,
    상기 제 2 시간은 상기 제 1 시간 후에 발생하는, 호스트 시스템.
  17. 제 14 항에 있어서,
    상기 제 1 곱 또는 상기 제 3 곱의 상기 전압의 함수는 상기 제 1 시간에 측정된 상기 전압의 수학적 멱 함수를 포함하고, 상기 제 2 곱 또는 상기 제 3 곱의 상기 전류의 함수는 상기 제 1 시간에 측정된 상기 전류의 수학적 멱 함수를 포함하는, 호스트 시스템.
  18. 제 14 항에 있어서,
    상기 프로세서는 더미 웨이퍼가 상기 플라즈마 시스템의 플라즈마 챔버 내에 위치될 때 상기 플라즈마 시스템의 상기 RF 발생기의 상기 출력에서 상기 제 1 시간에 측정된 상기 전압 및 상기 전류를 수신하도록 구성되는, 호스트 시스템.
  19. 제 14 항에 있어서,
    상기 플라즈마 시스템의 상기 RF 발생기의 상기 출력은 임피던스 매칭 회로의 입력에 결합되고, 상기 임피던스 매칭 회로는 상기 플라즈마 시스템의 플라즈마 챔버 및 상기 플라즈마 챔버에 결합된 RF 송전선의 임피던스를 상기 RF 발생기에 결합된 RF 케이블 및 상기 RF 발생기의 임피던스와 매칭하도록 사용되는, 호스트 시스템.
  20. 제 14 항에 있어서,
    상기 프로세서는 상기 플라즈마 시스템이 반도체 웨이퍼를 에칭하는데 사용될 때 상기 플라즈마 시스템의 상기 RF 발생기의 상기 출력에서 상기 제 2 시간에 상기 전력을 조절하도록 구성되는, 호스트 시스템.
  21. 에칭 레이트를 모델링하기 위한 플라즈마 시스템에 있어서,
    고주파(RF) 신호를 발생하기 위한 RF 발생기로서, 상기 RF 신호의 이동을 용이하게 하기 위한 출력을 포함하는, 상기 RF 발생기;
    상기 RF 신호의 전압 및 전류를 측정하기 위한 상기 RF 발생기의 상기 출력에 결합된 복소 임피던스 센서;
    플라즈마를 발생하기 위한 플라즈마 챔버;
    상기 RF 신호를 수신하기 위해 케이블을 통해 상기 RF 발생기에 결합되고 RF 송전선을 통해 상기 플라즈마 챔버에 결합된 임피던스 매칭 회로; 및
    상기 복소 임피던스 센서에 결합된 프로세서를 포함하고, 상기 프로세서는:
    상기 RF 발생기의 상기 출력에서 제 1 시간에 측정된 상기 전압 및 상기 전류를 수신하는 단계;
    제 1 항, 제 2 항, 및 제 3 항의 합을 계산하는 단계로서, 상기 제 1 항은 계수와 상기 전압의 함수의 제 1 곱이고, 상기 제 2 항은 계수와 상기 전류의 함수의 제 2 곱이고, 상기 제 3 항은 계수, 상기 전압의 함수, 및 상기 전류의 함수의 제 3 곱인, 상기 계산하는 단계;
    상기 합을 상기 플라즈마 시스템과 연관된 상기 에칭 레이트로 결정하는 단계; 및
    상기 에칭 레이트를 달성하기 위해 상기 플라즈마 시스템의 상기 RF 발생기로부터 제 2 시간에 전력 출력을 조절하는 단계를 위한 프로세서인, 플라즈마 시스템.
  22. 제 21 항에 있어서,
    상기 RF 발생기의 상기 출력은 상기 임피던스 매칭 회로의 입력에 결합되고, 상기 RF 발생기의 상기 출력은 상기 임피던스 매칭 회로를 통한 상기 RF 신호의 상기 플라즈마 챔버로의 이동을 용이하게 하도록 사용되는, 플라즈마 시스템.
  23. 제 21 항에 있어서,
    상기 제 2 시간은 상기 제 1 시간 후에 발생하는, 플라즈마 시스템.
  24. 제 21 항에 있어서,
    상기 제 1 곱 또는 상기 제 3 곱의 상기 전압의 함수는 상기 제 1 시간에 측정된 상기 전압의 수학적 멱 함수를 포함하고, 상기 제 2 곱 또는 상기 제 3 곱의 상기 전류의 함수는 상기 제 1 시간에 측정된 상기 전류의 수학적 멱 함수를 포함하는, 플라즈마 시스템.
  25. 제 21 항에 있어서,
    상기 프로세서는 더미 웨이퍼가 상기 플라즈마 챔버에 위치될 때 상기 RF 발생기의 상기 출력에서 상기 제 1 시간에 측정된 상기 전압 및 상기 전류를 수신하도록 구성되는, 플라즈마 시스템.
  26. 제 21 항에 있어서,
    상기 플라즈마 시스템의 상기 RF 발생기의 상기 출력은 상기 임피던스 매칭 회로의 입력에 결합되고, 상기 임피던스 매칭 회로는 상기 플라즈마 챔버에 결합된 상기 플라즈마 챔버 및 상기 RF 송전선의 임피던스를 상기 RF 발생기에 결합된 상기 RF 발생기 및 상기 케이블의 임피던스와 매칭하도록 구성되는, 플라즈마 시스템.
  27. 제 21 항에 있어서,
    상기 프로세서는 상기 플라즈마 챔버가 반도체 웨이퍼를 에칭하는데 사용될 때 상기 RF 발생기의 상기 출력에서 상기 제 2 시간에 상기 전력을 조절하도록 구성되는, 플라즈마 시스템.
KR1020140094966A 2013-07-26 2014-07-25 인-챔버 및 챔버-투-챔버 매칭을 위한 에칭 레이트 모델링 및 그 사용 KR102282713B1 (ko)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US201361858985P 2013-07-26 2013-07-26
US61/858,985 2013-07-26
US14/243,705 US9502221B2 (en) 2013-07-26 2014-04-02 Etch rate modeling and use thereof with multiple parameters for in-chamber and chamber-to-chamber matching
US14/243,705 2014-04-02
US14/317,360 2014-06-27
US14/317,360 US9082594B2 (en) 2013-07-26 2014-06-27 Etch rate modeling and use thereof for in-chamber and chamber-to-chamber matching

Publications (2)

Publication Number Publication Date
KR20150013080A KR20150013080A (ko) 2015-02-04
KR102282713B1 true KR102282713B1 (ko) 2021-07-30

Family

ID=52389903

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020140094966A KR102282713B1 (ko) 2013-07-26 2014-07-25 인-챔버 및 챔버-투-챔버 매칭을 위한 에칭 레이트 모델링 및 그 사용
KR1020140096071A KR102208578B1 (ko) 2013-07-26 2014-07-28 인-챔버 및 챔버-투-챔버 매칭을 위한 다중 매개 변수를 갖는 에칭 레이트 모델링 및 그 사용

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020140096071A KR102208578B1 (ko) 2013-07-26 2014-07-28 인-챔버 및 챔버-투-챔버 매칭을 위한 다중 매개 변수를 갖는 에칭 레이트 모델링 및 그 사용

Country Status (5)

Country Link
US (2) US9502221B2 (ko)
JP (1) JP6465579B2 (ko)
KR (2) KR102282713B1 (ko)
CN (1) CN104347340B (ko)
TW (3) TWI641042B (ko)

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9720022B2 (en) 2015-05-19 2017-08-01 Lam Research Corporation Systems and methods for providing characteristics of an impedance matching model for use with matching networks
US9412670B2 (en) * 2013-05-23 2016-08-09 Lam Research Corporation System, method and apparatus for RF power compensation in plasma etch chamber
US9460894B2 (en) * 2013-06-28 2016-10-04 Lam Research Corporation Controlling ion energy within a plasma chamber
US9595424B2 (en) * 2015-03-02 2017-03-14 Lam Research Corporation Impedance matching circuit for operation with a kilohertz RF generator and a megahertz RF generator to control plasma processes
JP6604595B2 (ja) * 2016-03-10 2019-11-13 パナソニックIpマネジメント株式会社 プラズマ処理装置およびプラズマ処理方法
US10438805B2 (en) 2016-12-06 2019-10-08 Tokyo Electron Limited Methods and systems for chamber matching and monitoring
CN108663642B (zh) 2017-03-31 2020-09-29 西门子(深圳)磁共振有限公司 一种射频线圈的调谐去谐结构和去谐方法
US10636686B2 (en) * 2018-02-27 2020-04-28 Lam Research Corporation Method monitoring chamber drift
JP7018823B2 (ja) * 2018-05-29 2022-02-14 東京エレクトロン株式会社 モデル生成装置、モデル生成プログラムおよびモデル生成方法
CN112868084B (zh) * 2018-08-17 2024-04-26 朗姆研究公司 衬底处理系统和用于操作衬底处理系统的方法
JP7257918B2 (ja) * 2019-08-29 2023-04-14 東京エレクトロン株式会社 プラズマ処理システムおよびプラズマ着火支援方法
CN110850812B (zh) * 2019-11-18 2020-07-31 北京邮电大学 基于模型的离子束刻蚀速率控制方法及装置
DE102022108634A1 (de) * 2022-04-08 2023-10-12 TRUMPF Hüttinger GmbH + Co. KG Plasmasystem und Verfahren zum Betrieb eines Plasmasystems

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007507889A (ja) * 2003-09-30 2007-03-29 東京エレクトロン株式会社 半導体処理ツールによって実行されるプロセスを分析する第1の原理シミュレーションを使用するシステム及び方法。
KR100708313B1 (ko) * 2002-10-31 2007-04-17 세메스 주식회사 플라즈마 처리 장치 및 플라즈마 처리 방법

Family Cites Families (164)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4377961A (en) 1979-09-10 1983-03-29 Bode Harald E W Fundamental frequency extracting system
DE3027828A1 (de) 1980-07-23 1982-03-04 Deutsche Itt Industries Gmbh, 7800 Freiburg Frequenz/phasenregelschleife
US4353777A (en) 1981-04-20 1982-10-12 Lfe Corporation Selective plasma polysilicon etching
US4457820A (en) 1981-12-24 1984-07-03 International Business Machines Corporation Two step plasma etching
US4420790A (en) 1982-04-02 1983-12-13 Honeywell Inc. High sensitivity variable capacitance transducer
US4454001A (en) 1982-08-27 1984-06-12 At&T Bell Laboratories Interferometric method and apparatus for measuring etch rate and fabricating devices
US4500563A (en) 1982-12-15 1985-02-19 Pacific Western Systems, Inc. Independently variably controlled pulsed R.F. plasma chemical vapor processing
US4855897A (en) 1987-07-13 1989-08-08 The Foxboro Company Method and apparatus for statistical set point bias control
DE3923662A1 (de) 1989-07-18 1991-01-24 Leybold Ag Schaltungsanordnung zum automatischen abstimmen eines anpassungsnetzwerks
US5788801A (en) 1992-12-04 1998-08-04 International Business Machines Corporation Real time measurement of etch rate during a chemical etching process
US5479340A (en) 1993-09-20 1995-12-26 Sematech, Inc. Real time control of plasma etch utilizing multivariate statistical analysis
US5571366A (en) 1993-10-20 1996-11-05 Tokyo Electron Limited Plasma processing apparatus
US5980767A (en) 1994-02-25 1999-11-09 Tokyo Electron Limited Method and devices for detecting the end point of plasma process
US5556549A (en) 1994-05-02 1996-09-17 Lsi Logic Corporation Power control and delivery in plasma processing equipment
US5474648A (en) 1994-07-29 1995-12-12 Lsi Logic Corporation Uniform and repeatable plasma processing
US5989999A (en) 1994-11-14 1999-11-23 Applied Materials, Inc. Construction of a tantalum nitride film on a semiconductor wafer
US6042686A (en) 1995-06-30 2000-03-28 Lam Research Corporation Power segmented electrode
US5810963A (en) 1995-09-28 1998-09-22 Kabushiki Kaisha Toshiba Plasma processing apparatus and method
US5812361A (en) 1996-03-29 1998-09-22 Lam Research Corporation Dynamic feedback electrostatic wafer chuck
US5892198A (en) 1996-03-29 1999-04-06 Lam Research Corporation Method of and apparatus for electronically controlling r.f. energy supplied to a vacuum plasma processor and memory for same
US6110214A (en) 1996-05-03 2000-08-29 Aspen Technology, Inc. Analyzer for modeling and optimizing maintenance operations
US5764471A (en) 1996-05-08 1998-06-09 Applied Materials, Inc. Method and apparatus for balancing an electrostatic force produced by an electrostatic chuck
US5689215A (en) 1996-05-23 1997-11-18 Lam Research Corporation Method of and apparatus for controlling reactive impedances of a matching network connected between an RF source and an RF plasma processor
US6048435A (en) 1996-07-03 2000-04-11 Tegal Corporation Plasma etch reactor and method for emerging films
US6246972B1 (en) 1996-08-23 2001-06-12 Aspen Technology, Inc. Analyzer for modeling and optimizing maintenance operations
JP3630931B2 (ja) * 1996-08-29 2005-03-23 富士通株式会社 プラズマ処理装置、プロセスモニタ方法及び半導体装置の製造方法
US5737177A (en) 1996-10-17 1998-04-07 Applied Materials, Inc. Apparatus and method for actively controlling the DC potential of a cathode pedestal
US5866985A (en) 1996-12-03 1999-02-02 International Business Machines Corporation Stable matching networks for plasma tools
US5694207A (en) 1996-12-09 1997-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Etch rate monitoring by optical emission spectroscopy
US5889252A (en) 1996-12-19 1999-03-30 Lam Research Corporation Method of and apparatus for independently controlling electric parameters of an impedance matching network
US6017414A (en) * 1997-03-31 2000-01-25 Lam Research Corporation Method of and apparatus for detecting and controlling in situ cleaning time of vacuum processing chambers
US5894400A (en) 1997-05-29 1999-04-13 Wj Semiconductor Equipment Group, Inc. Method and apparatus for clamping a substrate
CN1299226C (zh) 1997-09-17 2007-02-07 东京电子株式会社 用于监视和控制气体等离子体处理的系统和方法
US6020794A (en) 1998-02-09 2000-02-01 Eni Technologies, Inc. Ratiometric autotuning algorithm for RF plasma generator
US6157867A (en) 1998-02-27 2000-12-05 Taiwan Semiconductor Manufacturing Company Method and system for on-line monitoring plasma chamber condition by comparing intensity of certain wavelength
US6198616B1 (en) 1998-04-03 2001-03-06 Applied Materials, Inc. Method and apparatus for supplying a chucking voltage to an electrostatic chuck within a semiconductor wafer processing system
AU4057999A (en) 1998-06-02 1999-12-20 Nikon Corporation Scanning aligner, method of manufacture thereof, and method of manufacturing device
US6021672A (en) 1998-09-18 2000-02-08 Windbond Electronics Corp. Simultaneous in-situ optical sensing of pressure and etch rate in plasma etch chamber
JP4408313B2 (ja) 1999-10-29 2010-02-03 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
US7361287B2 (en) 1999-04-30 2008-04-22 Robert Bosch Gmbh Method for etching structures in an etching body by means of a plasma
US6431112B1 (en) 1999-06-15 2002-08-13 Tokyo Electron Limited Apparatus and method for plasma processing of a substrate utilizing an electrostatic chuck
US6319355B1 (en) * 1999-06-30 2001-11-20 Lam Research Corporation Plasma processor with coil responsive to variable amplitude rf envelope
US6528751B1 (en) 2000-03-17 2003-03-04 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma
US6441555B1 (en) 2000-03-31 2002-08-27 Lam Research Corporation Plasma excitation coil
US6472822B1 (en) 2000-04-28 2002-10-29 Applied Materials, Inc. Pulsed RF power delivery for plasma processing
US7137354B2 (en) 2000-08-11 2006-11-21 Applied Materials, Inc. Plasma immersion ion implantation apparatus including a plasma source having low dissociation and low minimum plasma voltage
JP4240259B2 (ja) 2000-08-21 2009-03-18 富士電機システムズ株式会社 プラズマ電位測定方法と測定用プローブ
US6492774B1 (en) 2000-10-04 2002-12-10 Lam Research Corporation Wafer area pressure control for plasma confinement
US7871676B2 (en) 2000-12-06 2011-01-18 Novellus Systems, Inc. System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US7019543B2 (en) 2001-03-16 2006-03-28 Tokyo Electron Limited Impedance monitoring system and method
US6522121B2 (en) 2001-03-20 2003-02-18 Eni Technology, Inc. Broadband design of a probe analysis system
IE20010288A1 (en) 2001-03-23 2002-10-02 Scient Systems Res Ltd Endpoint Detection in the Etching of Dielectric Layers
US7096819B2 (en) 2001-03-30 2006-08-29 Lam Research Corporation Inductive plasma processor having coil with plural windings and method of controlling plasma density
US6750711B2 (en) 2001-04-13 2004-06-15 Eni Technology, Inc. RF power amplifier stability
US6669783B2 (en) 2001-06-28 2003-12-30 Lam Research Corporation High temperature electrostatic chuck
US6727655B2 (en) 2001-10-26 2004-04-27 Mcchesney Jon Method and apparatus to monitor electrical states at a workpiece in a semiconductor processing chamber
JP4006982B2 (ja) 2001-11-16 2007-11-14 セイコーエプソン株式会社 プリンタ及びプリンタユニット
KR100557842B1 (ko) 2001-12-10 2006-03-10 동경 엘렉트론 주식회사 고주파 전원 및 그 제어 방법 및 플라즈마 처리 장치
US20030119308A1 (en) 2001-12-20 2003-06-26 Geefay Frank S. Sloped via contacts
US7480571B2 (en) 2002-03-08 2009-01-20 Lam Research Corporation Apparatus and methods for improving the stability of RF power delivery to a plasma load
US6962644B2 (en) * 2002-03-18 2005-11-08 Applied Materials, Inc. Tandem etch chamber plasma processing system
JP2003282545A (ja) 2002-03-26 2003-10-03 Seiko Epson Corp 半導体装置の製造方法及びプラズマ処理装置
US7557591B2 (en) 2002-03-28 2009-07-07 Tokyo Electron Limited System and method for determining the state of a film in a plasma reactor using an electrical property
US7505879B2 (en) 2002-06-05 2009-03-17 Tokyo Electron Limited Method for generating multivariate analysis model expression for processing apparatus, method for executing multivariate analysis of processing apparatus, control device of processing apparatus and control system for processing apparatus
US20040028837A1 (en) 2002-06-28 2004-02-12 Tokyo Electron Limited Method and apparatus for plasma processing
US20050252884A1 (en) 2002-06-28 2005-11-17 Tokyo Electron Limited Method and system for predicting process performance using material processing tool and sensor data
US6664166B1 (en) 2002-09-13 2003-12-16 Texas Instruments Incorporated Control of nichorme resistor temperature coefficient using RF plasma sputter etch
US6873114B2 (en) 2002-09-26 2005-03-29 Lam Research Corporation Method for toolmatching and troubleshooting a plasma processing system
US20040060660A1 (en) 2002-09-26 2004-04-01 Lam Research Inc., A Delaware Corporation Control of plasma density with broadband RF sensor
TWI391035B (zh) 2002-12-16 2013-03-21 Japan Science & Tech Agency Plasma generation device, plasma control method and substrate manufacturing method (1)
US20040127031A1 (en) 2002-12-31 2004-07-01 Tokyo Electron Limited Method and apparatus for monitoring a plasma in a material processing system
JP2004239211A (ja) 2003-02-07 2004-08-26 Denso Corp 吸気モジュール
US6781317B1 (en) 2003-02-24 2004-08-24 Applied Science And Technology, Inc. Methods and apparatus for calibration and metrology for an integrated RF generator system
JP2004335594A (ja) 2003-05-02 2004-11-25 Matsushita Electric Ind Co Ltd プラズマ処理装置
US7247218B2 (en) 2003-05-16 2007-07-24 Applied Materials, Inc. Plasma density, energy and etch rate measurements at bias power input and real time feedback control of plasma source and bias power
US7795153B2 (en) 2003-05-16 2010-09-14 Applied Materials, Inc. Method of controlling a chamber based upon predetermined concurrent behavior of selected plasma parameters as a function of selected chamber parameters
US6862557B2 (en) 2003-06-12 2005-03-01 Lam Research Corporation System and method for electronically collecting data in a fabrication facility
US7169625B2 (en) 2003-07-25 2007-01-30 Applied Materials, Inc. Method for automatic determination of semiconductor plasma chamber matching and source of fault by comprehensive plasma monitoring
US7625460B2 (en) 2003-08-01 2009-12-01 Micron Technology, Inc. Multifrequency plasma reactor
US7405521B2 (en) 2003-08-22 2008-07-29 Lam Research Corporation Multiple frequency plasma processor method and apparatus
US7042311B1 (en) 2003-10-10 2006-05-09 Novellus Systems, Inc. RF delivery configuration in a plasma processing system
JP2005130198A (ja) 2003-10-23 2005-05-19 Ulvac Japan Ltd 高周波装置
US7838430B2 (en) 2003-10-28 2010-11-23 Applied Materials, Inc. Plasma control using dual cathode frequency mixing
JP3768999B2 (ja) 2003-10-29 2006-04-19 澄英 池之内 プラズマ処理装置とその制御方法
US7190119B2 (en) 2003-11-07 2007-03-13 Lam Research Corporation Methods and apparatus for optimizing a substrate in a plasma processing system
US6983215B2 (en) 2003-12-02 2006-01-03 Mks Instruments, Inc. RF metrology characterization for field installation and serviceability for the plasma processing industry
US20050130620A1 (en) * 2003-12-16 2005-06-16 Andreas Fischer Segmented radio frequency electrode apparatus and method for uniformity control
US7879185B2 (en) 2003-12-18 2011-02-01 Applied Materials, Inc. Dual frequency RF match
US7157857B2 (en) 2003-12-19 2007-01-02 Advanced Energy Industries, Inc. Stabilizing plasma and generator interactions
US6972524B1 (en) 2004-03-24 2005-12-06 Lam Research Corporation Plasma processing system control
JP2005284046A (ja) 2004-03-30 2005-10-13 Kumamoto Univ パターンずれ量検出方法及び露光装置
US7435926B2 (en) 2004-03-31 2008-10-14 Lam Research Corporation Methods and array for creating a mathematical model of a plasma processing system
US20050241762A1 (en) 2004-04-30 2005-11-03 Applied Materials, Inc. Alternating asymmetrical plasma generation in a process chamber
JP2005333075A (ja) * 2004-05-21 2005-12-02 Tokyo Electron Ltd 被処理体の処理方法及びその処理システム装置
KR101144018B1 (ko) 2004-05-28 2012-05-09 램 리써치 코포레이션 복수 rf 주파수에 반응하는 전극을 갖는 플라즈마 처리기
JP2006019442A (ja) * 2004-06-30 2006-01-19 Fujitsu Ltd ドライエッチング装置および半導体装置の製造方法
FR2875304B1 (fr) 2004-09-16 2006-12-22 Ecole Polytechnique Etablissem Sonde de mesure de caracteristiques d'un courant d'excitation d'un plasma, et reacteur a plasma associe
US20060065632A1 (en) * 2004-09-27 2006-03-30 Chia-Cheng Cheng Methods and apparatus for monitoring a process in a plasma processing system by measuring a plasma frequency
US20060065631A1 (en) 2004-09-27 2006-03-30 Chia-Cheng Cheng Methods and apparatus for monitoring a process in a plasma processing system by measuring impedance
US7323116B2 (en) 2004-09-27 2008-01-29 Lam Research Corporation Methods and apparatus for monitoring a process in a plasma processing system by measuring self-bias voltage
US7666464B2 (en) 2004-10-23 2010-02-23 Applied Materials, Inc. RF measurement feedback control and diagnostics for a plasma immersion ion implantation reactor
US20060100824A1 (en) 2004-10-27 2006-05-11 Tokyo Electron Limited Plasma processing apparatus, abnormal discharge detecting method for the same, program for implementing the method, and storage medium storing the program
US7459100B2 (en) 2004-12-22 2008-12-02 Lam Research Corporation Methods and apparatus for sequentially alternating among plasma processes in order to optimize a substrate
US7364623B2 (en) 2005-01-27 2008-04-29 Lam Research Corporation Confinement ring drive
US20060172536A1 (en) 2005-02-03 2006-08-03 Brown Karl M Apparatus for plasma-enhanced physical vapor deposition of copper with RF source power applied through the workpiece
US7602127B2 (en) 2005-04-18 2009-10-13 Mks Instruments, Inc. Phase and frequency control of a radio frequency generator from an external source
US7359177B2 (en) 2005-05-10 2008-04-15 Applied Materials, Inc. Dual bias frequency plasma reactor with feedback control of E.S.C. voltage using wafer voltage measurement at the bias supply output
US20070021935A1 (en) 2005-07-12 2007-01-25 Larson Dean J Methods for verifying gas flow rates from a gas supply system into a plasma processing chamber
US7375038B2 (en) 2005-09-28 2008-05-20 Applied Materials, Inc. Method for plasma etching a chromium layer through a carbon hard mask suitable for photomask fabrication
US20080179948A1 (en) 2005-10-31 2008-07-31 Mks Instruments, Inc. Radio frequency power delivery system
US7764140B2 (en) 2005-10-31 2010-07-27 Mks Instruments, Inc. Radio frequency power delivery system
US7780864B2 (en) 2006-04-24 2010-08-24 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion radial distribution
US7722778B2 (en) 2006-06-28 2010-05-25 Lam Research Corporation Methods and apparatus for sensing unconfinement in a plasma processing chamber
CN100530529C (zh) 2006-07-17 2009-08-19 应用材料公司 具有静电卡盘电压反馈控制的双偏置频率等离子体反应器
US20080029385A1 (en) 2006-08-03 2008-02-07 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
US7732728B2 (en) 2007-01-17 2010-06-08 Lam Research Corporation Apparatuses for adjusting electrode gap in capacitively-coupled RF plasma reactor
US7858898B2 (en) 2007-01-26 2010-12-28 Lam Research Corporation Bevel etcher with gap control
US20100216263A1 (en) * 2007-02-02 2010-08-26 Lexas Research, Ltd. Method and Apparatus for Measuring Process Parameters of a Plasma Etch Process
US7728602B2 (en) 2007-02-16 2010-06-01 Mks Instruments, Inc. Harmonic derived arc detector
US8241457B2 (en) 2007-03-30 2012-08-14 Tokyo Electron Limited Plasma processing system, plasma measurement system, plasma measurement method, and plasma control system
KR100870121B1 (ko) 2007-04-19 2008-11-25 주식회사 플라즈마트 임피던스 매칭 방법 및 이 방법을 위한 매칭 시스템
US7768269B2 (en) 2007-08-15 2010-08-03 Applied Materials, Inc. Method of multi-location ARC sensing with adaptive threshold comparison
ES2688300T3 (es) 2007-11-06 2018-10-31 Creo Medical Limited Aplicador para esterilización por plasma mediante microondas
US9074285B2 (en) 2007-12-13 2015-07-07 Lam Research Corporation Systems for detecting unconfined-plasma events
US7586100B2 (en) 2008-02-12 2009-09-08 Varian Semiconductor Equipment Associates, Inc. Closed loop control and process optimization in plasma doping processes using a time of flight ion detector
US9856558B2 (en) * 2008-03-14 2018-01-02 Applied Materials, Inc. Physical vapor deposition method with a source of isotropic ion velocity distribution at the wafer surface
JP5319150B2 (ja) 2008-03-31 2013-10-16 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法及びコンピュータ読み取り可能な記憶媒体
JP2011525682A (ja) 2008-05-14 2011-09-22 アプライド マテリアルズ インコーポレイテッド Rf電力供給のための時間分解チューニングスキームを利用したパルス化プラズマ処理の方法及び装置
US7967944B2 (en) 2008-05-29 2011-06-28 Applied Materials, Inc. Method of plasma load impedance tuning by modulation of an unmatched low power RF generator
US8337661B2 (en) 2008-05-29 2012-12-25 Applied Materials, Inc. Plasma reactor with plasma load impedance tuning for engineered transients by synchronized modulation of an unmatched low power RF generator
US8264238B1 (en) 2008-06-13 2012-09-11 Mks Instruments, Inc. Method for calibrating a broadband voltage/current probe
US20090308734A1 (en) 2008-06-17 2009-12-17 Schneider Automation Inc. Apparatus and Method for Wafer Level Arc Detection
JP5089513B2 (ja) * 2008-07-11 2012-12-05 東京エレクトロン株式会社 プラズマ処理装置システムの制御装置、プラズマ処理システムの制御方法および制御プログラムを記憶した記憶媒体
US8103492B2 (en) 2008-09-05 2012-01-24 Tokyo Electron Limited Plasma fluid modeling with transient to stochastic transformation
US20100099266A1 (en) 2008-09-22 2010-04-22 Applied Materials, Inc. Etch reactor suitable for etching high aspect ratio features
US8313664B2 (en) 2008-11-21 2012-11-20 Applied Materials, Inc. Efficient and accurate method for real-time prediction of the self-bias voltage of a wafer and feedback control of ESC voltage in plasma processing chamber
US8040068B2 (en) 2009-02-05 2011-10-18 Mks Instruments, Inc. Radio frequency power control system
WO2010102125A2 (en) 2009-03-05 2010-09-10 Applied Materials, Inc. Inductively coupled plasma reactor having rf phase control and methods of use thereof
US8382999B2 (en) 2009-03-26 2013-02-26 Applied Materials, Inc. Pulsed plasma high aspect ratio dielectric process
JP2010250959A (ja) 2009-04-10 2010-11-04 Hitachi High-Technologies Corp プラズマ処理装置
US8674606B2 (en) 2009-04-27 2014-03-18 Advanced Energy Industries, Inc. Detecting and preventing instabilities in plasma processes
US8271121B2 (en) 2009-06-30 2012-09-18 Lam Research Corporation Methods and arrangements for in-situ process monitoring and control for plasma processing tools
US8473089B2 (en) 2009-06-30 2013-06-25 Lam Research Corporation Methods and apparatus for predictive preventive maintenance of processing chambers
KR101708078B1 (ko) 2009-06-30 2017-02-17 램 리써치 코포레이션 플라즈마 챔버의 검정을 위한 에칭 레이트 균일성을 예측하는 방법 및 장치
US8404598B2 (en) 2009-08-07 2013-03-26 Applied Materials, Inc. Synchronized radio frequency pulsing for plasma etching
US20110097901A1 (en) 2009-10-26 2011-04-28 Applied Materials, Inc. Dual mode inductively coupled plasma reactor with adjustable phase coil assembly
US8909365B2 (en) 2009-11-19 2014-12-09 Lam Research Corporation Methods and apparatus for controlling a plasma processing system
US8901935B2 (en) 2009-11-19 2014-12-02 Lam Research Corporation Methods and apparatus for detecting the confinement state of plasma in a plasma processing system
US8501631B2 (en) 2009-11-19 2013-08-06 Lam Research Corporation Plasma processing system control based on RF voltage
US20110139748A1 (en) 2009-12-15 2011-06-16 University Of Houston Atomic layer etching with pulsed plasmas
US20120000887A1 (en) 2010-06-30 2012-01-05 Kabushiki Kaisha Toshiba Plasma treatment apparatus and plasma treatment method
KR20120022251A (ko) 2010-09-01 2012-03-12 삼성전자주식회사 플라즈마 식각방법 및 그의 장치
US9076826B2 (en) 2010-09-24 2015-07-07 Lam Research Corporation Plasma confinement ring assembly for plasma processing chambers
US8779662B2 (en) 2010-10-20 2014-07-15 Comet Technologies Usa, Inc Pulse mode capability for operation of an RF/VHF impedance matching network with 4 quadrant, VRMS/IRMS responding detector circuitry
US8723423B2 (en) 2011-01-25 2014-05-13 Advanced Energy Industries, Inc. Electrostatic remote plasma source
US8679358B2 (en) 2011-03-03 2014-03-25 Tokyo Electron Limited Plasma etching method and computer-readable storage medium
US8869612B2 (en) 2011-03-08 2014-10-28 Baxter International Inc. Non-invasive radio frequency liquid level and volume detection system using phase shift
US20130122711A1 (en) 2011-11-10 2013-05-16 Alexei Marakhtanov System, method and apparatus for plasma sheath voltage control
US9224618B2 (en) 2012-01-17 2015-12-29 Lam Research Corporation Method to increase mask selectivity in ultra-high aspect ratio etches
US10157729B2 (en) 2012-02-22 2018-12-18 Lam Research Corporation Soft pulsing
US9210790B2 (en) 2012-08-28 2015-12-08 Advanced Energy Industries, Inc. Systems and methods for calibrating a switched mode ion energy distribution system
US9408288B2 (en) 2012-09-14 2016-08-02 Lam Research Corporation Edge ramping
JP6257638B2 (ja) 2012-10-30 2018-01-10 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 高アスペクト比酸化物エッチング用のフルオロカーボン分子
US9460894B2 (en) 2013-06-28 2016-10-04 Lam Research Corporation Controlling ion energy within a plasma chamber

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100708313B1 (ko) * 2002-10-31 2007-04-17 세메스 주식회사 플라즈마 처리 장치 및 플라즈마 처리 방법
JP2007507889A (ja) * 2003-09-30 2007-03-29 東京エレクトロン株式会社 半導体処理ツールによって実行されるプロセスを分析する第1の原理シミュレーションを使用するシステム及び方法。

Also Published As

Publication number Publication date
TW201816887A (zh) 2018-05-01
KR20150013080A (ko) 2015-02-04
KR102208578B1 (ko) 2021-01-27
TWI641042B (zh) 2018-11-11
TWI623035B (zh) 2018-05-01
US20150028744A1 (en) 2015-01-29
US9502221B2 (en) 2016-11-22
US9082594B2 (en) 2015-07-14
CN104347340A (zh) 2015-02-11
JP6465579B2 (ja) 2019-02-06
US20150032245A1 (en) 2015-01-29
CN104347340B (zh) 2017-04-12
TWI654681B (zh) 2019-03-21
TW201517166A (zh) 2015-05-01
KR20150013094A (ko) 2015-02-04
TW201517165A (zh) 2015-05-01
JP2015029093A (ja) 2015-02-12

Similar Documents

Publication Publication Date Title
KR102282713B1 (ko) 인-챔버 및 챔버-투-챔버 매칭을 위한 에칭 레이트 모델링 및 그 사용
US10325759B2 (en) Multiple control modes
US10748748B2 (en) RF impedance model based fault detection
US10381201B2 (en) Control of etch rate using modeling, feedback and impedance match
US8241457B2 (en) Plasma processing system, plasma measurement system, plasma measurement method, and plasma control system
KR102223863B1 (ko) 이중 제어 모드
KR102223834B1 (ko) 전력 제어 모드를 위한 챔버 정합
US20160343548A1 (en) Systems and methods for providing characteristics of an impedance matching model for use with matching networks
JP2015029093A5 (ko)
US20190318919A1 (en) Control of etch rate using modeling, feedback and impedance match
KR102600376B1 (ko) 하이브리드 보정 프로세싱 시스템 및 방법
CN114008749A (zh) 用于补偿射频功率损耗的系统和方法
KR102452835B1 (ko) 다중 제어 모드
KR102339317B1 (ko) Rf 임피던스 모델 기반 폴트 검출

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right