JP2015029093A - チャンバ内整合及びチャンバ間整合のための、複数パラメータを使用したエッチング速度モデル化及びその使用 - Google Patents

チャンバ内整合及びチャンバ間整合のための、複数パラメータを使用したエッチング速度モデル化及びその使用 Download PDF

Info

Publication number
JP2015029093A
JP2015029093A JP2014147263A JP2014147263A JP2015029093A JP 2015029093 A JP2015029093 A JP 2015029093A JP 2014147263 A JP2014147263 A JP 2014147263A JP 2014147263 A JP2014147263 A JP 2014147263A JP 2015029093 A JP2015029093 A JP 2015029093A
Authority
JP
Japan
Prior art keywords
etch rate
generator
voltage
current
output
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2014147263A
Other languages
English (en)
Other versions
JP2015029093A5 (ja
JP6465579B2 (ja
Inventor
ジョン・シー.・バルコア・ジュニア
C Valcore John Jr
ハーミート・シン
Harmeet Singh
ヘンリー・ポボルニー
Povolny Henry
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2015029093A publication Critical patent/JP2015029093A/ja
Publication of JP2015029093A5 publication Critical patent/JP2015029093A5/ja
Application granted granted Critical
Publication of JP6465579B2 publication Critical patent/JP6465579B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32926Software, data control or modelling
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/3299Feedback systems

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Quality & Reliability (AREA)
  • General Physics & Mathematics (AREA)
  • Automation & Control Theory (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

【課題】チャンバ内整合及びチャンバ間整合のための、複数パラメータを使用したエッチング速度モデル化及びその使用方法を提供する。
【解決手段】第1のプラズマシステムのRF発生器の出力において測定される電圧及び電流、及び電力に基づいて、第1のモデルエッチング速度を算出する。更に、第2のプラズマシステムのRF発生器の出力において測定される電圧及び電流に基づいて、第2のモデルエッチング速度を決定し、第2のモデルエッチング速度を第1のモデルエッチング速度と比較する。第2のモデルエッチング速度が第1のモデルエッチング速度に一致しない場合に、第1のプラズマシステムに関係付けられた第1のモデルエッチング速度を実現するために、第2のプラズマシステムのRF発生器の出力における電力を調整する。
【選択図】図1

Description

本実施形態は、チャンバ内整合及びチャンバ間整合のための、複数パラメータを使用したエッチング速度モデル化及びその使用に関する。
プラズマチャンバは、例えば、ウエハを洗浄する、ウエハ上に材料を堆積させるなどの多岐にわたるプロセスに使用される。これらのプロセスを実施するために、プラズマが使用される。例えば、プラズマチャンバに送ってプラズマチャンバ内でプラズマを発生させるためのRF信号が、高周波(RF)発生器によって生成される。RF発生器は、2メガヘルツ(MHz)RF発生器、27MHzRF発生器、又は60MHzRF発生器であってよい。
別のプロセスの1つは、ウエハをエッチングすることである。しかしながら、プラズマチャンバ内でウエハがエッチングされるときは、ウエハをエッチングする速度がプラズマチャンバ内で時間とともに変動する。例えば、ウエハをエッチングするためにプラズマチャンバにRF電力を供給するために27MHzRF発生器が使用されるときは、0.85%のエッチング速度変化がある。別の例として、ウエハをエッチングするためにプラズマチャンバにRF電力を供給するために60MHzRF発生器が使用されるときは、1.08%のエッチング速度変化がある。
更に、複数のプラズマチャンバ内でウエハがエッチングされるときは、ウエハに適用されるエッチング速度が異なるだろう。例えば、ウエハをエッチングするためにプラズマチャンバにRF電力を供給するために27MHzRF発生器が使用されるときは、チャンバ間で3.3%のエッチング速度のばらつきがあるだろう。別の例として、ウエハをエッチングするためにプラズマチャンバにRF電力を供給するために60MHzRF発生器が使用されるときは、チャンバ間で4.8%のエッチング速度のばらつきがあるだろう。
本開示で説明される実施形態が生み出されたのは、このような状況においてである。
本開示の実施形態は、チャンバ内整合及びチャンバ間整合のための、複数パラメータを使用したエッチング速度モデル化及び該モデルの使用のための、装置、方法、並びにコンピュータプログラムを提供する。本実施形態は、例えば、プロセス、装置、システム、デバイス、又はコンピュータ読み取り可能媒体上の方法などの数々の形態で実現可能であることが、理解されるべきである。
一部の実施形態では、エッチング速度は、エッチング速度のチャンバ間整合及び/又はチャンバ内整合を促すためにモデル化される。例えば、エッチング速度は、係数、及び電力、及び/又は電圧、及び/又は電流、及び/又は周波数の関数として決定される。決定されたエッチング速度は、1つのプラズマシステム内で又は複数のプラズマシステムに跨って一定のエッチング速度を維持するために使用される。
様々な実施形態において、モデルエッチング速度に基づいてエッチング速度のチャンバ間整合を実施するための方法が説明される。方法は、第1のプラズマシステムの高周波(RF)発生器の出力において測定される電圧及び電流を受信することと、これらの電圧及び電流、及び電力に基づいて、第1のモデルエッチング速度を算出することとを含む。電力は、電圧及び電流、及び電圧と電流との間の位相に基づいて算出される。このモデルエッチング速度は、第1のプラズマシステムに関係付けられる。方法は、更に、第2のプラズマシステムのRF発生器の出力において測定される電圧及び電流を受信することと、第2のプラズマシステムのRF発生器の出力におけるこれらの電圧及び電流に基づいて、第2のモデルエッチング速度を決定すること、第2のモデルエッチング速度を第1のモデルエッチング速度と比較することとを含む。方法は、第2のモデルエッチング速度が第1のモデルエッチング速度に一致しないことが決定された際に、第1のプラズマシステムに関係付けられた第1のモデルエッチング速度を実現するために、第2のプラズマシステムのRF発生器の出力における電力を調整することを含む。方法は、プロセッサによって実行される。
幾つかの実施形態において、モデルエッチング速度に基づいてエッチング速度のチャンバ内整合を実施するための方法が説明される。方法は、プラズマシステムのRF発生器の出力において第1の時点において測定される電圧及び電流を受信することと、これらの電圧及び電流、及び電力に基づいて、第1のモデルエッチング速度を算出することとを含む。電力は、電圧と電流との間の位相に基づいて算出される。方法は、更に、RF発生器の出力において第2の時点において測定される電圧及び電流を受信することと、これらの電圧及び電流、及び電力に基づいて、第2のモデルエッチング速度を算出することとを含む。電力は、第2の時点において測定される電圧と電流との間の位相に基づいて算出される。方法は、第1のモデルエッチング速度を第2のモデルエッチング速度と比較することと、第2のモデルエッチング速度が第1のモデルエッチング速度に一致しないことが決定された際に、第1のモデルエッチング速度を実現するために、RF発生器の出力における電力を調整することとを含む。方法は、プロセッサによって実行される。
一部の実施形態では、第1のプラズマシステムが説明される。第1のプラズマシステムは、RF信号を生成するためのRF発生器を含む。該RF発生器は、RF信号の送出を促すための出力を含む。第1のプラズマシステムは、更に、RF信号の電圧及び電流を測定するための、上記出力に接続された複素インピーダンスセンサと、RF信号を修正して修正RF信号を生成するための、ケーブルを通じてRF発生器に及びRF伝送路を通じてプラズマチャンバに接続されたインピーダンス整合回路とを含む。第1のプラズマシステムは、インピーダンス整合回路から修正RF信号が受信されたときにプラズマを発生させるためのプラズマチャンバを含む。第1のプラズマシステムは、RF発生器の出力において測定される電圧及び電流を受信するための、複素インピーダンスセンサに接続されたプロセッサを含む。該プロセッサは、電圧及び電流、及び電力に基づいて第1のモデルエッチング速度を算出するために使用される。電力は、電圧及び電流、及び電圧と電流との間の位相に基づいて算出される。プロセッサは、第2のプラズマシステムのRF発生器の出力において測定される電圧及び電流を受信することと、第2のプラズマシステムのRF発生器の出力における電圧及び電流に基づいて第2のモデルエッチング速度を決定することと、第2のモデルエッチング速度を第1のモデルエッチング速度と比較することと、のために使用される。プロセッサは、第2のモデルエッチング速度が第1のモデルエッチング速度に一致しないことが決定された際に、第1のモデルエッチング速度を実現するために、第1のプラズマシステムのRF発生器の出力における電力を調整することのために使用される。
上述された実施形態の幾つかの利点として、エッチング速度のモデルを提供することが挙げられる。例えば、エッチング速度モデルは、係数、及び電力、及び/又は電圧、及び/又は電流、及び/又は周波数の関数として決定される。モデルは、実現可能なものであり、プロセッサの計算所要時間が短く、例えばプロセッサ及び/又はメモリデバイスの数などのリソース使用量が少なくてすむ。更に、エッチング速度を測定してチャンバ内又はチャンバ間におけるエッチング速度の均一性を実現するために、エッチング速度測定機器(ERMD)を使用する必要が無い。また、チャンバ内又はチャンバ間におけるエッチング速度の均一性は、ウエハをエッチングするためにRF発生器によってプラズマシステムにRF信号が供給される時間に関係なくエッチング速度の確実性を保証する。
添付の図面に関連付けてなされる以下の詳細な説明から、その他の態様が明らかになる。
本実施形態は、添付の図面に関連付けてなされる以下の詳細な説明を参照にすることによって、最も良く理解されるだろう。
本開示で説明される幾つかの実施形態にしたがった、モデルエッチング速度が電力、周波数、電圧、及び電流の関数であることを示すために使用される図である。
本開示で説明される幾つかの実施形態にしたがった、モデルエッチング速度を決定するために使用されるプラズマシステムの一実施形態を示した図である。
本開示で説明される様々な実施形態にしたがった、図2Aのプラズマシステムのツールと同じ又は類似のタイプのツールを含むプラズマシステムの一実施形態を示した図である。
本開示で説明される幾つかの実施形態にしたがった、高周波(RF)伝送路の構造の一実施形態を示した図である。
本開示で説明される幾つかの実施形態にしたがった、エッチング速度を決定するために使用される電圧及び電流の係数を決定するためのプラズマシステムの一実施形態を示したブロック図である。
本開示で説明される様々な実施形態にしたがった、エッチング速度を決定するために使用される電圧及び電流の係数を決定するための別のプラズマシステムの一実施形態を示したブロック図である。
本開示で説明される幾つかの実施形態にしたがった、zメガヘルツ(MHz)RF発生器が稼働しておりxMHzRF発生器及びyMHzRF発生器が稼働していないときにおける、エッチング速度モデルの平均値対エッチング速度測定機器(ERMD)を使用して決定された測定エッチング速度の平均値のグラフの一実施形態である。
本開示で説明される幾つかの実施形態にしたがった、zMHzRF発生器が稼働しておりxMHzRF発生器及びyMHzRF発生器が稼働していないときにおける、エッチング速度モデルの平均値の誤差対平均エッチング速度のグラフの一実施形態である。
本開示で説明される幾つかの実施形態にしたがった、27メガヘルツRF発生器が稼働しているときにおける、測定エッチング速度とモデル化エッチング速度との間の概ね線形の関係を示すための測定エッチング速度対モデル化エッチング速度のグラフの実施形態である。
本開示で説明される幾つかの実施形態にしたがった、60メガヘルツRF発生器が稼働中であるときにおける、測定エッチング速度とモデル化エッチング速度との間の概ね線形の関係を示すための測定エッチング速度対モデル化エッチング速度のグラフの実施形態である。
以下の実施形態は、電力制御モードを使用したチャンバ整合のためのシステム及び方法を説明する。本実施形態は、これらの具体的詳細の一部又は全部を伴わずとも実施されうることが明らかである。また、本実施形態を不必要に不明瞭にしないために、周知のプロセス動作の詳しい説明は省略される。
図1は、モデルエッチング速度が電圧、及び/又は電流、及び/又は電力、及び/又は周波数の関数であることを示すために使用される図である。一部の実施形態では、エッチング速度は、毎分あたりのナノメートル数を単位として測定される。電圧は、高周波(RF)発生器の出力において測定される。電流は、RF発生器の出力において測定され、電力は、RF発生器の出力において測定され、周波数は、RF発生器の出力において測定される。
モデルエッチング速度は、電圧、電流、電力、及び周波数のうちの1つ以上が変更されたときに変化する。
一部の実施形態では、電圧は、電圧振幅であり、電流は、電流振幅であり、電力は、電力振幅である。振幅の例として、ゼロ・トゥー・ピーク振幅、ピーク・トゥー・ピーク振幅、及び二乗平均平方根(RMS)振幅が挙げられる。
様々な実施形態において、電力は、送達電力であり、これは、RF発生器によってプラズマチャンバに供給される電力と、プラズマチャンバによってRF発生器に向かって反射される電力との差である。一部の実施形態では、電力は、供給電力又は反射電力である。
図2Aは、モデルエッチング速度を決定するために使用されるプラズマシステム100の一実施形態の図である。プラズマシステム100は、zメガヘルツ(MHz)高周波(RF)発生器と、yMHzRF発生器と、zMHzRF発生器とを含む。xMHzの例として、2MHz、27MHz、及び60MHzが挙げられる。yMHzの例として、2MHz、27MHz、及び60MHzが挙げられる。zMHzの例として、2MHz、27MHz、及び60MHzが挙げられる。
一部の実施形態では、xMHzは、yMHz及びzMHzと異なり、yMHzは、zMHzと異なる。例えば、xMHzが2MHzであるときは、yMHzは27MHzであり、zMHzは60MHzである。
一部の実施形態では、RF発生器の出力に接続された複素インピーダンスセンサが一定レベルの精度に較正されることが、留意されるべきである。例えば、xMHzRF発生器の出力102に接続された複素インピーダンスセンサ119は、出力102において測定がなされるたびに、同じ量の電圧、同じ量の電流、及び/又は同じ量の位相を測定する。別の例として、出力102において測定がなされるたびに、複素インピーダンスセンサ119は、出力102における電圧測定閾値内の電圧を測定し、及び/又は出力102における電流測定閾値内の電流を出力102において測定し、及び/又は出力102における位相測定閾値内の位相を出力102において測定する。
一部の実施形態では、出力108に別の複素インピーダンスセンサ(不図示)が接続され、出力112に更に別の複素インピーダンスセンサ(不図示)が接続される。
多岐にわたる実施形態では、位相が電圧と電流との間の位相であることが、留意されるべきである。例えば、mをゼロよりも大きい整数として、電圧がVmボルトであり、電流がImアンペアであるときに、電圧と電流との間の位相はφm度である。
様々な実施形態において、較正済みの複素インピーダンスセンサは、例えば米国標準技術局(NIST)標準などの既定の標準にしたがっている。例えば、RF発生器の出力に接続された使用される較正済みの複素インピーダンスセンサは、NISTトレーサブルである。
幾つかの実施形態では、例えば2つや4つなどの任意の数のRF発生器がプラズマシステム100内で使用される。
出力102には、RFケーブル106を通じてインピーダンス整合回路104が接続される。同様に、インピーダンス整合回路104は、RFケーブル110を通じてyMHzRF発生器の出力108に接続され、RFケーブル114を通じてzMHzRF発生器の出力112に接続される。インピーダンス整合回路104は、一方の側でインピーダンス整合回路104に接続された負荷のインピーダンスを、他方の側でインピーダンス整合回路104に接続されたソースのインピーダンスに一致させる。例えば、インピーダンス整合回路104は、RF伝送路116及びプラズマチャンバ118のインピーダンスを、xMHzRF発生器、yMHzRF発生器、zMHzRF発生器、RFケーブル106、RFケーブル110、及びRFケーブル114のインピーダンスに一致させる。
プラズマチャンバ118は、RF伝送路116を通じてインピーダンス整合回路104に接続される。プラズマチャンバ118は、チャック120と、上部電極122と、例えば上部電極122を取り囲む上部誘電体リング、上部誘電体リングを取り囲む上部電極延長部、チャック120の下部電極を取り囲む下部誘電体リング、下部誘電体リングを取り囲む下部電極延長部、上部プラズマ排除ゾーン(PEZ)リング、下部PEZリングなどの、その他の部分(不図示)とを含む。上部電極122は、チャック120の向かいに相対して位置付けられる。チャック120の上面126上で、ダミーウエハ124が支えられる。下部電極及び上部電極122は、それぞれ、例えばアルミニウム、アルミニウム合金、銅などの金属で作成される。チャック120は、静電チャック(ESC)又は磁気チャックであってよい。
一部の実施形態では、ダミーウエハ124は、エッチング速度を決定するために使用される。例えば、ダミーウエハ124は、半導体ではない材料で作成される。半導体ではない材料の例として、ガラス、ソーダ石灰、ホウケイ酸塩、石英、セラミック、カーボンファイバなどが挙げられる。別の例として、ダミーウエハ124は、半導体ウエハよりも安価である。
一部の実施形態では、ダミーウエハ124の代わりに半導体ウエハが使用される。生産時には、半導体ウエハに対し、例えば化学気相成長、洗浄、成膜、スパッタリング、エッチング、イオン注入、レジスト剥離などの様々なプロセスが実施される。半導体ウエハ上には、例えば特定用途向け集積回路(ASIC)やプログラマブルロジックデバイス(PLD)などが成長され、これらの集積回路は、例えば携帯電話、タブレット、スマートフォン、コンピュータ、ラップトップ型コンピュータ、ネットワーク機器などの多岐にわたる電子機器に使用される。
RFケーブル106、110、114、インピーダンス整合回路104、RF伝送路116、及びプラズマチャンバ118は、プラズマシステム100のツール133の部分である。
一部の実施形態では、上部電極122は、中央ガス送り部(不図示)に結合された、例えば穴などの1つ以上のガス入口を含む。中央ガス送り部は、ガス貯蔵器(不図示)から1種以上のプロセスガスを受け取る。プロセスガスの一例として、O2などの酸素含有ガスが挙げられる。プロセスガスのその他の例として、例えば四フッ化メタン(CF4)、六フッ化硫黄(SF6)、六フッ化エタン(C26)などのフッ素含有ガスが挙げられる。上部電極122は、接地される。チャック120は、インピーダンス整合回路104を通じてxMHzRF発生器、yMHzRF発生器、及びzMHzRF発生器に接続される。
上部電極122とチャック120との間にプロセスガスが供給され、xMHzRF発生器、及び/又はyMHzRF発生器、及び/又はzMHzRF発生器がインピーダンス整合回路104及びRF伝送路116を通じてチャック120にRF信号を供給するときに、プロセスガスは、プラズマチャンバ118内で励起されてプラズマを発生させる。
xMHzRF発生器がRF信号を生成し、そのRF信号を、ダミーウエハ124が上に置かれているチャック120に出力102、RFケーブル106、インピーダンス整合回路104、及びRF伝送路116を通じて提供するときに、複素インピーダンスセンサ119は、出力102における複素電圧・電流を測定する。一部の実施形態では、複素電圧・電流は、電圧振幅、電流振幅、及び電圧振幅と電流振幅との間の位相を含む。複素インピーダンスセンサ119によって測定される複素電圧・電流は、ホストシステム130のメモリデバイス132に記憶させるために、ケーブル127を通じてホストシステム130のプロセッサ128に提供される。プロセッサ128は、ケーブル127を通じて複素インピーダンスセンサ119に接続される。
本明細書で言うところのプロセッサとは、中央演算処理装置(CPU)、マイクロプロセッサ、特定用途向け集積回路(ASIC)、プログラマブルロジックデバイス(PLD)などであってよい。メモリデバイスの例として、読み出し専用メモリ(ROM)、ランダムアクセスメモリ(RAM)、又はそれらの組み合わせが挙げられる。メモリデバイスは、フラッシュメモリ、ストレージディスクの冗長アレイ(RAID)、ハードディスクなどであってよい。
同様に、出力108及び出力112に接続された複素インピーダンスセンサ(不図示)もまた、メモリデバイス132に記憶させるために、対応するケーブルを通じて複素電圧・電流の測定値をプロセッサ128に提供する。
プロセッサ128は、複素インピーダンスセンサ119から受信された、時刻t1における複素電圧・電流の測定値に基づいて、プラズマシステム100に関係付けられるエッチング速度を算出する。複素電圧・電流は、xMHzRF発生器162が出力102を通じてプラズマチャンバ118に電力を供給するときに生成されて受信される。プロセッサ128は、測定される複素電圧・電流に基づいて、モデルエッチング速度を生成する。例えば、プロセッサ128は、プラズマシステム100に関係付けられるモデルエッチング速度を決定するために、幾つかの項の和を算出する。各項は、係数と、時刻t1において測定される電圧の関数との積である、又は係数と、時刻t1において測定される電流の関数との積である、又は係数と、時刻t1において測定される電圧の関数と、時刻t1において測定される電流の関数との積である。一例として、プロセッサ128は、モデルエッチング速度ER1001を、一次多項式であるC111+C121に等しいものとして算出し、ここで、C111及びC121は、それぞれが項であり、C11及びC12は、係数であり、V1は、時刻t1において複素インピーダンスセンサ119によって測定される電圧振幅であり、I1は、時刻t1において複素インピーダンスセンサ119によって測定される電流振幅である。別の例としては、エッチング速度ER1002が、二次多項式であるC211+C221+C231 2+C2411+C251 2に等しいものとして算出され、ここで、C211、C221、C231 2、C2411、及びC251 2は、それぞれが項であり、C21、C22、C23、C24、及びC25は、係数であり、I1 2は、電流I1の、べき数が2の関数であり、V1 2は、電圧V1の、べき数が2の関数である。更に別の例としては、エッチング速度ER1003が、三次多項式であるC311+C321+C331 2+C3411+C351 2+C361 3+C371 21+C3811 2+C391 3に等しいものとして算出され、ここで、C311、C321、C331 2、C3411、C351 2、C361 3、C371 21、C3811 2、及びC391 3は、それぞれが項であり、C31、C32、C33、C34、C35、C36、C37、C38、及びC39は、係数であり、I1 3は、電流I1の、べき数が3の関数であり、V1 3は、電圧V1の、べき数が3の関数である。別の例としては、エッチング速度ER1004が、四次多項式であるC411+C421+C431 2+C4411+C451 2+C461 3+C471 21+C4811 2+C491 3+C501 4+C511 31+C521 21 2+C531 11 3+C541 4に等しいものとして算出され、ここで、C411、C421、C431 2、C4411、C451 2、C461 3、C471 21、C4811 2、C491 3、C501 4、C511 31、C521 21 2、C531 11 3、及びC541 4は、それぞれが項であり、C41、C42、C43、C44、C45、C46、C47、C48、C49、C50、C51、C52、C53、及びC54は、係数であり、I1 4は、電流I1の、べき数が4の関数であり、V1 4は、電圧V1の、べき数が4の関数である。
「m」をゼロよりも大きい整数として、Vmが例えばゼロ・トゥー・ピーク電圧、ピーク・トゥー・ピーク電圧、及び二乗平均平方根(RMS)電圧などの電圧振幅であること、Imが例えばゼロ・トゥー・ピーク電流、ピーク・トゥー・ピーク電流、及びRMS電流などの電流振幅であることが、留意されるべきである。一部の実施形態では、一次多項式、二次多項式、三次多項式、及び四次多項式がそれぞれテイラー級数展開の一部分であることが、留意されるべきである。一例として、プロセッサ128は、nを整数として、エッチング速度ER100nを、電圧V1の関数と、電流I1の関数と、係数とのn次多項式でもあるテイラー級数展開に等しいものとして算出する。例示すると、プロセッサ128は、nをゼロよりも大きい整数として、エッチング速度ER100nを、一定の値に収束するn次多項式として算出する。
更に別の例として、エッチング速度ER1005は、C551 e1+C561 e2+C571として決定され、ここで、C55、C56、及びC57は、係数であり、e1及びe2は、指数であり、P1は、電圧振幅V1と、電流振幅I1と、電流振幅I1と電圧振幅V1との間の位相であるφ1のコサインとの積である。電力P1は、プロセッサ128によって算出される。別の例として、エッチング速度ER1006は、C551 e1+C561 e2+C571+C581として決定され、ここで、C58は、係数であり、F1は、周波数である。別の例として、エッチング速度ER1007は、C551 e1+C561 e2+C571 e3+C581 e4として決定され、ここで、e3及びe4は、指数である。指数e1の例には、1以上で1.4までの数字がある。更に、指数e2の例には、0.5以上で1までの数字がある。
別の例として、エッチング速度ER1008は、C591 2+C601 2+C611+C62111+C631 2+C6411+C6511+C661 21+C671 21として決定され、ここで、C59、C60、C61、C62、C63、C64、C65、C66、及びC67は、係数である。別の例として、エッチング速度ER1009は、C6811+C691+C701 2+C7111+C7211 2+C7311 2+C7411 3+C7511 3+C76111+C771 211+C781 2+C791 2として決定され、ここで、C68、C69、C70、C71、C72、C73、C74、C75、C76、C77、C78、及びC79は、係数である。様々な実施形態において、エッチング速度は、電圧の非線形関数である、電流の線形関数である、及び送達電力の非線形関数である。
一部の実施形態では、複素インピーダンスセンサは、RF発生器の出力におけるRF信号の周波数F1を時刻t1において測定する周波数センサを含む。測定された周波数F1は、エッチング速度モデルを決定するために、ケーブルを通じてプロセッサ128に提供される。
様々な実施形態において、周波数センサは、複素インピーダンスセンサとは別個であり、複素インピーダンスセンサが接続されているRF発生器の出力に接続される。
幾つかの実施形態では、複素インピーダンスセンサという用語と、複素電圧・電流センサという用語とが、区別なく使用される。
様々な実施形態において、エッチング速度モデルにおける項の数は、1から例えば100、1000、10000などの大きな数までの幅がある。例えば、エッチング速度ER1001は、2つの項を含み、エッチング速度ER1002は、5つの項を含み、エッチング速度ER1003は、9つの項を含み、エッチング速度ER1004は、14の項を含む。
幾つかの実施形態において、プラズマシステムに関係付けられるエッチング速度モデルを決定するために使用される項の数は、プロセッサ128に接続された例えばマウス、キーボード、タッチペン、タッチ画面などの入力機器(不図示)を通じたユーザによる入力として提供される。様々な実施形態において、プロセッサ128は、エッチング速度モデルの値の収束を実現するために、プラズマシステムに関係付けられるエッチング速度モデルを決定するために使用される項の数を決定する。例えば、プロセッサ128は、プラズマシステムに関係付けられるエッチング速度モデルにおいて収束が得られるまで、該エッチング速度モデルを決定するために使用される項を追加し続ける。
様々な実施形態において、プロセッサ128は、プロセッサ128が係数を決定するのと同じやり方で係数を決定する別のプロセッサから、例えばC11、C12、C21、C22、C23、C24、C25、C31、C32、C33、C34、C35、C36、C37、C38、C39、C41、C42、C43、C44、C45、C46、C47、C48、C49、C50、C51、C52、C53、C54、C55、C56、C57、C58、C59、C60、C61、C62、C63、C64、C65、C66、C67、C68、C69、C70、C71、C72、C73、C74、C75、C76、C77、C78、C79などの係数を受信する。係数を決定するやり方は、以下で説明される。
一部の実施形態では、ツール133は、幾つかのプラズマシステムの幾つかのツールのうちで、高めの歩留まりを提供するツールである。これら幾つかのツールは、ツール133と同じ又は類似のタイプである。例えば、対応する各種ツールの抵抗、キャパシタンス、インダクタンス、又はそれらの組み合わせなどの特性は、ツール133の特性と同じ又はツール133の特性から一定範囲内である。別の例として、幾つかのツールは、ツール133と同じ構造を有する、及び/又はツール133によって実施されるのと同じ機能を実施する。
様々な実施形態において、ツール133は、そのツールがウエハをエッチングするために使用されたときに、
より低歩留りのツールを使用して達成されるよりも高いアスペクト比を達成する場合に、又は、
そのツールを使用した結果、より低歩留りのツールを使用して洗浄されるよりも清浄なウエハが得られた場合に、又は、
そのツールが、より低歩留りのツールを使用して達成されるよりも速いエッチング速度でエッチングを行う場合に、又は、
そのツールが、より低歩留りのツールで達成されるよりも速い速度でウエハを洗浄する場合に、又は、
そのツールが、より低歩留りのツールよりも速いウエハ処理速度を有する場合に、又は、
これらの組み合わせの場合に、
別のプラズマシステムの同じ又は類似のタイプのツールよりも高い歩留まりを有する。
様々な実施形態において、ツール133は、ゴールデンツールと呼ばれる。
一部の実施形態では、プラズマシステム100に関係付けられたエッチング速度が、時間にかかわらず一定に維持される。例えば、時刻t2において電圧V1の変動及び/又は電流I1の変動があるときは、xMHzRF発生器は、プラズマシステム100に関係付けられたエッチング速度を維持するために、xMHzRF発生器の出力102を通じて送達される電力を調整する。時刻t2は、時刻t1よりも後である。電圧V1の変動及び/又は電流I1の変動は、複素インピーダンスセンサ119からプロセッサ128によって受信される。別の例として、時刻t2において電圧V1の変動及び/又は電流I1の変動があるときに、xMHzRF発生器は、プラズマシステム100に関係付けられたエッチング速度を維持するために、xMHzRF発生器の出力102において測定される電圧V1及び/又は電流I1を調整する。別の例として、エッチング速度ER1001を決定した後、xMHzRF発生器によって送達されるRF信号の電力に変化があるときに、xMHzRF発生器は、エッチング速度ER1001を実現するために、電力を調整する。別の例として、xMHzRF発生器によってプラズマチャンバ118に送達される電力に揺らぎがあるときは、xMHzRF発生器は、エッチング速度ER1001を実現するために、電力を調整する。
一例として、時刻t2において、エッチング速度を決定するために使用される電圧振幅V1及び/又は電流振幅I1及び/又は周波数F1に変動があるときに、xMHzRF発生器は、プラズマシステム100に関係付けられたエッチング速度を一定に維持するために、その出力における、例えば供給電力、送達電力、反射電力などの電力P1を調整する。例示すると、時刻t2において、電圧振幅V1及び/又は電流振幅I1及び/又は周波数F1に変動があるときに、xMHzRF発生器は、プラズマシステム100に関係付けられたエッチング速度ER1007を一定に維持するために、xMHzRF発生器の出力102を通じて電力P1を調整する。別の例として、時刻t2において、電圧振幅V1及び/又は電流振幅I1に変動があるときに、xMHzRF発生器は、プラズマシステム100に関係付けられたエッチング速度ER1005を一定に維持するために、xMHzRF発生器の出力102を通じて電力P1を調整する。
別の例として、時刻t2において、エッチング速度を決定するために使用される電圧振幅V1及び/又は電流振幅I1及び/又は電力P1に変動があるときに、xMHzRF発生器は、プラズマシステム100に関係付けられたエッチング速度を一定に維持するために、周波数F1を調整する。更に別の例として、エッチング速度を決定するために使用される電圧振幅V1及び/又は周波数F1及び/又は電力P1に変動があるときに、xMHzRF発生器は、プラズマシステム100に関係付けられたエッチング速度を一定に維持するために、電流振幅I1を調整する。別の例として、エッチング速度を決定するために使用される電流振幅I1及び/又は周波数F1及び/又は電力P1に変動があるときは、xMHzRF発生器は、プラズマシステム100に関係付けられたエッチング速度を一定に維持するために、電圧振幅V1を調整する。
別の例として、エッチング速度を決定するために使用される電圧振幅V1、電流振幅I1、周波数F1、及び電力P1のうちの1つ以上に変動があるときに、xMHzRF発生器は、プラズマシステム100に関係付けられたエッチング速度を一定に維持するために、その出力における電力P1、及び/又は電圧振幅V1、及び/又は電流振幅I1、及び/又は周波数F1のうちの残る1つ以上を調整する。例示すると、時刻t2において、エッチング速度モデルER1005を決定するために使用される電圧振幅V1及び電流振幅I1に変動があるときに、xMHzRF発生器は、プラズマシステム100に関係付けられたエッチング速度ER1005を一定に維持するために、その出力における残る電力P1を調整する。別の例として、時刻t2において、エッチング速度モデルER1007を決定するために使用される電圧振幅V1、電流振幅I1、及び周波数F1のうちの1つ以上に変動があるときに、xMHzRF発生器は、プラズマシステム100に関係付けられたエッチング速度を一定に維持するために、その出力における電力P1を調整する。
様々な実施形態において、プラズマシステム100に関係付けられたエッチング速度を、ダミーウエハ124を使用して決定した後、該ダミーウエハ124は、半導体ウエハのエッチングのために、半導体ウエハに置き換えられる。チャック120の上面126上に半導体ウエハが置かれたときに、xMHzRF発生器、yMHzRF発生器、及びzMHzRF発生器のうちの1つ以上は、対応する出力102、108、及び112、対応するRFケーブル106、108、及び114、インピーダンス整合回路104、RF伝送路116を通じてチャック120にRF電力を供給する。RF電力がxMHzRF発生器によって供給されるときは、複素インピーダンスセンサ119は、時刻t2において、出力102における複素電圧・電流を測定する。様々な実施形態において、RF電力がxMHzRF発生器によって供給されるときは、出力102に接続された周波数センサが、時刻t2において、出力102における複素電圧・電流を測定する。
一部の実施形態では、ホストシステム130のプロセッサ128は、プラズマシステム100に関係付けられるエッチング速度が決定されて一定のエッチング速度が維持される期間中、プラズマチャンバ118内でプラズマを発生させるためのレシピを経時的に一定に維持する。例えば、プロセッサ128は、プラズマチャンバ118内の圧力、及び/又はプラズマチャンバ118内の温度、及び/又はxMHzRF発生器の周波数、及び/又はyMHzRF発生器の周波数、及び/又はzMHzRF発生器の周波数、及び/又は上部電極122とチャック120との間のギャップ、及び/又はプラズマチャンバ118内の1種以上のプロセスガスの化学的性質を一定に維持する。別の例として、プロセッサ128は、プラズマチャンバ118内の圧力、及び/又はプラズマチャンバ118内の温度、及び/又は上部電極122とチャック120との間のギャップ、及び/又はプラズマチャンバ118内の1種以上のプロセスガスの化学的性質を一定に維持する。更に別の例として、プロセッサ128は、プラズマチャンバ118内の圧力、及び/又はプラズマチャンバ118内の温度、及び/又はxMHzRF発生器の周波数、及び/又はyMHzRF発生器の周波数、及び/又はzMHzRF発生器の周波数、及び/又は上部電極122とチャック120との間のギャップ、及び/又はプラズマチャンバ118内の1種以上のプロセスガスの化学的性質を同様に維持する。別の例として、プロセッサ128は、プラズマチャンバ118内の圧力、及び/又はプラズマチャンバ118内の温度、及び/又は上部電極122とチャック120との間のギャップ、及び/又はプラズマチャンバ118内の1種以上のプロセスガスの化学的性質を同様に維持する。
一部の実施形態では、第1の圧力が第2の圧力から一定範囲内であるときに、プラズマチャンバ128内で同様な圧力が維持されている。様々な実施形態において、第1の温度が第2の温度から一定範囲内であるときに、プラズマチャンバ118内で同様な温度が維持されている。様々な実施形態において、第1の周波数が第2の周波数から一定範囲内であるときに、RF発生器の周波数は同様に維持されている。一部の実施形態では、第1のギャップが第2のギャップから一定範囲内であるときに、プラズマチャンバ118内で同様なギャップが維持されている。多岐にわたる実施形態において、プラズマチャンバ118内で各プロセスガスの量が既定の範囲内に維持されているときに及び/又は複数のプロセスガスの組み合わせが既定の範囲内に維持されているときに、プラズマチャンバ118内で同様な化学的性質が維持されている。一部の実施形態では、プラズマチャンバ118内で複数のプロセスガスの組み合わせが既定の範囲内に維持されているときに、プラズマチャンバ118内で同様な化学的性質が維持されている。プロセスガスの種類の例として、酸素含有ガスやフッ素含有ガスが挙げられる。例示すると、フッ素含有ガスは、酸素含有ガスと異なる種類である。一部の実施形態では、第1のプロセスガスが第2のプロセスガスに含まれない化学元素を含むときに、第1のプロセスガスは第2のプロセスガスと異なる種類である。
様々な実施形態において、プロセッサ128は、プラズマチャンバ内の圧力を制御するために、ガス貯蔵器(不図示)からプラズマチャンバの上部電極のガス入口へのガスの供給を促すガス供給弁(不図示)を制御する。例えば、プロセッサ128は、プロセスガスの供給を制御するために、弁を一定量開閉させるための電流を供給する例えばトランジスタなどのドライバ(不図示)を制御する。プロセスガスの供給の制御は、ガスが供給されるプラズマチャンバ内の圧力をプロセッサ128が制御することも可能にする。
幾つかの実施形態では、プロセッサ128は、プラズマチャンバ内の化学的性質を制御するために、複数のガス貯蔵器(不図示)からプラズマチャンバの上部電極のガス入口への複数のプロセスガスの供給を促す複数のガス供給弁(不図示)を制御する。例えば、プロセッサ128は、第1のガス貯蔵器から上部電極122のガス入口に供給される第1のプロセスガスの供給を制御するために、弁を一定量開閉させるための電流を供給するドライバを制御し、第2のガス貯蔵器からガス入口に供給される第2のプロセスガスの供給を制御するために、別の弁を一定量開閉させるための電流を供給する別のドライバを制御する。様々な実施形態において、第2のプロセスガスは、第1のプロセスガスと異なる。例えば、第1のプロセスガスは、酸素をベースにしたプロセスガスであり、第2のプロセスガスは、フッ素をベースにしたプロセスガスである。別の例として、第1のプロセスガスは、四フッ化メタンであり、第2のプロセスガスは、六フッ化イオンである。
一部の実施形態では、プラズマチャンバのチャック内にヒータが含められ、該ヒータは、プラズマチャンバ内の温度を変化させるために、ドライバを通じてプロセッサ128によって制御される。
幾つかの実施形態では、プラズマチャンバ内に例えばダクトなどの伝熱機構が提供され、プラズマチャンバ内の温度を変化させるために、弁及びドライバを通じてプロセッサ128によって冷却液の流れが制御される。
様々な実施形態において、プラズマチャンバの上部電極は、モータ駆動式のネジ機構(不図示)を使用して上下させられる上部構造(不図示)内に位置付けられる。プロセッサ128は、上部構造を上下させて、上部電極と該上部電極に面したチャックとの間のギャップを変化させるために、ドライバを通じてモータ駆動式ネジ機構を制御する。
一部の実施形態では、プロセッサ128は、電力及び/又は電圧及び/又は電流及び/又は周波数を調整してエッチング速度を実現するために、ケーブルを通じてRF発生器のデジタル信号プロセッサ(DSP)に信号を送信する。例えば、プロセッサ128は、RF信号の周波数をRF発生器に送信する。信号を受信すると、RF発生器のデジタル信号プロセッサ(DSP)は、電力振幅及び/又は周波数及び/又は電圧振幅及び/又は電流振幅をRF発生器の駆動・増幅器システム(DAS)に送信する。例えば、RF発生器のDSPは、周波数を受信し、該周波数をRF発生器のDASに送信する。RF発生器のDSPは、エッチング速度を実現するために、電力振幅及び/又は周波数及び/又は電圧振幅及び/又は電流振幅を有するRF信号を生成する。RF信号は、RFケーブル、インピーダンス整合回路104、及びRF伝送路116を通じてプラズマチャンバ118に供給される。
なお、一部の実施形態では、任意の数のRF発生器がプラズマシステム100内で使用されうることが、留意されるべきである。
図2Bは、ツール133(図2A)と同じ又は同様なタイプのツール152を含むプラズマシステム150の一実施形態の図である。プラズマシステム150には、例えば時刻t1において測定される電力及び/又は電圧及び/又は電流及び/又は周波数を使用して決定されるエッチング速度のような、プラズマシステム100(図2A)を使用して決定されるエッチング速度が、エッチング速度のチャンバ間整合を実施するために適用される。
プラズマシステム150は、xMHzRF発生器、yMHzRF発生器、zMHzRF発生器、複素インピーダンスセンサ154、インピーダンス整合回路156、及びプラズマチャンバ158を含む。インピーダンス整合回路156の入力161は、RFケーブル162を通じてxMHzRF発生器の出力160に接続され、インピーダンス整合回路156の入力165は、RFケーブル166を通じてyMHzRF発生器の出力164に接続され、インピーダンス整合回路156の入力167は、RFケーブル170を通じてzMHzRF発生器の出力168に接続される。プラズマチャンバ158は、RF伝送路172を通じてインピーダンス整合回路156に接続される。
プラズマチャンバ158は、チャック174と、上部電極176と、上述のようなその他の部分とを含む。上部電極176は、チャック174の向かいに相対して位置付けられ、接地される。チャック174の上面180上で、例えば半導体ウエハなどの被加工物178が支えられる。生産時には、被加工物178に対し、上述のような様々なプロセスが実施される。被加工物123上には、集積回路が成長され、これらの集積回路は、例えば携帯電話、タブレット、スマートフォン、コンピュータ、ラップトップ型コンピュータ、ネットワーク機器などの多岐にわたる電子機器に使用される。プラズマチャンバ158の下部電極及び上部電極176は、それぞれ、例えばアルミニウム、アルミニウム合金、銅などの金属で作成される。チャック174は、ESC又は磁気チャックであってよい。
様々な実施形態において、プラズマチャンバ158は、プラズマチャンバ118(図2A)と同じ構造を有する。例えば、プラズマチャンバ158は、プラズマチャンバ118内の対応する構造要素と同じ寸法を有する構造要素を含む。プラズマチャンバ118とプラズマチャンバ158とが同じ構造を有する場合の別の例として、プラズマチャンバ158が、プラズマチャンバ118内の対応する構造要素と同じタイプの要素を含むことが挙げられる。例示すると、トランス結合プラズマ(TCP)チャンバは、誘導結合プラズマ(ICP)チャンバの1つ以上の構造要素と異なるタイプの構造要素を1つ以上有し、TCPチャンバ及びICPチャンバは、ともに、電子サイクロトロン共鳴(ECR)プラズマチャンバの1つ以上の構造要素と異なるタイプの構造要素を1つ以上有する。別の例示として、電極としてインダクタを含むプラズマチャンバは、電極としてコンデンサを含むプラズマチャンバと異なるタイプである。
プラズマチャンバの構造要素の例には、上部電極、下部電極、上部プラズマ排除ゾーン(PEZ)リング、下部PEZリング、閉じ込めリングアセンブリ、エッジリング、絶縁体層、ガス分布孔、プラズマチャンバ壁、上部電極を取り囲む上部誘電体リング、上部誘電体リングを取り囲む上部電極延長部、下部電極を取り囲む下部誘電体リング、上部電極内又は下部電極内に位置付けられた加熱要素、下部電極の上部電極内に位置付けられた冷却要素、下部誘電体リングを取り囲む下部電極延長部などがある。様々な実施形態において、下部電極及び下部電極延長部は、例えば陽極酸化アルミニウムやアルミニウム合金などの金属で作成される。また、一部の実施形態では、上部電極及び上部電極延長部は、例えばアルミニウムやアルミニウム合金などの金属で作成される。幾つかの実施形態では、上部電極は、下部電極の向かいに相対して位置付けられ、上部電極延長部は、上部電極の向かいに相対して位置付けられる。
構造要素の寸法の例には、要素のサイズ、要素の長さ、要素の深さ、要素の幅、要素の表面積、要素によって占められる体積などがある。
異なるタイプの構成要素の例には、板状電極や電気コイル電極などがある。
様々な実施形態において、プラズマチャンバ158は、プラズマチャンバ118と構造的に同一であり、プラズマチャンバ118と異なる識別コードを有する。例えば、プラズマチャンバ158は、識別コードaaaaを使用して識別され、プラズマチャンバ118は、識別コードbbbbを使用して識別される。
様々な実施形態において、プラズマチャンバ158は、プラズマチャンバ118と構造的に同一であり、プラズマチャンバ118と同じ機能を実施するために使用される。同じ機能の例として、プラズマチャンバ158は、プラズマチャンバ118と同様な、例えばキャパシタンス、抵抗、インダクタンス、それらの組み合わせなどの特性を有する。例示すると、プラズマチャンバ158は、プラズマチャンバ118のインダクタンスから一定範囲内のインダクタンスを有する。別の例示として、プラズマチャンバ158は、プラズマチャンバ118のキャパシタンスから一定範囲内のキャパシタンスを有する。更に別の例示として、プラズマチャンバ158は、プラズマチャンバ118の抵抗から一定範囲内の抵抗を有する。別の例示として、プラズマチャンバ158は、プラズマチャンバ118のインダクタンス、抵抗、及びキャパシタンスの組み合わせから一定範囲内のインダクタンス、抵抗、及びキャパシタンスの組み合わせを有する。プラズマチャンバによって実施される機能の例には、物理気相成長(PVD)、化学気相成長(CVD)、プラズマ式CVD(PECVD)、金属CVD、高密度プラズマCVD(HDP−CVD)機能、フォトレジスト剥離機能、フォトレジスト表面処理、紫外線熱処理(UVTP)などがある。
様々な実施形態において、プラズマチャンバ158は、プラズマチャンバ118と構造的に及び機能的に同一であり、プラズマチャンバ118と異なる識別コードを有する。
更に、様々な実施形態において、RF伝送路172は、RF伝送路116(図2A)と構造的に及び/又は機能的に同一である。RF伝送路は、図3において更に説明される。
図3は、RF伝送路116(図2A)又はRF伝送路172(図2B)の一例であるRF伝送路186の構造の一実施形態を示した図である。RF伝送路186は、インピーダンス整合回路104(図2A)又はインピーダンス整合回路156(図2B)の一例であるインピーダンス整合回路190にボルト留めされた例えばトンネルなどのシリンダ188を含む。インピーダンス整合回路190は、RFケーブル106(図2A)又はRFケーブル162(図2B)の一例であるRFケーブル191に接続される。シリンダ188の空洞内には、絶縁体192及びRFロッド194がある。
RF伝送路186は、ボルトB1、B2、B3、及びB4を介してインピーダンス整合回路190に留め付けられる。一実施形態では、RF伝送路186は、任意の数のボルトを介してインピーダンス整合回路190に留め付けられる。一部の実施形態では、RF伝送路186をインピーダンス整合回路190に取り付けるために、ボルトの代わりに又はボルトに加えて、例えば糊やネジなどの任意のその他の形態の取り付け手段が使用される。
RF伝送ロッド194は、インピーダンス整合回路190の出力196に結合される。また、RFスプーンとしても知られるRFストラップ198が、RF伝送ロッド194に及びRFロッド202に結合され、その一部分は、例えばシリンダなどのサポート204内に位置付けられる。一実施形態では、シリンダ188と、RFストラップ198と、サポート204と、RFロッド202との組み合わせが、RF伝送路186を形成する。サポート146は、プラズマチャンバ118(図2A)又はプラズマチャンバ158(図2B)の一例であるプラズマチャンバ206の支えを提供する。サポート204は、プラズマチャンバ206のチャック208に取り付けられる。チャック208は、チャック120(図2A)又はチャック174(図2B)の一例である。RF発生器から、RFケーブル191、インピーダンス整合回路190、RFロッド194、RFストラップ198、及びRFロッド202を通じてチャック208にRF信号が供給される。
図2Bに戻り、一部の実施形態では、RF伝送路172は、RF伝送路116(図2A)と構造的に同一である。例えば、RF伝送路172は、RF伝送路116と同一の要素を含む。RF伝送路の要素の例には、インピーダンス整合回路に結合されるRFロッド、該RFロッドを取り囲むシリンダ、RFスプーン、RFスプーンに及びチャックに結合されるRFロッド、並びに該RFロッドの少なくとも一部分を取り囲むシリンダがある。
様々な実施形態において、RF伝送路172は、RF伝送路116と構造的に同一であり、RF伝送路116と異なる識別コードを有する。
幾つかの実施形態では、RF伝送路172は、RF伝送路116と機能的に同一である。例えば、RF伝送路172は、RF伝送路116と同様な特性を有する。例示すると、RF伝送路172は、RF伝送路116のインダクタンスから一定範囲内のインダクタンスを有する。別の例示として、RF伝送路172は、RF伝送路116のキャパシタンスから一定範囲内のキャパシタンスを有する。更に別の例示として、RF伝送路172は、RF伝送路116の抵抗から一定範囲内の抵抗を有する。別の例示として、RF伝送路172は、RF伝送路116のインダクタンスと、抵抗と、キャパシタンスとの組み合わせから一定範囲内のインダクタンスと、抵抗と、キャパシタンスとの組み合わせを有する。
一部の実施形態では、RF伝送路172は、RF伝送路116と機能的に同一であり、RF伝送路116と異なる識別コードを有する。
一部の実施形態では、RF伝送路172は、RF伝送路116と構造的に及び機能的に同一であり、RF伝送路116と異なる識別コードを有する。
同様に、一部の実施形態では、インピーダンス整合回路156は、インピーダンス整合回路104(図2A)と同じ構造を有する。例えば、インピーダンス整合回路156は、インピーダンス整合回路104と同じ数のコンデンサ及び/又はインピーダンス整合回路104と同じ数のインダクタを有する。また、この例では、インピーダンス整合回路104内のコンデンサ及びインピーダンス整合回路156内のコンデンサは、ともに、例えば直接や並列など同じ形式で互いに接続されている。更に、この例では、インピーダンス整合回路104内のインダクタ及びインピーダンス整合回路156内のインダクタは、ともに、例えば直接や並列など同じ形式で互いに接続されている。
様々な実施形態において、インピーダンス整合回路156は、インピーダンス整合回路104と構造的に同一であり、インピーダンス整合回路104と異なる識別コードを有する。
幾つかの実施形態では、インピーダンス整合回路156は、インピーダンス整合回路104によって実施されるのと同じ機能を実施する。例えば、インピーダンス整合回路156は、インピーダンス整合回路104と同様な特性を有する。例示すると、インピーダンス整合回路156は、インピーダンス整合回路104のインダクタンスから一定範囲内のインダクタンスを有する。別の例示として、インピーダンス整合回路156は、インピーダンス整合回路104のキャパシタンスから一定範囲内のキャパシタンスを有する。別の例示として、インピーダンス整合回路156は、インピーダンス整合回路のインダクタンスとキャパシタンスとの組み合わせから一定範囲内のインダクタンスとキャパシタンスとの組み合わせを有する。
様々な実施形態において、インピーダンス整合回路156は、インピーダンス整合回路104によって実施されるのと同じ機能を実施し、インピーダンス整合回路104と異なる識別コードを有する。
様々な実施形態において、インピーダンス整合回路156は、インピーダンス整合回路104と同じ構造を有するとともに、インピーダンス整合回路104によって実施されるのと同じ機能を実施し、インピーダンス整合回路104と異なる識別コードを有する。
RFケーブル162は、RFケーブル106(図2A)と構造的に同じである。例えば、RFケーブル162及びRFケーブル106は、それぞれ、絶縁体によって取り囲まれた導体を含む。別の例として、RFケーブル162は、RFケーブル106と同じ例えば長さや直径などの寸法を有する。
一部の実施形態では、RFケーブル162は、RFケーブル106と同じ構造を有し、RFケーブル106と異なる識別コードを有する。
様々な実施形態において、RFケーブル162は、RFケーブル106と同じ機能を実施する。例えば、RFケーブル162は、RFケーブル106と同じ特性を有する。例示すると、RFケーブル162は、RFケーブル106の抵抗から一定範囲内の抵抗を有する、及び/又はRFケーブル106のキャパシタンスから一定範囲内のキャパシタンスを有する、及び/又はRFケーブル106のインダクタンスから一定範囲内のインダクタンスを有する。
幾つかの実施形態では、RFケーブル162は、RFケーブル106によって実施されるのと同じ機能を実施し、RFケーブル106と異なる識別コードを有する。
幾つかの実施形態では、RFケーブル162は、RFケーブル106と同じ構造を有するとともに、RFケーブル106と同じ機能を実施し、RFケーブル106と異なる識別コードを有する。
同様に、RFケーブル166は、RFケーブル110(図2A)と同じ構造を有し、及び/又はRFケーブル110と同じ機能を実施し、及び/又はRFケーブル110と異なる識別コードを有する。更に、RFケーブル170は、RFケーブル114(図2A)と同じ構造を有し、及び/又はRFケーブル114と同じ機能を実施し、及び/又はRFケーブル114と異なる識別コードを有する。
RFケーブル162、164、170、インピーダンス整合回路156、RF伝送路172、及びプラズマチャンバ158は、プラズマシステム150のツール152の部分である。
様々な実施形態において、ゴールデンツールであるツール133(図2A)は、ツール152によって提供されるよりも高い歩留まりを提供する。
xMHzRF発生器は、RF電力を生成し、該RF電力を、エッチングのためにウエハ178が上に置かれているチャック174に、出力160、RFケーブル162、インピーダンス整合回路156、及びRF伝送路172を通じて供給する。更に、yMHzRF発生器は、RF電力を生成し、該RF電力を、出力164、RFケーブル166、インピーダンス整合回路156、及びRF伝送路172を通じてチャック174に供給する。また、zMHzRF発生器は、RF電力を生成し、該RF電力を、出力168、RFケーブル170、インピーダンス整合回路156、及びRF伝送路172を通じてチャック174に供給する。プロセスガスが、ガス供給部からガス送り部及び上部電極176のガス入口を経て上部電極176とチャック174との間の空間に供給され、チャック174が、xMHzRF発生器及び/又はyMHzRF発生器及び/又はzMHzRF発生器によって供給されるRF信号からRF電力を受け取ると、プロセスガスは、プラズマチャンバ158内で励起されてプラズマを発生させる。
複素インピーダンスセンサ154は、xMHzRF発生器によって出力160を通じてRF信号が供給されたときにおける、電圧振幅、電流振幅、及び電圧振幅と電流振幅との間の位相を測定する。同様に、出力164に接続された別の複素インピーダンスセンサ(不図示)が、yMHzRF発生器によって出力164を通じてRF信号が供給されたときにおける、電圧振幅、電流振幅、及び電圧振幅と電流振幅との間の位相を測定する。また、出力168に接続された複素インピーダンスセンサ(不図示)が、zMHzRF発生器によって出力168を通じてRF信号が供給されたときにおける、電圧振幅、電流振幅、及び電圧振幅と電流振幅との間の位相を測定する。
一部の実施形態では、プラズマシステム150のRF発生器によって該RF発生器の出力を通じてインピーダンス整合回路156に供給されるRF信号の周波数を、同RF発生器の同出力に接続された周波数センサが測定する。様々な実施形態において、プラズマシステム150のRF発生器の出力に接続された周波数センサは、同出力に接続された複素インピーダンスセンサ内に一体化されている。
ケーブル212を通じて複素インピーダンスセンサ154に接続されたプロセッサ128は、複素インピーダンスセンサ154によって測定される複素電圧・電流、及び/又は出力160に接続された周波数センサによって測定される周波数を、ケーブル212を通じて受信し、出力164に接続された複素インピーダンスセンサによって測定される複素電圧・電流、及び/又は出力164に接続された周波数センサによって測定される周波数を受信し、出力168に接続された複素インピーダンスセンサによって測定される複素電圧・電流、及び/又は出力168に接続された周波数センサによって測定される周波数を受信する。
プロセッサ128は、複素インピーダンスセンサ154から受信された複素電圧・電流に基づいて、及び/又は周波数センサから受信された周波数に基づいて、プラズマシステム150に関係付けられるエッチング速度を決定する。例えば、プロセッサ128は、プラズマシステム100に関係付けられるエッチング速度を決定するのと同様なやり方で、プラズマシステム150に関係付けられるエッチング速度を決定する。別の例として、プロセッサ128は、C112+C122に等しいものとしてエッチング速度ER1501を算出し、ここで、V2は、複素インピーダンスセンサ154によって測定される電圧振幅であり、I2は、複素インピーダンスセンサ154によって測定される電流振幅である。別の例として、エッチング速度ER1502が、C212+C222+C232 2+C2422+C252 2に等しいものとして算出される。更に別の例として、エッチング速度ER1503が、C312+C322+C332 2+C3422+C352 2+C362 3+C372 22+C3822 2+C392 3に等しいものとして算出される。別の例として、エッチング速度ER1504が、C412+C422+C432 2+C4422+C452 2+C462 3+C472 22+C4822 2+C492 3+C502 4+C512 32+C522 22 2+C532 12 3+C542 4に等しいものとして算出される。別の例としては、プロセッサ128は、nを整数として、エッチング速度ER150nを、やはりn次多項式であるテイラー級数展開に等しいものとして算出する。例示すると、プロセッサ128は、エッチング速度150nを、一定の値に収束するn次多項式として算出する。
別の例として、プロセッサ128は、エッチング速度ER1505を、C552 e1+C562 e2+C572として決定し、ここで、P2は、電圧振幅V2と、電流振幅I2と、電流振幅I2と電圧振幅V2との間の位相であるφ2との積である。電力P2は、プロセッサ128によって算出される。更に別の例として、エッチング速度ER1506は、C552 e1+C562 e2+C572+C582として算出され、ここで、F2は、xMHzRF発生器の出力160に接続された周波数センサによって測定される周波数である。一部の実施形態では、出力160に接続された周波数センサは、複素インピーダンスセンサ154内に一体化されている。様々な実施形態において、出力160に接続された周波数センサは、複素インピーダンスセンサ154とは別個であり、複素インピーダンスセンサ154の外にある。周波数F2は、出力160に接続された周波数センサに接続されたケーブルを通じてプロセッサ128によって受信される。
更に別の例として、エッチング速度ER1507は、C552 e1+C562 e2+C572+C582として算出される。別の例として、エッチング速度ER1508は、C592 2+C602 2+C612+C62222+C632 2+C6422+C6522+C662 22+C672 22として決定される。別の例として、エッチング速度ER1509は、C6822+C692+C702 2+C7122+C7222 2+C7322 2+C7422 3+C7522 3+C76222+C772 222+C782 2+C792 2として決定される。
プロセッサ128は、ツール133(図2A)を使用して決定されたエッチング速度が、ツール152を使用して決定されたエッチング速度と同じであるか又はその制限内であるかどうかを決定するために、ツール133を使用して決定されたエッチング速度を、ツール152を使用して決定されたエッチング速度と比較する。例えば、プロセッサ128は、エッチング速度ER1001が、エッチング速度ER1501に一致するか又はその制限内であるかどうかを決定する。別の例として、プロセッサ128は、エッチング速度ER1002が、エッチング速度ER1502に一致するか又はその制限内であるかどうかを決定する。更に別の例として、プロセッサ128は、エッチング速度ER1003が、エッチング速度ER1503に一致するか又はその制限内であるかどうかを決定する。別の例として、プロセッサ128は、エッチング速度ER1004が、エッチング速度ER15014に一致するか又はその制限内であるかどうかを決定する。別の例として、プロセッサ128は、エッチング速度ER1005が、エッチング速度ER1505に一致するか又はその制限内であるかどうかを決定する。更に別の例として、プロセッサ128は、エッチング速度ER1006が、エッチング速度ER1506に一致するか又はその制限内であるかどうかを決定する。別の例として、プロセッサ128は、エッチング速度ER1007が、エッチング速度ER1507に一致するか又はその制限内であるかどうかを決定する。尚も別の例として、プロセッサ128は、エッチング速度ER1008が、エッチング速度ER1508に一致するか又はその制限内であるかどうかを決定する。別の例として、プロセッサ128は、エッチング速度ER1009が、エッチング速度ER1509に一致するか又はその制限内であるかどうかを決定する。別の例として、プロセッサ128は、エッチング速度ER100nが、エッチング速度ER150nに一致するか又はその制限内であるかどうかを決定する。
ツール133を使用して決定されたエッチング速度が、ツール152を使用して決定されたエッチング速度と同じである又はその制限内であると決定されなかった際に、プロセッサ128は、電圧V2及び/又は電流I2及び/又は電力P2及び/又は周波数F2を調整するために、xMHzRF発生器に信号を送信する。プロセッサ128は、電圧V2及び/又は電流I2及び/又は電力P2及び/又は周波数F2を調整して、ツール133を使用して決定されたエッチング速度の実現を促すために、上記信号をxMHzRF発生器に送信する。例えば、エッチング速度ER1501が、エッチング速度ER1001に一致しない又はその制限範囲内でないときに、プロセッサ128は、電圧V2及び/又は電流I2を変化させて、エッチング速度ER1001へのエッチング速度ER1501の一致を促すために、xMHzRF発生器に信号を送信する。別の例として、エッチング速度ER1505が、エッチング速度ER1005に一致しない又はその制限範囲内でないときに、プロセッサ128は、電圧V2及び/又は電流I2及び/又は電力P2を変化させて、エッチング速度ER1005へのエッチング速度ER1505の一致を促すために、xMHzRF発生器に信号を送信する。更に別の例として、エッチング速度ER1506が、エッチング速度ER1006に一致しない又はその制限範囲内でないときに、プロセッサ128は、電圧V2及び/又は電流I2及び/又は電力P2及び/又は周波数F2を変化させて、エッチング速度ER1006へのエッチング速度ER1506の一致を促すために、xMHzRF発生器に信号を送信する。
尚も別の例として、エッチング速度ER1507が、エッチング速度ER1007に一致しない又はその制限範囲内でないときに、プロセッサ128は、電圧V2及び/又は電流I2及び/又は電力P2及び/又は周波数F2を変化させて、エッチング速度ER1007へのエッチング速度ER1507の一致を促すために、xMHzRF発生器に信号を送信する。別の例として、エッチング速度ER1508が、エッチング速度ER1008に一致しない又はその制限範囲内でないときに、プロセッサ128は、電圧V2及び/又は電流I2及び/又は電力P2及び/又は周波数F2を変化させて、エッチング速度ER1008へのエッチング速度ER1508の一致を促すために、xMHzRF発生器に信号を送信する。別の例として、エッチング速度ER150nが、エッチング速度ER100nに一致しない又はその制限範囲内でないときに、プロセッサ128は、電圧V2及び/又は電流I2及び/又は電力P2及び/又は周波数F2を変化させて、エッチング速度ER100nへのエッチング速度ER150nの一致を促すために、xMHzRF発生器に信号を送信する。尚も別の例として、エッチング速度ER100nが、エッチング速度ER100n未満である及び/又はエッチング速度ER100nの制限外であるときに、プロセッサ128は、xMHzRF発生器、yMHzRF発生器、及びzMHzRF発生器のうちの1つ以上によって供給される対応する1つ以上のRF信号の電力を引き上げるために、xMHzRF発生器、yMHzRF発生器、及びzMHzRF発生器のうちの1つ以上に信号を送信する。電力は、エッチング速度ER100nを実現するために、及び/又はエッチング速度をエッチング速度ER100nの制限内にするために引き上げられる。尚も別の例として、エッチング速度ER100nが、エッチング速度ER100nを超える及び/又はエッチング速度ER100nの制限外であるときに、プロセッサ128は、xMHzRF発生器、yMHzRF発生器、及びzMHzRF発生器のうちの1つ以上によって供給される対応する1つ以上のRF信号の電力を引き下げるために、xMHzRF発生器、yMHzRF発生器、及びzMHzRF発生器のうちの1つ以上に信号を送信する。電力は、エッチング速度ER100nを実現するために、及び/又はエッチング速度をエッチング速度ER100nの制限内にするために引き下げられる。
線路220を通じてプロセッサ128から信号を受信した際に、xMHzRF発生器は、ツール133を使用して決定されたエッチング速度を実現するために、xMHzRF発生器によって生成される電圧V2及び/又は電流I2及び/又は電力P2及び/又は周波数F2を、例えば増加させる、減少させるなどのように調整する。例えば、エッチング速度100nを実現するために、xMHzRF発生器は、ウエハ178が置かれているプラズマチャンバ158に出力160、RFケーブル162、インピーダンス整合回路156、及びRF伝送路172を通じて送達又は供給される電力の振幅を調整する。別の例として、エッチング速度100nを実現するために、xMHzRF発生器は、プラズマチャンバ158からRF伝送路172、インピーダンス整合回路156、RFケーブル162、及び出力160を通じてxMHzRF発生器に向かって反射される電力の振幅を調整する。別の例として、エッチング速度100nを実現するために、xMHzRF発生器は、出力160、RFケーブル162、インピーダンス整合回路156、及びRF伝送路172を通じてプラズマチャンバ158に供給されるRF信号の電圧の振幅及び/又は同RF信号の電流の振幅を調整する。別の例として、エッチング速度100nを実現するために、xMHzRF発生器は、出力160、RFケーブル162、インピーダンス整合回路156、及びRF伝送路172を通じてプラズマチャンバ158に供給されるRF信号の周波数を調整する。
更に別の例として、エッチング速度ER1505からエッチング速度1005を実現するために、xMHzRF発生器は、出力160を通じて供給されるRF信号の電流の振幅、並びに/又は同RF信号の電圧の振幅、並びに/又はウエハ178が置かれているプラズマチャンバ158に出力160、RFケーブル162、インピーダンス整合回路156、及びRF伝送路172を通じてRF信号の形で送達若しくは供給される電力の振幅を調整する。別の例として、エッチング速度ER1505からエッチング速度1005を実現するために、xMHzRF発生器は、出力160を通じて供給されるRF信号の電流の振幅、並びに/又は同RF信号の電圧の振幅、並びに/又はプラズマチャンバ158からRF伝送路172、インピーダンス整合回路156、RFケーブル162、及び出力160を通じてxMHzRF発生器に向かって反射される電力の振幅を調整する。
別の例として、エッチング速度ER1506からエッチング速度ER1006を実現するために、xMHzRF発生器は、出力160を通じて供給されるRF信号の電流の振幅、並びに/又は同RF信号の周波数、並びに/又は同RF信号の電圧の振幅、並びに/又はウエハ178が置かれているプラズマチャンバ158に出力160、RFケーブル162、インピーダンス整合回路156、及びRF伝送路172を通じてRF信号の形で送達若しくは供給される電力の振幅を調整する。別の例として、エッチング速度ER1506からエッチング速度1006を実現するために、xMHzRF発生器は、出力160を通じて供給されるRF信号の電流の振幅、並びに/又は同RF信号の周波数、並びに/又は同RF信号の電圧の振幅、並びに/又はプラズマチャンバ158からRF伝送路172、インピーダンス整合回路156、RFケーブル162、及び出力160を通じてxMHzRF発生器に向かって反射される電力の振幅を調整する。
別の例として、エッチング速度ER1507からエッチング速度ER1007を実現するために、xMHzRF発生器は、出力160を通じて供給されるRF信号の電流の振幅、並びに/又は同RF信号の周波数、並びに/又は同RF信号の電圧の振幅、並びに/又はウエハ178が置かれているプラズマチャンバ158に出力160、RFケーブル162、インピーダンス整合回路156、及びRF伝送路172を通じてRF信号の形で送達若しくは供給される電力の振幅を調整する。別の例として、エッチング速度ER1507からエッチング速度1007を実現するために、xMHzRF発生器は、出力160を通じて供給されるRF信号の電流の振幅、並びに/又は同RF信号の周波数、並びに/又は同RF信号の電圧の振幅、並びに/又はプラズマチャンバ158からRF伝送路172、インピーダンス整合回路156、RFケーブル162、及び出力160を通じてxMHzRF発生器に向かって反射される電力の振幅を調整する。
別の例として、エッチング速度ER1508からエッチング速度ER1008を実現するために、xMHzRF発生器は、出力160を通じて供給されるRF信号の電流の振幅、並びに/又は同RF信号の周波数、並びに/又は同RF信号の電圧の振幅、並びに/又はウエハ178が置かれているプラズマチャンバ158に出力160、RFケーブル162、インピーダンス整合回路156、及びRF伝送路172を通じてRF信号の形で送達若しくは供給される電力の振幅を調整する。別の例として、エッチング速度ER1508からエッチング速度1008を実現するために、xMHzRF発生器は、出力160を通じて供給されるRF信号の電流の振幅、並びに/又は同RF信号の周波数、並びに/又は同RF信号の電圧の振幅、並びに/又はプラズマチャンバ158からRF伝送路172、インピーダンス整合回路156、RFケーブル162、及び出力160を通じてxMHzRF発生器に向かって反射される電力の振幅を調整する。
ツール152を使用して決定されたエッチング速度が、ツール133を使用して決定されたエッチング速度に一致する又はその制限内であると決定されたときに、エッチング速度のチャンバ間整合が達成される。例えば、エッチング速度ER150nが、エッチング速度ER100nに等しい又はその制限内であるときに、プロセッサ128は、xMHzRF発生器、yMHzRF発生器、及びzMHzRF発生器のうちの1つ以上によって供給される対応する1つ以上のRF信号の電力を維持するために、xMHzRF発生器、yMHzRF発生器、及びzMHzRF発生器のうちの1つ以上に信号を送信する。電力は、エッチング速度ER100nを実現するために、及び/又はエッチング速度をエッチング速度ER100nの制限内にするために維持される。
一部の実施形態では、ホストシステム130のプロセッサ128は、プラズマチャンバ118内及びプラズマチャンバ158内で一定のエッチング速度が維持される期間中、プラズマチャンバ118内及びプラズマチャンバ158内でプラズマを発生させるためのレシピを経時的に一定に維持する。例えば、プロセッサ128は、プラズマチャンバ118内及びプラズマチャンバ158内で圧力を同じ又若しくは同様に維持する、並びに/又はプラズマチャンバ118内及びプラズマチャンバ158内で温度を同じ若しくは同様に維持する、並びに/又はプラズマチャンバ118内及びプラズマチャンバ158内でxMHzRF発生器の周波数を同じ若しくは同様に維持する、並びに/又はプラズマチャンバ118内及びプラズマチャンバ158内でyMHzRF発生器の周波数を同じ若しくは同様に維持する、並びに/又はプラズマチャンバ118内及びプラズマチャンバ158内でzMHzRF発生器の周波数を同じ若しくは同様に維持する、並びに/又はプラズマチャンバ118内及びプラズマチャンバ158内で1種以上のプロセスガスの化学的性質を同じ若しくは同様に維持する、並びに/又は上部電極122とチャック120(図2A)との間の第1のギャップ及び上部電極176とチャック174との間の第2のギャップを同じ若しくは同様な大きさに維持する。この例では、圧力が互いの一定範囲内であるときに、プラズマチャンバ118内の圧力は、プラズマチャンバ158内の圧力と同様である。更に、この例では、温度が互いの一定範囲内であるときに、プラズマチャンバ118内の温度は、プラズマチャンバ158内の温度と同様である。また、この例では、周波数が互いの一定範囲内であるときに、プラズマシステム100のxMHzRF発生器の周波数は、プラズマシステム150のxMHzRF発生器の周波数と同様であり、周波数が互いの一定範囲内であるときに、プラズマシステム100のyMHzRF発生器の周波数は、プラズマシステム150のyMHzRF発生器の周波数と同様であり、周波数が互いの一定範囲内であるときに、プラズマシステム100のzMHzRF発生器の周波数は、プラズマシステム150のzMHzRF発生器の周波数と同様である。更に、この例では、第1のギャップ及び第2のギャップが互いの一定範囲内であるときに、第1のギャップは、第2のギャップと同様な大きさである。
多岐にわたる実施形態では、プラズマチャンバ118及びプラズマチャンバ158のそれぞれにおける各プロセスガスの量が既定の範囲内に維持されるときに、並びに/又はプラズマチャンバ118及びプラズマチャンバ158のそれぞれにおける複数のプロセスガスの組み合わせが既定の範囲内に維持されるときに、プラズマチャンバ118内とプラズマチャンバ158内とで同様な化学的性質が維持される。一部の実施形態では、プラズマチャンバ118及びプラズマチャンバ158のそれぞれにおける複数のプロセスガスの組み合わせが既定の範囲内に維持されるときに、プラズマチャンバ118内とプラズマチャンバ158内とで同様な化学的性質が維持される。
一部の実施形態では、プラズマシステム150において任意の数のRF発生器が使用されることが、留意されるべきである。
図4Aは、エッチング速度を決定するために使用される、電圧及び電流及び/若しくは電力の係数、並びに/又は電圧及び電流及び/若しくは電力の指数、並びに/又は周波数を決定するための、プラズマシステム250の一実施形態のブロック図である。プラズマシステム250は、プラズマシステム100(図2A)と、エッチング速度測定機器(ERMD)252とを含む。ERMD252は、ケーブル254を通じてプロセッサ128に接続され、プラズマチャンバ254の窓256を通る見通し線を有する。該見通し線は、プラズマチャンバ118内でプラズマが発生する空間内へ方向付けられる。例えば、ERMD252は、プラズマによって発せられて窓256を通る放射の強度を測定するために、プラズマチャンバ118内のプラズマを監視する分光光度計を含む。一部の実施形態では、窓256は、プラズマによって発せられた光が通過することを可能にする例えばガラスなどの透明材料で作成される。様々な実施形態において、窓256は、半透明窓である。強度は、プラズマによって消耗されるダミーウエハ124の層のエッチング速度に正比例する。プロセッサ128は、測定された強度をケーブル254を通じて受信し、強度に正比例するものとしてエッチング速度を決定する。別の例として、レシピが既知である場合は、ERMD252は、時刻tm1においてダミーウエハ124の厚さを測定し、時刻tm1の後で且つダミーウエハ124のエッチングの後である時刻tm2においてダミーウエハ124の厚さを測定する。ERMD252は、ダミーウエハ124のエッチング速度ER2501を、時刻tm2における厚さと時刻tm1における厚さとの差を時刻tm2と時刻tm1との差で割った比率として決定する。エッチング速度ER2501は、ケーブル254を通じてERMD252によってプロセッサ128に提供される。一部の実施形態では、ERMD252がエッチング速度ER2701を決定する代わりに、プロセッサ128が、測定された強度からエッチング速度ER2501を決定し、該エッチング速度ER2501を、記憶させるためにメモリデバイス132に提供する。既知のレシピの例は、プラズマチャンバ118内で維持される圧力、プラズマチャンバ118内で維持される温度、上部電極122とチャック120との間のギャップ、xMHzRF発生器、yMHzRF発生器、及びzMHzRF発生器によって供給される電力の大きさ、xMHzRF発生器、yMHzRF発生器、及びzMHzRF発生器の周波数、又はそれらの組み合わせを含む。
上述のように、複素インピーダンスセンサ118は、複素電圧・電流の測定値をケーブル127を通じてプロセッサ128に提供する。プロセッサ128は、複素電圧・電流の測定値を受信し、該測定値から電圧振幅V3及び電流振幅I3及び/又は電力振幅P3を抽出し、これらの電圧振幅V3及び電流振幅I3及び電力振幅P3を、記憶させるためにメモリデバイス132に提供する。例えばプロセッサ128は、電力振幅P3を、電圧振幅V3と、電流振幅I3と、電圧振幅V3と電流振幅I3との間の位相であるφ3とを乗じた積として算出する。一部の実施形態では、電圧振幅V3は電圧振幅V1と同じであり、電流振幅I3は電流振幅I1と同じであり、電力振幅P3は電力振幅P1と同じであり、位相φ3はプラズマシステム100を使用して決定される位相φ1と同じである。一部の実施形態では、出力102に接続された周波数センサが、xMHzRF発生器によって供給されるRF信号の周波数F3を測定し、該周波数F3を、周波数センサをプロセッサ128に接続しているケーブルを通じてプロセッサ128に提供する。様々な実施形態において、周波数F3は、周波数F1と同じである。
一部の実施形態では、エッチング速度を決定するために使用される、電圧及び電流及び/若しくは電力の係数、並びに/又は電圧及び電流及び/若しくは電力の指数、並びに/又は周波数を決定するために、プラズマシステム100に代わって別のプラズマシステムが使用される。例えば、インピーダンス整合回路104と同じ構造及び/若しくは同じ機能を有する、並びに/又はインピーダンス整合回路104と異なる識別番号を有するインピーダンス整合回路が、インピーダンス整合回路104に代わって使用される。別の例として、ケーブル106と同じ構造及び/若しくは同じ機能を有する、並びに/又はケーブル106と異なる識別番号を有するケーブルが、ケーブル106に代わって使用される。更に別の例として、RF伝送路116と同じ構造及び/若しくは同じ機能を有する、並びに/又はRF伝送路116と異なる識別番号を有するRF伝送路が、RF伝送路116に代わって使用される。別の例として、プラズマチャンバ118と同じ構造及び/若しくは同じ機能を有する、並びに/又はプラズマチャンバ118と異なる識別番号を有するプラズマチャンバが、プラズマチャンバ118に代わって使用される。
様々な実施形態において、プラズマチャンバ118内のプラズマの強度を測定するために、及び出力102における複素電圧・電流の測定値を測定するために、xMHzRF発生器、yMHzRF発生器、及び/又はzMHzRF発生器がRF信号を生成するときに、プラズマシステム250において、ダミーウエハ124に代わって半導体ウエハが使用される。
幾つかの実施形態において、プラズマシステム250は、任意の数のRF発生器を含む。
図4Bは、エッチング速度を決定するために使用される、電圧及び電流及び/若しくは電力の係数、並びに/又は電圧及び電流及び/若しくは電力の指数、並びに/又は周波数を決定するための、プラズマシステム270の一実施形態のブロック図である。プラズマシステム270は、プラズマチャンバ158において半導体ウエハ178(図2B)の代わりにダミーウエハ276が使用されることを除き、プラズマシステム150(図2B)と同じである。更に、プラズマシステム270は、エッチング速度ER2701を測定するERMD272を含む。エッチング速度ER2701は、ERMD272によってケーブル274を通じてプロセッサ128に提供される。
様々な実施形態において、エッチング速度ER2071を決定する代わりに、ERMD272は、プラズマチャンバ158内で発生するプラズマによって発せられる光の強度を窓273を介して測定し、該測定された強度を、ケーブル274を通じてプロセッサ128に提供する。ケーブル274は、ERMD274をプロセッサ128に接続している。一部の実施形態では、プロセッサ128は、エッチング速度ER2701を、測定された強度に正比例するものとして決定し、該エッチング速度ER2701を、記憶させるためにメモリデバイス132に提供する。
プロセッサ128は、また、複素インピーダンスセンサ154によって出力160において測定される複素電圧・電流の測定値を受信し、該複素電圧・電流から電圧振幅V4及び電流振幅I4及び/又は電力振幅P4を抽出し、これらの電圧振幅V4及び電流振幅I4及び/又は電力振幅P4を、記憶させるためにメモリデバイス132に提供する。一部の実施形態では、電圧V4振幅は、電圧振幅V2と同じであり、電流振幅I4は、電流振幅I2と同じであり、電力振幅P4は、電力振幅P2と同じである。
一部の実施形態では、出力160に接続された周波数センサが、xMHzRF発生器によって供給されるRF信号の周波数F4を測定する。一部の実施形態では、周波数F4は、周波数F2と同じである。
一部の実施形態では、エッチング速度の例えば係数や指数などの未知の値を決定するために、任意の数のプラズマシステムが使用される。例えば、未知の値の数は、これらの未知の値を決定するために使用されるプラズマシステムの数に等しい。更に別の例では、プロセッサ128は、プラズマシステム250(図4A)を使用して決定されるエッチング速度ER2501と、エッチング速度ER2701と、電圧V3及びV4と、電流I3及びI4とに基づいて、電圧V1の及び電流I1の係数を決定する。例示すると、プロセッサ128は、C113+C123に等しいエッチング速度ER2501及びC114+C124に等しいエッチング速度ER2701において係数C11及びC12について解くことによって、係数C11及びC12を決定する。
別の例として、プロセッサ128は、プラズマシステム250(図4A)を使用して決定されるエッチング速度ER2501と、エッチング速度ER2701と、追加の3つのプラズマシステムを使用して決定される追加の3つのエッチング速度と、電圧V3及びV4と、追加の3つのプラズマシステムを使用して決定される追加の3つの電圧V5及びV6及びV7と、電流I3及びI4と、追加の3つのプラズマシステムを使用して決定される追加の3つの電流I5及びI6及びI7とに基づいて、電圧V1の及び電流I1の係数C21、C22、C23、C24、及びC25を決定する。例示すると、プロセッサは、C213+C223+C233 2+C2433+C253 2に等しいエッチング速度ER2501、C214+C224+C234 2+C2444+C254 2に等しいエッチング速度ER2701、追加の3つのエッチング速度のうちでC215+C225+C235 2+C2455+C255 2に等しい第1のエッチング速度、追加の3つのエッチング速度のうちでC216+C226+C236 2+C2466+C256 2に等しい第2のエッチング速度、及び追加の3つのエッチング速度のうちでC217+C227+C237 2+C2477+C257 2に等しい第3のエッチング速度において、係数C21、C22、C23、C24、及びC25について解くことによって、係数C21、C22、C23、C24、及びC25を決定する。
尚も別の例では、プロセッサ128は、電圧V1の係数C55、電力P1の係数C56、電流I1の係数C57、電圧V1の指数e1、及び電力P1の指数e2を決定する。プロセッサ128は、プラズマシステム250(図4A)を使用して決定されるエッチング速度ER2501と、エッチング速度ER2701と、追加の3つのプラズマシステムを使用して決定される追加の3つのエッチング速度と、電圧振幅V3及びV4と、追加の3つのプラズマシステムを使用して決定される追加の3つの電圧振幅V5及びV6及びV7と、電流振幅I3及びI4と、追加の3つのプラズマシステムを使用して決定される追加の3つの電流振幅I5及びI6及びI7と、電力振幅P3及びP4と、追加の3つのプラズマシステムを使用して決定される追加の3つの電力振幅P5及びP6及びP7とに基づいて、係数C55、C56、及びC57と、指数e1及びe2とを決定する。
別の例として、プロセッサ128は、電圧V1の係数C55、電力P1の係数C56、電流I1の係数C57、周波数F1の係数C58、電圧V1の指数e1、電力P1の指数e2、及び電流I1の指数e3を決定する。プロセッサ128は、プラズマシステム250(図4A)を使用して決定されるエッチング速度ER2501と、エッチング速度ER2701と、追加の6つのプラズマシステムを使用して決定される追加の6つのエッチング速度と、電圧振幅V3及びV4と、追加の6つのプラズマシステムを使用して決定される追加の6つの電圧振幅V5及びV6及びV7及びV8及びV9及びV10と、電流振幅I3及びI4と、追加の6つのプラズマシステムを使用して決定される追加の6つの電流振幅I5及びI6及びI7及びI8及びI9及びI10と、電力振幅P3及びP4と、追加の6つのプラズマシステムを使用して決定される追加の6つの電力振幅P5及びP6及びP7及びP8及びP9及びP10と、周波数F3及びF4と、追加の6つのプラズマシステムを使用して決定される追加の6つの周波数F5及びF6及びF7及びF8及びF9及びF10とに基づいて、係数C55、C56、C57、及びC58と、指数e1、e2、及びe3とを決定する。
一部の実施形態では、エッチング速度を決定するために使用される、電圧振幅の係数及び/若しくは指数、並びに/又は電流振幅の係数及び/若しくは指数、並びに/又は電力振幅の係数及び/若しくは指数、並びに/又は周波数の係数及び/若しくは指数を決定するために、プラズマシステム270に代わって別のプラズマシステムが使用される。例えば、インピーダンス整合回路156と同じ構造及び/若しくは同じ機能を有する、並びに/又はインピーダンス整合回路156と異なる識別番号を有するインピーダンス整合回路が、インピーダンス整合回路156に代わって使用される。別の例として、ケーブル162と同じ構造及び/若しくは同じ機能を有する、並びに/又はケーブル162と異なる識別番号を有するケーブルが、ケーブル162に代わって使用される。更に別の例として、RF伝送路172と同じ構造及び/若しくは同じ機能を有する、並びに/又はRF伝送路172と異なる識別番号を有するRF伝送路が、RF伝送路172に代わって使用される。別の例として、プラズマチャンバ158と同じ構造及び/若しくは同じ機能を有する、並びに/又はプラズマチャンバ158と異なる識別番号を有するプラズマチャンバが、プラズマチャンバ158に代わって使用される。
様々な実施形態において、プラズマチャンバ158内のプラズマの強度を測定するために、及び出力160における複素電圧・電流の測定値を測定するために、xMHzRF発生器、yMHzRF発生器、及び/又はzMHzRF発生器がRF信号を生成するときに、プラズマシステム270において、ダミーウエハ276に代わって半導体ウエハが使用される。
図5は、zMHzRF発生器が例えば電力を供給している、作動している、機能しているなどのように稼働しており、xMHzRF発生器及びyMHzRF発生器が例えば電力を供給していないなどのように稼働していないときにおける、例えば二次多項式エッチング速度、三次多項式エッチング速度、四次多項式エッチング速度などのエッチング速度モデルの平均値対ERMDを使用して決定された測定エッチング速度の平均値のグラフの一実施形態290である。ERMDを使用して決定された平均測定エッチング速度は、x軸に沿ってプロットされ、平均エッチング速度モデルは、y軸に沿ってプロットされる。グラフ290の各平均エッチング速度モデルの各点を通るように、最良適合直線が引かれてよい。図に示されるように、グラフ290における二次多項式平均エッチング速度モデルは、0.9997の決定係数(R2)を有し、グラフ290における三次多項式平均エッチング速度モデルは、0.9999のR2を有し、グラフ290における四次多項式平均エッチング速度モデルは、0.9997のR2を有する。R2が大きいほど、エッチング速度モデルの平均値の正確さが増す。
図6は、zMHzRF発生器が稼働しておりxMHzRF発生器及びyMHzRF発生器が稼働していないときにおける、エッチング速度モデルの平均値の誤差対ERMDを使用して測定された平均エッチング速度のグラフの一実施形態292である。グラフ292における「x」、星印「*」、及び頂点が右を指している三角形は、2つ以上の異なるツールが使用されたときにおける、エッチング速度モデルの平均値の誤差対測定エッチング速度の平均値を表している。グラフ292における丸印、四角形、及び頂点が左を差している三角形は、上記2つ以上の異なるツールではない独立ツールが使用されたときにおける、エッチング速度モデルの平均値の誤差対測定エッチング速度の平均値を表している。
図7Aは、グラフの実施形態302及び304を示している。グラフ302及びグラフ304は、それぞれ、yMHzRF発生器が稼働しておりxMHzRF発生器及びzMHzRF発生器が稼働していないときにおける、ERMDを使用して測定された平均エッチング速度対モデル化エッチング速度をプロットしたものである。測定エッチング速度は、y軸にプロットされ、モデル化エッチング速度は、x軸にプロットされている。グラフ302における星印「*」は、3つの異なるツールが使用されたときにおける、平均測定エッチング速度対モデル化エッチング速度を表している。グラフ302における丸印は、上記3つのツールではない独立ツールが使用されたときにおける、測定エッチング速度対モデル化エッチング速度を表している。なお、グラフ302における星印「*」が概ね直線に適合することが、留意されるべきである。グラフ304は、グラフ302の一部分を拡大したものである。
図7Bは、グラフの実施形態306及び308を示している。グラフ306及びグラフ308は、それぞれ、zMHzRF発生器が稼働しておりxMHzRF発生器及びyMHzRF発生器が稼働していないときにおける、ERMDを使用して測定された平均エッチング速度対モデル化エッチング速度をプロットしたものである。グラフ306における星印「*」は、3つの異なるツールが使用されたときにおける、測定エッチング速度対モデル化エッチング速度を表している。グラフ306における丸印は、上記3つのツールではない独立ツールが使用されたときにおける、測定エッチング速度対モデル化エッチング速度を表している。なお、グラフ306における星印「*」が概ね直線に適合することが、留意されるべきである。グラフ308は、グラフ306の一部分を拡大したものである。
一部の実施形態では、複素インピーダンスセンサをRF発生器の出力に接続する代わりに、複素インピーダンスセンサは、RF発生器の出力に接続されたインピーダンス整合回路の入力に接続される。これらの実施形態では、上記インピーダンス整合回路の入力に、周波数センサが接続される。
一部の実施形態では、複素インピーダンスセンサをRF発生器の出力に接続する代わりに、複素インピーダンスセンサは、RF発生器の出力に接続されたインピーダンス整合回路の出力に接続される。これらの実施形態では、上記インピーダンス整合回路の出力に、周波数センサが接続される。
上述された実施形態は、エッチング速度の観点から説明されているが、様々な実施形態において、エッチング速度の代わりにスパッタリング速度を使用することが可能である。例えば、一部の実施形態では、スパッタリング速度とエッチング速度とが、本明細書において区別なく使用される。
上記の実施形態は、RF発生器の出力における複素電圧・電流に言及して説明されているが、上記の実施形態は、インピーダンス整合回路104(図2A&図2B)の入力における複素電圧・電流にも、並びにインピーダンス整合回路156(図4A&図4B)の入力における複素電圧・電流にも等しく適用されることが、留意されるべきである。例えば、出力102において測定される複素電圧・電流は、インピーダンス整合回路104の入力161における複素電圧・電流と同じである(図2A&図4A)。別の例として、出力160(図2B&図4B)において測定される複素電圧・電流は、インピーダンス整合回路104の入力161(図2B&図4B)における複素電圧・電流と同じである。更に別の例として、出力102において決定される複素電圧・電流に基づいて決定されるモデルエッチング速度は、入力161におけるモデルエッチング速度と同じである。
更に、上述された動作は、例えば容量結合プラズマチャンバなどの平行平板プラズマチャンバに言及して説明されているが、一部の実施形態では、上述された動作は、例えばICPリアクタ、TCPリアクタ、導体ツール、誘電体ツールを含むプラズマチャンバや、ECRリアクタを含むプラズマチャンバなどの、その他のタイプのプラズマチャンバにも適用されることが、留意されるべきである。例えば、xMHzRF発生器、yMHzRF発生器、及びzMHzRF発生器は、ICPプラズマチャンバ内で導体に接続される。
また、上記の動作は、プロセッサ128(図2A、図2B、図4A、及び図4B)によって実施されるものとして説明されているが、一部の実施形態では、上記の動作は、ホストシステム130の1つ以上のプロセッサによって、又は複数のホストシステムの複数のプロセッサによって、又はRF発生器のDSPとホストシステムのプロセッサとの組み合わせによって実施されてよいことが、留意されるべきである。
上述された実施形態は、プラズマチャンバのチャックの下部電極にRF信号を提供すること、及びプラズマチャンバの上部電極を接地することに関連しているが、幾つかの実施形態では、RF信号が上部電極に提供される一方で下部電極が接地されることが、留意されるべきである。
本明細書で説明される実施形態は、ハンドヘルドデバイス、マイクロプロセッサシステム、マイクロプロセッサベースの若しくはプログラム可能な家庭用電子機器、ミニコンピュータ、メインフレームコンピュータなどを含む様々なコンピュータシステム構成で実施されてよい。これらの実施形態は、また、ネットワークを通じてリンクされた遠隔処理装置によってタスクを実施される分散コンピューティング環境のなかでも実施することができる。
上記の実施形態を念頭におくと、これらの実施形態は、コンピュータシステムに記憶されたデータを伴う様々なコンピュータ実行動作を利用できることが、理解されるべきである。これらの動作は、物理量の物理的操作を必要とする動作である。本明細書で説明されて実施形態の一部を構成しているいずれの動作も、有用なマシン動作である。実施形態は、これらの動作を実施するためのデバイス又は装置にも関する。装置は、特殊用途コンピュータ用に特別に構築されてよい。特殊用途コンピュータとして定義されるときは、そのコンピュータは、特殊用途のために動作可能でありつつも、特殊用途の一部ではないその他の処理、プログラム実行、又はルーチンも実施することができる。或いは、動作は、コンピュータメモリやキャッシュに記憶された又はネットワークを通じて得られる1つ以上のコンピュータプログラムによって選択的にアクティブにされる又は構成される汎用コンピュータによって処理されてよい。ネットワークを通じてデータが得られるときは、そのデータは、例えばコンピューティングリソースのクラウドなどの、ネットワーク上のその他のコンピュータによって処理されてよい。
1つ以上の実施形態を、非一時的なコンピュータ可読媒体上のコンピュータ可読コードとして作成することも可能である。非一時的なコンピュータ可読媒体は、コンピュータシステムによって後ほど読み取り可能なデータを記憶させることができる例えばメモリデバイスなどの任意のデータストレージハードウェアユニットである。非一時的なコンピュータ可読媒体の例には、ハードドライブ、ネットワーク接続ストレージ(NAS)、ROM、RAM、コンパクトディスクROM(CD−ROM)、一度のみ記録可能なCD(CD−R)、書き換え可能なCD(CD−RW)、磁気テープ、並びにその他の光及び非光データストレージハードウェアユニットがある。非一時的なコンピュータ可読媒体としては、コンピュータ可読コードが分散方式で記憶及び実行されるようにネットワーク結合コンピュータシステムに分散されたコンピュータ可読有形媒体が挙げられる。
方法動作は、特定の順序で説明されたが、オーバーレイ動作の処理が所望の形で実施される限り、動作と動作の間にハウスキーピング動作が実施されてもよいこと、僅かに異なる時点において発生するように動作が調整されてよいこと、又は処理に関連付けられた様々な間隔での処理動作の発生を可能にするシステムのなかに動作が分散されてよいことが、理解されるべきである。
いずれの実施形態からの1つ以上の特徴も、本開示に記載された様々な実施形態で説明される範囲から逸脱することなくその他の実施形態の1つ以上の特徴と組み合わされてよい。
以上の発明は、理解を明瞭にする目的で、幾らか詳細に説明されてきたが、添付の特許請求の範囲内で、特定の変更及び修正が可能であることが明らかである。したがって、これらの実施形態は、例示的且つ非限定的であると見なされ、これらの実施形態は、本明細書で与えられた詳細に限定されず、添付の特許請求の範囲及びそれらの均等物の範囲内で変更されてよい。

Claims (23)

  1. モデルエッチング速度に基づいて、エッチング速度のチャンバ間整合を実施するための方法であって、
    第1のプラズマシステムの高周波(RF)発生器の出力において測定される電圧及び電流を受信することと、
    前記電圧及び電流、及び電力に基づいて、第1のモデルエッチング速度を算出することであって、前記電力は、前記電圧及び電流、及び前記電圧と前記電流との間の位相に基づいて算出される、ことと、
    第2のプラズマシステムのRF発生器の出力において測定される電圧及び電流を受信することと、
    前記第2のプラズマシステムの前記RF発生器の前記出力における前記電圧及び電流に基づいて、第2のモデルエッチング速度を決定することと、
    前記第2のモデルエッチング速度を前記第1のモデルエッチング速度と比較することと、
    前記第2のモデルエッチング速度が前記第1のモデルエッチング速度に一致しないことが決定された際に、前記第1のプラズマシステムに関係付けられた前記第1のモデルエッチング速度を実現するために、前記第2のプラズマシステムの前記RF発生器の前記出力における電力を調整することと、
    を備え、
    プロセッサによって実行される方法。
  2. 請求項1に記載の方法であって、更に、
    前記第1のプラズマシステムに関係付けられた前記第1のモデルエッチング速度を実現するために、前記第2のプラズマシステムの前記RF発生器の前記出力における前記電圧又は電流を調整することを備える方法。
  3. 請求項1に記載の方法であって、
    前記第1のモデルエッチング速度を算出するために使用される前記電圧及び電流は、電圧振幅、及び電流振幅、及び前記電圧振幅と前記電流振幅との間の位相を含み、前記モデルエッチング速度を算出するために使用される前記電力は、電力振幅を含み、前記電力振幅は、前記電圧振幅と、前記電流振幅と、前記位相との積として算出される、方法。
  4. 請求項1に記載の方法であって、更に、
    前記第1のプラズマシステムの前記RF発生器の前記出力において測定される周波数を受信することと、
    前記周波数に基づいて、第3のモデルエッチング速度を算出することと、
    前記第2のプラズマシステムの前記RF発生器の前記出力において測定される周波数を受信することと、
    前記第2のプラズマシステムの前記RF発生器の前記出力において測定される前記周波数に基づいて、第4のモデルエッチング速度を算出することと、
    前記第3のモデルエッチング速度を前記第4のモデルエッチング速度と比較することと、
    前記第1のプラズマシステムに関係付けられた前記第3のモデルエッチング速度を実現するために、前記第2のプラズマシステムの前記RF発生器の前記出力における前記電力を調整することと、
    を備える方法。
  5. 請求項4に記載の方法であって、更に、
    前記第1のプラズマシステムに関係付けられた前記第3のモデルエッチング速度を実現するために、前記第2のプラズマシステムの前記RF発生器の前記出力における前記周波数を調整することを備える方法。
  6. 請求項4に記載の方法であって、
    前記第1のプラズマシステムの前記RF発生器の前記出力において測定される前記電圧及び電流、及び前記周波数を受信することは、前記第1のプラズマシステムのプラズマチャンバ内でダミーウエハを使用して実施される、方法。
  7. 請求項1に記載の方法であって、
    前記第1のプラズマシステムの前記RF発生器は、ケーブルを通じてインピーダンス整合回路に接続され、前記インピーダンス整合回路は、RF伝送路を通じてプラズマチャンバに接続される、方法。
  8. 請求項1に記載の方法であって、
    前記第1のプラズマシステムの前記RF発生器の前記出力は、インピーダンス整合回路の入力に接続され、前記出力は、前記インピーダンス整合回路を通じてプラズマチャンバにRF信号を送ることを促すために使用される、方法。
  9. 請求項1に記載の方法であって、
    前記第2のプラズマシステムの前記RF発生器の前記出力における前記電力を調整することは、前記第2のプラズマシステムが半導体ウエハをエッチングするために使用されるときに実施される、方法。
  10. 請求項1に記載の方法であって、
    前記電力を調整することは、前記第2のプラズマシステムの前記RF発生器の前記出力において測定される前記電力を引き上げる又は引き下げることを含む、方法。
  11. 請求項1に記載の方法であって、
    前記第1のプラズマシステムは、前記第2のプラズマシステムのツールと機能的に同一であって前記第2のプラズマシステムの前記ツールと異なる識別情報を有するツールを含む、方法。
  12. 請求項1に記載の方法であって、
    前記第1のプラズマシステムは、前記第2のプラズマシステムのツールと構造的に同一であって前記第2のプラズマシステムの前記ツールと異なる識別情報を有するツールを含む、方法。
  13. 請求項1に記載の方法であって、
    前記第1のプラズマシステムは、前記第2のプラズマシステムのツールと構造的に及び機能的に同一であって前記第2のプラズマシステムの前記ツールと異なる識別情報を有するツールを含む、方法。
  14. 請求項1に記載の方法であって、
    前記第1のモデルエッチング速度を算出することは、テイラー級数である和を算出することを含む、方法。
  15. モデルエッチング速度に基づいて、エッチング速度のチャンバ内整合を実施するための方法であって、
    プラズマシステムの高周波(RF)発生器の出力において第1の時点において測定される電圧及び電流を受信することと、
    前記電圧、前記電流、及び電力に基づいて、第1のモデルエッチング速度を算出することであって、前記電力は、前記電圧と前記電流との間の位相に基づいて算出される、ことと、
    前記RF発生器の前記出力において第2の時点において測定される電圧及び電流を受信することと、
    前記電圧、前記電流、及び電力に基づいて、第2のモデルエッチング速度を算出することであって、前記電力は、前記第2の時点において測定される前記電圧と前記電流との間の位相に基づいて算出される、ことと、
    前記第1のモデルエッチング速度を前記第2のモデルエッチング速度と比較することと、
    前記第2のモデルエッチング速度が前記第1のモデルエッチング速度に一致しないことが決定された際に、前記第1のモデルエッチング速度を実現するために、前記RF発生器の前記出力における電力を調整することと、
    を備え、
    プロセッサによって実行される方法。
  16. 請求項15に記載の方法であって、更に、
    前記RF発生器の前記出力において前記第1の時点において測定される周波数を受信することと、
    前記周波数に基づいて、第3のモデルエッチング速度を算出することと、
    前記RF発生器の前記出力において第2の時点において測定される周波数を受信することと、
    前記第2の時点において測定される前記周波数に基づいて、第4のモデルエッチング速度を算出することと、
    前記第3のモデルエッチング速度を前記第4のモデルエッチング速度と比較することと、
    前記第3のモデルエッチング速度が前記第4のモデルエッチング速度に一致しないことが決定された際に、前記第3のモデルエッチング速度を実現するために、前記RF発生器の前記出力における前記電力を調整することと、
    を備える方法。
  17. 請求項16に記載の方法であって、更に、
    前記第3のモデルエッチング速度を実現するために、前記RF発生器の前記出力における前記電圧又は電流又は周波数を調整することを備える方法。
  18. 請求項16に記載の方法であって、
    前記RF発生器の前記出力において前記第1の時点において測定される前記電圧、前記電流、及び前記周波数を受信することは、前記プラズマシステムのプラズマチャンバ内でダミーウエハを使用して実施される、方法。
  19. 請求項15に記載の方法であって、
    前記第2の時点は、前記第1の時点の後に起きる、方法。
  20. 請求項15に記載の方法であって、
    前記第1のモデルエッチング速度を算出するために使用される前記電圧及び電流は、電圧振幅、及び電流振幅、及び前記電圧振幅と前記電流振幅との間の位相を含み、前記第1のモデルエッチング速度を算出するために使用される前記電力は、電力振幅を含む、方法。
  21. 請求項15に記載の方法であって、
    前記RF発生器の前記出力における前記第2の時点における前記電力を調整することは、前記プラズマシステムが半導体ウエハをエッチングするために使用されるときに実施される、方法。
  22. 第1のプラズマシステムであって、
    RF信号を生成するための高周波(RF)発生器であって、前記RF信号の送出を促すための出力を含むRF発生器と、
    前記RF信号の電圧及び電流を測定するための、前記出力に接続された複素インピーダンスセンサと、
    RF信号を修正して修正RF信号を生成するための、ケーブルを通じて前記RF発生器に及びRF伝送路を通じて前記プラズマチャンバに接続されたインピーダンス整合回路と、
    前記インピーダンス整合回路から前記修正RF信号が受信されたときにプラズマを発生させるためのプラズマチャンバと、
    前記複素インピーダンスセンサに接続されたプロセッサであって、
    前記RF発生器の前記出力において測定される前記電圧及び電流を受信するためと、
    前記電圧及び電流、及び電力に基づいて、第1のモデルエッチング速度を算出するためであって、前記電力は、前記電圧及び電流、及び前記電圧と前記電流との間の位相に基づいて算出される、ためと、
    第2のプラズマシステムのRF発生器の出力において測定される電圧及び電流を受信することと、
    前記第2のプラズマシステムの前記RF発生器の前記出力における前記電圧及び電流に基づいて、第2のモデルエッチング速度を決定するためと、
    前記第2のモデルエッチング速度を前記第1のモデルエッチング速度と比較するためと、
    前記第2のモデルエッチング速度が前記第1のモデルエッチング速度に一致しないことが決定された際に、前記第1のモデルエッチング速度を実現するために、前記第1のプラズマシステムの前記RF発生器の前記出力における電力を調整するためと、
    である、プロセッサと、
    を備える第1のプラズマシステム。
  23. 請求項22に記載の第1のプラズマシステムであって、
    前記プロセッサは、更に、前記第1のモデルエッチング速度を実現するために、前記第1のプラズマシステムの前記RF発生器の前記出力における前記電圧又は前記電流を調整するように構成される、第1のプラズマシステム。
JP2014147263A 2013-07-26 2014-07-18 チャンバ内整合及びチャンバ間整合のための、複数パラメータを使用したエッチング速度モデル化及びその使用 Active JP6465579B2 (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201361858985P 2013-07-26 2013-07-26
US61/858,985 2013-07-26
US14/243,705 US9502221B2 (en) 2013-07-26 2014-04-02 Etch rate modeling and use thereof with multiple parameters for in-chamber and chamber-to-chamber matching
US14/243,705 2014-04-02

Publications (3)

Publication Number Publication Date
JP2015029093A true JP2015029093A (ja) 2015-02-12
JP2015029093A5 JP2015029093A5 (ja) 2015-03-26
JP6465579B2 JP6465579B2 (ja) 2019-02-06

Family

ID=52389903

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2014147263A Active JP6465579B2 (ja) 2013-07-26 2014-07-18 チャンバ内整合及びチャンバ間整合のための、複数パラメータを使用したエッチング速度モデル化及びその使用

Country Status (5)

Country Link
US (2) US9502221B2 (ja)
JP (1) JP6465579B2 (ja)
KR (2) KR102282713B1 (ja)
CN (1) CN104347340B (ja)
TW (3) TWI641042B (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2017163020A (ja) * 2016-03-10 2017-09-14 パナソニックIpマネジメント株式会社 プラズマ処理装置およびプラズマ処理方法

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9720022B2 (en) 2015-05-19 2017-08-01 Lam Research Corporation Systems and methods for providing characteristics of an impedance matching model for use with matching networks
US9412670B2 (en) * 2013-05-23 2016-08-09 Lam Research Corporation System, method and apparatus for RF power compensation in plasma etch chamber
US9460894B2 (en) * 2013-06-28 2016-10-04 Lam Research Corporation Controlling ion energy within a plasma chamber
US9595424B2 (en) * 2015-03-02 2017-03-14 Lam Research Corporation Impedance matching circuit for operation with a kilohertz RF generator and a megahertz RF generator to control plasma processes
US10438805B2 (en) 2016-12-06 2019-10-08 Tokyo Electron Limited Methods and systems for chamber matching and monitoring
CN108663642B (zh) 2017-03-31 2020-09-29 西门子(深圳)磁共振有限公司 一种射频线圈的调谐去谐结构和去谐方法
US10636686B2 (en) * 2018-02-27 2020-04-28 Lam Research Corporation Method monitoring chamber drift
JP7018823B2 (ja) * 2018-05-29 2022-02-14 東京エレクトロン株式会社 モデル生成装置、モデル生成プログラムおよびモデル生成方法
US20210313152A1 (en) * 2018-08-17 2021-10-07 Lam Research Corporation Rf power compensation to reduce deposition or etch rate changes in response to substrate bulk resistivity variations
JP7257918B2 (ja) * 2019-08-29 2023-04-14 東京エレクトロン株式会社 プラズマ処理システムおよびプラズマ着火支援方法
CN110850812B (zh) * 2019-11-18 2020-07-31 北京邮电大学 基于模型的离子束刻蚀速率控制方法及装置
DE102022108634A1 (de) * 2022-04-08 2023-10-12 TRUMPF Hüttinger GmbH + Co. KG Plasmasystem und Verfahren zum Betrieb eines Plasmasystems

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10125660A (ja) * 1996-08-29 1998-05-15 Fujitsu Ltd プラズマ処理装置、プロセスモニタ方法及び半導体装置の製造方法
JP2005333075A (ja) * 2004-05-21 2005-12-02 Tokyo Electron Ltd 被処理体の処理方法及びその処理システム装置
JP2006019442A (ja) * 2004-06-30 2006-01-19 Fujitsu Ltd ドライエッチング装置および半導体装置の製造方法
JP2008515197A (ja) * 2004-09-27 2008-05-08 ラム リサーチ コーポレーション インピーダンス測定によるプラズマ加工システムの加工工程モニター方法並びに装置
JP2010021431A (ja) * 2008-07-11 2010-01-28 Tokyo Electron Ltd プラズマ処理装置システムの制御装置、プラズマ処理システムの制御方法および制御プログラムを記憶した記憶媒体

Family Cites Families (161)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4377961A (en) 1979-09-10 1983-03-29 Bode Harald E W Fundamental frequency extracting system
DE3027828A1 (de) 1980-07-23 1982-03-04 Deutsche Itt Industries Gmbh, 7800 Freiburg Frequenz/phasenregelschleife
US4353777A (en) 1981-04-20 1982-10-12 Lfe Corporation Selective plasma polysilicon etching
US4457820A (en) 1981-12-24 1984-07-03 International Business Machines Corporation Two step plasma etching
US4420790A (en) 1982-04-02 1983-12-13 Honeywell Inc. High sensitivity variable capacitance transducer
US4454001A (en) 1982-08-27 1984-06-12 At&T Bell Laboratories Interferometric method and apparatus for measuring etch rate and fabricating devices
US4500563A (en) 1982-12-15 1985-02-19 Pacific Western Systems, Inc. Independently variably controlled pulsed R.F. plasma chemical vapor processing
US4855897A (en) 1987-07-13 1989-08-08 The Foxboro Company Method and apparatus for statistical set point bias control
DE3923662A1 (de) 1989-07-18 1991-01-24 Leybold Ag Schaltungsanordnung zum automatischen abstimmen eines anpassungsnetzwerks
US5788801A (en) 1992-12-04 1998-08-04 International Business Machines Corporation Real time measurement of etch rate during a chemical etching process
US5479340A (en) 1993-09-20 1995-12-26 Sematech, Inc. Real time control of plasma etch utilizing multivariate statistical analysis
US5571366A (en) 1993-10-20 1996-11-05 Tokyo Electron Limited Plasma processing apparatus
US5980767A (en) 1994-02-25 1999-11-09 Tokyo Electron Limited Method and devices for detecting the end point of plasma process
US5556549A (en) 1994-05-02 1996-09-17 Lsi Logic Corporation Power control and delivery in plasma processing equipment
US5474648A (en) 1994-07-29 1995-12-12 Lsi Logic Corporation Uniform and repeatable plasma processing
US5989999A (en) 1994-11-14 1999-11-23 Applied Materials, Inc. Construction of a tantalum nitride film on a semiconductor wafer
US6042686A (en) 1995-06-30 2000-03-28 Lam Research Corporation Power segmented electrode
US5810963A (en) 1995-09-28 1998-09-22 Kabushiki Kaisha Toshiba Plasma processing apparatus and method
US5892198A (en) 1996-03-29 1999-04-06 Lam Research Corporation Method of and apparatus for electronically controlling r.f. energy supplied to a vacuum plasma processor and memory for same
US5812361A (en) 1996-03-29 1998-09-22 Lam Research Corporation Dynamic feedback electrostatic wafer chuck
US6110214A (en) 1996-05-03 2000-08-29 Aspen Technology, Inc. Analyzer for modeling and optimizing maintenance operations
US5764471A (en) 1996-05-08 1998-06-09 Applied Materials, Inc. Method and apparatus for balancing an electrostatic force produced by an electrostatic chuck
US5689215A (en) 1996-05-23 1997-11-18 Lam Research Corporation Method of and apparatus for controlling reactive impedances of a matching network connected between an RF source and an RF plasma processor
US6048435A (en) 1996-07-03 2000-04-11 Tegal Corporation Plasma etch reactor and method for emerging films
US6246972B1 (en) 1996-08-23 2001-06-12 Aspen Technology, Inc. Analyzer for modeling and optimizing maintenance operations
US5737177A (en) 1996-10-17 1998-04-07 Applied Materials, Inc. Apparatus and method for actively controlling the DC potential of a cathode pedestal
US5866985A (en) 1996-12-03 1999-02-02 International Business Machines Corporation Stable matching networks for plasma tools
US5694207A (en) 1996-12-09 1997-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Etch rate monitoring by optical emission spectroscopy
US5889252A (en) 1996-12-19 1999-03-30 Lam Research Corporation Method of and apparatus for independently controlling electric parameters of an impedance matching network
US6017414A (en) * 1997-03-31 2000-01-25 Lam Research Corporation Method of and apparatus for detecting and controlling in situ cleaning time of vacuum processing chambers
US5894400A (en) 1997-05-29 1999-04-13 Wj Semiconductor Equipment Group, Inc. Method and apparatus for clamping a substrate
US6351683B1 (en) 1997-09-17 2002-02-26 Tokyo Electron Limited System and method for monitoring and controlling gas plasma processes
US6020794A (en) 1998-02-09 2000-02-01 Eni Technologies, Inc. Ratiometric autotuning algorithm for RF plasma generator
US6157867A (en) 1998-02-27 2000-12-05 Taiwan Semiconductor Manufacturing Company Method and system for on-line monitoring plasma chamber condition by comparing intensity of certain wavelength
US6198616B1 (en) 1998-04-03 2001-03-06 Applied Materials, Inc. Method and apparatus for supplying a chucking voltage to an electrostatic chuck within a semiconductor wafer processing system
AU4057999A (en) 1998-06-02 1999-12-20 Nikon Corporation Scanning aligner, method of manufacture thereof, and method of manufacturing device
US6021672A (en) 1998-09-18 2000-02-08 Windbond Electronics Corp. Simultaneous in-situ optical sensing of pressure and etch rate in plasma etch chamber
JP4408313B2 (ja) 1999-10-29 2010-02-03 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
US7361287B2 (en) 1999-04-30 2008-04-22 Robert Bosch Gmbh Method for etching structures in an etching body by means of a plasma
US6431112B1 (en) 1999-06-15 2002-08-13 Tokyo Electron Limited Apparatus and method for plasma processing of a substrate utilizing an electrostatic chuck
US6319355B1 (en) * 1999-06-30 2001-11-20 Lam Research Corporation Plasma processor with coil responsive to variable amplitude rf envelope
US6528751B1 (en) 2000-03-17 2003-03-04 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma
US6441555B1 (en) 2000-03-31 2002-08-27 Lam Research Corporation Plasma excitation coil
US6472822B1 (en) 2000-04-28 2002-10-29 Applied Materials, Inc. Pulsed RF power delivery for plasma processing
US7137354B2 (en) 2000-08-11 2006-11-21 Applied Materials, Inc. Plasma immersion ion implantation apparatus including a plasma source having low dissociation and low minimum plasma voltage
JP4240259B2 (ja) 2000-08-21 2009-03-18 富士電機システムズ株式会社 プラズマ電位測定方法と測定用プローブ
US6492774B1 (en) 2000-10-04 2002-12-10 Lam Research Corporation Wafer area pressure control for plasma confinement
US7871676B2 (en) 2000-12-06 2011-01-18 Novellus Systems, Inc. System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
WO2002075332A1 (en) 2001-03-16 2002-09-26 Tokyo Electron Limited Impedance monitoring system and method
US6522121B2 (en) 2001-03-20 2003-02-18 Eni Technology, Inc. Broadband design of a probe analysis system
IE20010288A1 (en) 2001-03-23 2002-10-02 Scient Systems Res Ltd Endpoint Detection in the Etching of Dielectric Layers
US7096819B2 (en) 2001-03-30 2006-08-29 Lam Research Corporation Inductive plasma processor having coil with plural windings and method of controlling plasma density
US6750711B2 (en) 2001-04-13 2004-06-15 Eni Technology, Inc. RF power amplifier stability
US6669783B2 (en) 2001-06-28 2003-12-30 Lam Research Corporation High temperature electrostatic chuck
US6727655B2 (en) 2001-10-26 2004-04-27 Mcchesney Jon Method and apparatus to monitor electrical states at a workpiece in a semiconductor processing chamber
JP4006982B2 (ja) 2001-11-16 2007-11-14 セイコーエプソン株式会社 プリンタ及びプリンタユニット
CN1305353C (zh) 2001-12-10 2007-03-14 东京毅力科创株式会社 高频电源及其控制方法、和等离子体处理装置
US20030119308A1 (en) 2001-12-20 2003-06-26 Geefay Frank S. Sloped via contacts
US7480571B2 (en) * 2002-03-08 2009-01-20 Lam Research Corporation Apparatus and methods for improving the stability of RF power delivery to a plasma load
US6962644B2 (en) * 2002-03-18 2005-11-08 Applied Materials, Inc. Tandem etch chamber plasma processing system
JP2003282545A (ja) 2002-03-26 2003-10-03 Seiko Epson Corp 半導体装置の製造方法及びプラズマ処理装置
AU2003224727A1 (en) 2002-03-28 2003-10-13 Tokyo Electron Limited A system and method for determining the state of a film in a plasma reactor using an electrical property
US7505879B2 (en) 2002-06-05 2009-03-17 Tokyo Electron Limited Method for generating multivariate analysis model expression for processing apparatus, method for executing multivariate analysis of processing apparatus, control device of processing apparatus and control system for processing apparatus
US20040028837A1 (en) 2002-06-28 2004-02-12 Tokyo Electron Limited Method and apparatus for plasma processing
JP2005531927A (ja) 2002-06-28 2005-10-20 東京エレクトロン株式会社 材料処理ツールおよびセンサデータを使用して処理性能を予測するための方法およびシステム
US6664166B1 (en) 2002-09-13 2003-12-16 Texas Instruments Incorporated Control of nichorme resistor temperature coefficient using RF plasma sputter etch
US6873114B2 (en) 2002-09-26 2005-03-29 Lam Research Corporation Method for toolmatching and troubleshooting a plasma processing system
US20040060660A1 (en) 2002-09-26 2004-04-01 Lam Research Inc., A Delaware Corporation Control of plasma density with broadband RF sensor
KR100708313B1 (ko) * 2002-10-31 2007-04-17 세메스 주식회사 플라즈마 처리 장치 및 플라즈마 처리 방법
TW201041455A (en) 2002-12-16 2010-11-16 Japan Science & Tech Agency Plasma generation device, plasma control method, and substrate manufacturing method
US20040127031A1 (en) 2002-12-31 2004-07-01 Tokyo Electron Limited Method and apparatus for monitoring a plasma in a material processing system
JP2004239211A (ja) 2003-02-07 2004-08-26 Denso Corp 吸気モジュール
US6781317B1 (en) 2003-02-24 2004-08-24 Applied Science And Technology, Inc. Methods and apparatus for calibration and metrology for an integrated RF generator system
JP2004335594A (ja) 2003-05-02 2004-11-25 Matsushita Electric Ind Co Ltd プラズマ処理装置
US7247218B2 (en) 2003-05-16 2007-07-24 Applied Materials, Inc. Plasma density, energy and etch rate measurements at bias power input and real time feedback control of plasma source and bias power
US7795153B2 (en) 2003-05-16 2010-09-14 Applied Materials, Inc. Method of controlling a chamber based upon predetermined concurrent behavior of selected plasma parameters as a function of selected chamber parameters
US6862557B2 (en) 2003-06-12 2005-03-01 Lam Research Corporation System and method for electronically collecting data in a fabrication facility
US7169625B2 (en) 2003-07-25 2007-01-30 Applied Materials, Inc. Method for automatic determination of semiconductor plasma chamber matching and source of fault by comprehensive plasma monitoring
US7625460B2 (en) 2003-08-01 2009-12-01 Micron Technology, Inc. Multifrequency plasma reactor
US7405521B2 (en) 2003-08-22 2008-07-29 Lam Research Corporation Multiple frequency plasma processor method and apparatus
US8296687B2 (en) * 2003-09-30 2012-10-23 Tokyo Electron Limited System and method for using first-principles simulation to analyze a process performed by a semiconductor processing tool
US7042311B1 (en) 2003-10-10 2006-05-09 Novellus Systems, Inc. RF delivery configuration in a plasma processing system
JP2005130198A (ja) 2003-10-23 2005-05-19 Ulvac Japan Ltd 高周波装置
US7838430B2 (en) 2003-10-28 2010-11-23 Applied Materials, Inc. Plasma control using dual cathode frequency mixing
JP3768999B2 (ja) 2003-10-29 2006-04-19 澄英 池之内 プラズマ処理装置とその制御方法
US7190119B2 (en) 2003-11-07 2007-03-13 Lam Research Corporation Methods and apparatus for optimizing a substrate in a plasma processing system
US6983215B2 (en) 2003-12-02 2006-01-03 Mks Instruments, Inc. RF metrology characterization for field installation and serviceability for the plasma processing industry
US20050130620A1 (en) * 2003-12-16 2005-06-16 Andreas Fischer Segmented radio frequency electrode apparatus and method for uniformity control
US7879185B2 (en) 2003-12-18 2011-02-01 Applied Materials, Inc. Dual frequency RF match
US7157857B2 (en) 2003-12-19 2007-01-02 Advanced Energy Industries, Inc. Stabilizing plasma and generator interactions
US6972524B1 (en) 2004-03-24 2005-12-06 Lam Research Corporation Plasma processing system control
JP2005284046A (ja) 2004-03-30 2005-10-13 Kumamoto Univ パターンずれ量検出方法及び露光装置
US7435926B2 (en) 2004-03-31 2008-10-14 Lam Research Corporation Methods and array for creating a mathematical model of a plasma processing system
US20050241762A1 (en) 2004-04-30 2005-11-03 Applied Materials, Inc. Alternating asymmetrical plasma generation in a process chamber
KR101144018B1 (ko) 2004-05-28 2012-05-09 램 리써치 코포레이션 복수 rf 주파수에 반응하는 전극을 갖는 플라즈마 처리기
FR2875304B1 (fr) 2004-09-16 2006-12-22 Ecole Polytechnique Etablissem Sonde de mesure de caracteristiques d'un courant d'excitation d'un plasma, et reacteur a plasma associe
US7323116B2 (en) 2004-09-27 2008-01-29 Lam Research Corporation Methods and apparatus for monitoring a process in a plasma processing system by measuring self-bias voltage
US20060065632A1 (en) 2004-09-27 2006-03-30 Chia-Cheng Cheng Methods and apparatus for monitoring a process in a plasma processing system by measuring a plasma frequency
US7666464B2 (en) 2004-10-23 2010-02-23 Applied Materials, Inc. RF measurement feedback control and diagnostics for a plasma immersion ion implantation reactor
US20060100824A1 (en) 2004-10-27 2006-05-11 Tokyo Electron Limited Plasma processing apparatus, abnormal discharge detecting method for the same, program for implementing the method, and storage medium storing the program
US7459100B2 (en) 2004-12-22 2008-12-02 Lam Research Corporation Methods and apparatus for sequentially alternating among plasma processes in order to optimize a substrate
US7364623B2 (en) 2005-01-27 2008-04-29 Lam Research Corporation Confinement ring drive
US7244344B2 (en) 2005-02-03 2007-07-17 Applied Materials, Inc. Physical vapor deposition plasma reactor with VHF source power applied through the workpiece
US7602127B2 (en) 2005-04-18 2009-10-13 Mks Instruments, Inc. Phase and frequency control of a radio frequency generator from an external source
US7359177B2 (en) 2005-05-10 2008-04-15 Applied Materials, Inc. Dual bias frequency plasma reactor with feedback control of E.S.C. voltage using wafer voltage measurement at the bias supply output
US20070021935A1 (en) 2005-07-12 2007-01-25 Larson Dean J Methods for verifying gas flow rates from a gas supply system into a plasma processing chamber
US7375038B2 (en) 2005-09-28 2008-05-20 Applied Materials, Inc. Method for plasma etching a chromium layer through a carbon hard mask suitable for photomask fabrication
US7764140B2 (en) 2005-10-31 2010-07-27 Mks Instruments, Inc. Radio frequency power delivery system
US20080179948A1 (en) 2005-10-31 2008-07-31 Mks Instruments, Inc. Radio frequency power delivery system
US7780864B2 (en) 2006-04-24 2010-08-24 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion radial distribution
US7722778B2 (en) 2006-06-28 2010-05-25 Lam Research Corporation Methods and apparatus for sensing unconfinement in a plasma processing chamber
CN100530529C (zh) 2006-07-17 2009-08-19 应用材料公司 具有静电卡盘电压反馈控制的双偏置频率等离子体反应器
US20080029385A1 (en) 2006-08-03 2008-02-07 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
US7732728B2 (en) 2007-01-17 2010-06-08 Lam Research Corporation Apparatuses for adjusting electrode gap in capacitively-coupled RF plasma reactor
US7858898B2 (en) 2007-01-26 2010-12-28 Lam Research Corporation Bevel etcher with gap control
US20100216263A1 (en) * 2007-02-02 2010-08-26 Lexas Research, Ltd. Method and Apparatus for Measuring Process Parameters of a Plasma Etch Process
US7728602B2 (en) 2007-02-16 2010-06-01 Mks Instruments, Inc. Harmonic derived arc detector
US8241457B2 (en) 2007-03-30 2012-08-14 Tokyo Electron Limited Plasma processing system, plasma measurement system, plasma measurement method, and plasma control system
KR100870121B1 (ko) 2007-04-19 2008-11-25 주식회사 플라즈마트 임피던스 매칭 방법 및 이 방법을 위한 매칭 시스템
US7768269B2 (en) 2007-08-15 2010-08-03 Applied Materials, Inc. Method of multi-location ARC sensing with adaptive threshold comparison
WO2009060213A1 (en) 2007-11-06 2009-05-14 Microoncology Limited Microwave plasms sterilisation system and applicators therefor
US9074285B2 (en) 2007-12-13 2015-07-07 Lam Research Corporation Systems for detecting unconfined-plasma events
US7586100B2 (en) 2008-02-12 2009-09-08 Varian Semiconductor Equipment Associates, Inc. Closed loop control and process optimization in plasma doping processes using a time of flight ion detector
US9856558B2 (en) * 2008-03-14 2018-01-02 Applied Materials, Inc. Physical vapor deposition method with a source of isotropic ion velocity distribution at the wafer surface
JP5319150B2 (ja) 2008-03-31 2013-10-16 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法及びコンピュータ読み取り可能な記憶媒体
KR20150017389A (ko) 2008-05-14 2015-02-16 어플라이드 머티어리얼스, 인코포레이티드 Rf 전력 전달을 위한 시간 분해된 조정 방식을 이용하는 펄스화된 플라즈마 처리를 위한 방법 및 장치
US8337661B2 (en) 2008-05-29 2012-12-25 Applied Materials, Inc. Plasma reactor with plasma load impedance tuning for engineered transients by synchronized modulation of an unmatched low power RF generator
US7967944B2 (en) 2008-05-29 2011-06-28 Applied Materials, Inc. Method of plasma load impedance tuning by modulation of an unmatched low power RF generator
US8264238B1 (en) 2008-06-13 2012-09-11 Mks Instruments, Inc. Method for calibrating a broadband voltage/current probe
US20090308734A1 (en) 2008-06-17 2009-12-17 Schneider Automation Inc. Apparatus and Method for Wafer Level Arc Detection
US8103492B2 (en) 2008-09-05 2012-01-24 Tokyo Electron Limited Plasma fluid modeling with transient to stochastic transformation
WO2010033924A2 (en) 2008-09-22 2010-03-25 Applied Materials, Inc. Etch reactor suitable for etching high aspect ratio features
US8313664B2 (en) 2008-11-21 2012-11-20 Applied Materials, Inc. Efficient and accurate method for real-time prediction of the self-bias voltage of a wafer and feedback control of ESC voltage in plasma processing chamber
US8040068B2 (en) 2009-02-05 2011-10-18 Mks Instruments, Inc. Radio frequency power control system
US9378930B2 (en) 2009-03-05 2016-06-28 Applied Materials, Inc. Inductively coupled plasma reactor having RF phase control and methods of use thereof
US8382999B2 (en) 2009-03-26 2013-02-26 Applied Materials, Inc. Pulsed plasma high aspect ratio dielectric process
JP2010250959A (ja) 2009-04-10 2010-11-04 Hitachi High-Technologies Corp プラズマ処理装置
US8674606B2 (en) 2009-04-27 2014-03-18 Advanced Energy Industries, Inc. Detecting and preventing instabilities in plasma processes
WO2011002804A2 (en) * 2009-06-30 2011-01-06 Lam Research Corporation Methods and apparatus to predict etch rate uniformity for qualification of a plasma chamber
US8271121B2 (en) 2009-06-30 2012-09-18 Lam Research Corporation Methods and arrangements for in-situ process monitoring and control for plasma processing tools
US8473089B2 (en) 2009-06-30 2013-06-25 Lam Research Corporation Methods and apparatus for predictive preventive maintenance of processing chambers
US8404598B2 (en) 2009-08-07 2013-03-26 Applied Materials, Inc. Synchronized radio frequency pulsing for plasma etching
US20110097901A1 (en) 2009-10-26 2011-04-28 Applied Materials, Inc. Dual mode inductively coupled plasma reactor with adjustable phase coil assembly
US8501631B2 (en) 2009-11-19 2013-08-06 Lam Research Corporation Plasma processing system control based on RF voltage
JP2013511814A (ja) 2009-11-19 2013-04-04 ラム リサーチ コーポレーション プラズマ処理システムを制御するための方法および装置
US8901935B2 (en) 2009-11-19 2014-12-02 Lam Research Corporation Methods and apparatus for detecting the confinement state of plasma in a plasma processing system
US20110139748A1 (en) 2009-12-15 2011-06-16 University Of Houston Atomic layer etching with pulsed plasmas
US20120000887A1 (en) 2010-06-30 2012-01-05 Kabushiki Kaisha Toshiba Plasma treatment apparatus and plasma treatment method
KR20120022251A (ko) 2010-09-01 2012-03-12 삼성전자주식회사 플라즈마 식각방법 및 그의 장치
US9076826B2 (en) 2010-09-24 2015-07-07 Lam Research Corporation Plasma confinement ring assembly for plasma processing chambers
US8779662B2 (en) 2010-10-20 2014-07-15 Comet Technologies Usa, Inc Pulse mode capability for operation of an RF/VHF impedance matching network with 4 quadrant, VRMS/IRMS responding detector circuitry
US8723423B2 (en) 2011-01-25 2014-05-13 Advanced Energy Industries, Inc. Electrostatic remote plasma source
US8679358B2 (en) 2011-03-03 2014-03-25 Tokyo Electron Limited Plasma etching method and computer-readable storage medium
US8869612B2 (en) 2011-03-08 2014-10-28 Baxter International Inc. Non-invasive radio frequency liquid level and volume detection system using phase shift
US20130122711A1 (en) 2011-11-10 2013-05-16 Alexei Marakhtanov System, method and apparatus for plasma sheath voltage control
US9224618B2 (en) 2012-01-17 2015-12-29 Lam Research Corporation Method to increase mask selectivity in ultra-high aspect ratio etches
US10157729B2 (en) 2012-02-22 2018-12-18 Lam Research Corporation Soft pulsing
US9210790B2 (en) 2012-08-28 2015-12-08 Advanced Energy Industries, Inc. Systems and methods for calibrating a switched mode ion energy distribution system
US9408288B2 (en) 2012-09-14 2016-08-02 Lam Research Corporation Edge ramping
JP6257638B2 (ja) 2012-10-30 2018-01-10 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 高アスペクト比酸化物エッチング用のフルオロカーボン分子
US9460894B2 (en) 2013-06-28 2016-10-04 Lam Research Corporation Controlling ion energy within a plasma chamber

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10125660A (ja) * 1996-08-29 1998-05-15 Fujitsu Ltd プラズマ処理装置、プロセスモニタ方法及び半導体装置の製造方法
JP2005333075A (ja) * 2004-05-21 2005-12-02 Tokyo Electron Ltd 被処理体の処理方法及びその処理システム装置
JP2006019442A (ja) * 2004-06-30 2006-01-19 Fujitsu Ltd ドライエッチング装置および半導体装置の製造方法
JP2008515197A (ja) * 2004-09-27 2008-05-08 ラム リサーチ コーポレーション インピーダンス測定によるプラズマ加工システムの加工工程モニター方法並びに装置
JP2010021431A (ja) * 2008-07-11 2010-01-28 Tokyo Electron Ltd プラズマ処理装置システムの制御装置、プラズマ処理システムの制御方法および制御プログラムを記憶した記憶媒体

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2017163020A (ja) * 2016-03-10 2017-09-14 パナソニックIpマネジメント株式会社 プラズマ処理装置およびプラズマ処理方法

Also Published As

Publication number Publication date
TW201517166A (zh) 2015-05-01
US9502221B2 (en) 2016-11-22
CN104347340A (zh) 2015-02-11
KR20150013094A (ko) 2015-02-04
KR102282713B1 (ko) 2021-07-30
TWI654681B (zh) 2019-03-21
US9082594B2 (en) 2015-07-14
JP6465579B2 (ja) 2019-02-06
CN104347340B (zh) 2017-04-12
TW201517165A (zh) 2015-05-01
KR20150013080A (ko) 2015-02-04
TW201816887A (zh) 2018-05-01
US20150028744A1 (en) 2015-01-29
TWI641042B (zh) 2018-11-11
US20150032245A1 (en) 2015-01-29
TWI623035B (zh) 2018-05-01
KR102208578B1 (ko) 2021-01-27

Similar Documents

Publication Publication Date Title
JP6465579B2 (ja) チャンバ内整合及びチャンバ間整合のための、複数パラメータを使用したエッチング速度モデル化及びその使用
US10381201B2 (en) Control of etch rate using modeling, feedback and impedance match
US10325759B2 (en) Multiple control modes
KR102649759B1 (ko) 매칭 네트워크들을 사용하여 임피던스 매칭 모델의 특성들을 제공하기 위한 시스템들 및 방법들
JP6312405B2 (ja) プラズマ処理装置
KR102223863B1 (ko) 이중 제어 모드
KR102223834B1 (ko) 전력 제어 모드를 위한 챔버 정합
JP2015029093A5 (ja)
US20190318919A1 (en) Control of etch rate using modeling, feedback and impedance match
TW201528322A (zh) 使用模型化、回授及阻抗匹配之蝕刻速率的控制
JP6055537B2 (ja) プラズマ処理方法
TW201926400A (zh) 用以控制電漿腔室中之電漿輝光放電的方法及系統
TWI784989B (zh) 基於電漿系統狀態使用變數的電漿系統及控制變數的方法及電腦系統

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150119

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20170703

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20180316

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20180417

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20180710

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20180719

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20181225

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20190108

R150 Certificate of patent or registration of utility model

Ref document number: 6465579

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250