TW201448030A - 脈衝式直流電漿蝕刻方法以及設備 - Google Patents

脈衝式直流電漿蝕刻方法以及設備 Download PDF

Info

Publication number
TW201448030A
TW201448030A TW103108708A TW103108708A TW201448030A TW 201448030 A TW201448030 A TW 201448030A TW 103108708 A TW103108708 A TW 103108708A TW 103108708 A TW103108708 A TW 103108708A TW 201448030 A TW201448030 A TW 201448030A
Authority
TW
Taiwan
Prior art keywords
pulse
bias
substrate
plasma etching
etching apparatus
Prior art date
Application number
TW103108708A
Other languages
English (en)
Inventor
Subhash Deshmukh
He Ren
jing-jing Liu
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW201448030A publication Critical patent/TW201448030A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32146Amplitude modulation, includes pulsing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/6875Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a plurality of individual support members, e.g. support posts or protrusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • H01J37/32706Polarising the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

在一個態樣中揭示了一種電漿蝕刻設備,該電漿蝕刻設備包括具有製程腔室的電漿主體,該製程腔室適用於接收基板;耦接至RF電極的射頻(RF)源;位於該製程腔室中並適用於支撐基板的基座;複數個導電銷,適用於在處理過程中接觸及支撐該基板;以及電耦接至該複數個導電銷的DC偏壓源。亦提供了蝕刻方法及許多其他的態樣。

Description

脈衝式直流電漿蝕刻方法以及設備 【相關申請案的交叉引用】
本專利申請案主張於2013年3月13日提出申請且標題為「脈衝式直流電漿蝕刻方法以及設備(PULSED DC PLASMA ETCHING PROCESS AND APPARATUS)」的美國臨時專利申請案第61/779,296號(代理人檔案編號17758/L)的優先權權益,為了所有的目的將該申請案併入本文中。
本發明大體而言係關於半導體元件製造,更具體言之,本發明係關於電漿製程及設備。
在半導體基板的製造中,電漿蝕刻製程可被用來去除一個或材料層或膜,或在基板上形成圖案或類似物(例如形成圖案化的矽晶圓)。隨著臨界尺寸持續縮小,更嚴密地控制蝕刻製程變成是理想的,以實現良好的溝槽輪廓、晶圓內均勻度以及實現更精確的臨界尺寸(CD)控制。
一種先前的蝕刻製程使用了電漿射頻(RF)源的脈衝。射頻源控制可以促成相對獨立控制的離子(反應蝕刻劑)密度和能量分佈,以便放寬製程空間(process window)。該脈衝可以是同步的,以在射頻正/負週期中提供改良的製程控 制。然而,射頻脈衝的技術在複雜的實施方面可能會有缺點,而且難以達到精確的控制。
在其他的實施方式中,可以將直流(DC)偏壓施加到基座,以控制蝕刻劑的能量。然而,這種直流偏壓的製程遭遇了狹窄製程空間的缺點。
因此,為了改良的CD控制需要有改良的蝕刻方法和設備。
在第一態樣中提供了一種電漿蝕刻設備。該電漿蝕刻設備包括具有製程腔室的電漿主體,該製程腔室適用於接收基板;耦接至RF偏壓源的射頻(RF)電極;位於該製程腔室中並適用於支撐基板的基座;複數個導電銷,適用於在處理過程中接觸及支撐該基板;以及耦接至該複數個導電銷的脈衝式DC偏壓源。
在另一個態樣中提供了一種電漿蝕刻方法。該電漿蝕刻方法包括在製程腔室內提供基板;提供製程氣體到該製程腔室;使該製程腔室中的該製程氣體曝露於RF脈衝;以及通過與該基板處於導電接觸的導電銷提供DC偏壓脈衝到該基板。
從以下示例性實施例的實施方式、所附申請專利範圍及附圖,本發明的其他特徵和態樣將變得更加完全顯而易見。
100‧‧‧基板蝕刻設備
102‧‧‧基板
104‧‧‧主機部分
105‧‧‧製程腔室
106‧‧‧主體
107‧‧‧蓋體
108‧‧‧狹縫開口
109‧‧‧端效器
110‧‧‧流量閥裝置
111‧‧‧移送室
112‧‧‧氣體供應組件
113‧‧‧製程氣體
114‧‧‧製程氣源
116‧‧‧質量流量控制器
118‧‧‧流量控制閥
120‧‧‧預備腔室
122‧‧‧第一入口
123‧‧‧第二入口
124‧‧‧噴灑頭
126‧‧‧RF電極
127‧‧‧RF源
128‧‧‧RF脈衝產生器
129‧‧‧基座
130‧‧‧加熱器
131‧‧‧導電銷
132‧‧‧導電銷組件
133‧‧‧底座
134‧‧‧致動器
136‧‧‧第一電纜線
138‧‧‧第二電纜線
140‧‧‧直流偏壓源
142‧‧‧直流脈衝產生器
144‧‧‧絕緣連接器
145‧‧‧孔
148‧‧‧加熱控制器
149‧‧‧泵
155‧‧‧主時鐘
156‧‧‧製程控制
162‧‧‧控制器
300‧‧‧脈衝痕跡
350‧‧‧主時鐘脈衝
352‧‧‧RF脈衝
354‧‧‧DC偏壓脈衝
358‧‧‧RF延遲
360‧‧‧偏壓延遲
400‧‧‧電漿蝕刻方法
第1圖圖示依據實施例的基板蝕刻設備之部分側平 面圖。
第2A圖圖示依據實施例的DC偏壓導電銷組件之部分俯視圖,本圖圖示DC偏壓導電銷的可能位置。
第2B圖圖示依據實施例的DC偏壓導電銷組件之側視圖。
第3圖圖示依據實施例相對於主時鐘脈衝的RF脈衝和DC偏壓脈衝之圖形圖。
第4圖圖示依據實施例的電漿蝕刻方法之流程圖。
本文所述的實施例係關於適用於蝕刻基板表面(例如一個或更多個層)的設備和方法。特別的是,在一些實施例中提供了適以提供金屬蝕刻的改良蝕刻方法。例如,該方法和系統可用於在半導體處理中蝕刻材料,而且特別的是,該方法和系統可用於處理基板上等於或小於20nm的特徵尺寸。
本發明的實施例包括RF脈衝源和施加到基板的脈衝直流偏壓之組合。該脈衝直流偏壓係通過與基板直接電接觸而設置的導電性DC偏壓銷來提供。導電性DC偏壓銷為DC偏壓導體組件的一部分,該DC偏壓導體組件升舉基板而且還提供DC偏壓脈衝到基板來完成改良的基板蝕刻。
以下參照本文中的第1-4圖來描述本發明實施例的這些和其他態樣。
第1圖圖示基板蝕刻設備100及其組件的部分剖面側視圖。基板蝕刻設備100適以耦接到主機部分104並設以 且適以接收製程腔室105內的基板102及在基板102上執行蝕刻製程,製程腔室105形成於基板蝕刻設備100的主體106中。基板102(以虛線圖示)可以是任何適當的待蝕刻基板,諸如摻雜的或未摻雜的矽基板、III-V族化合物基板、矽鍺(SiGe)基板、磊晶基板、絕緣體上矽(SOI)基板、顯示器基板例如液晶顯示器(LCD)基板、電漿顯示器基板、電致發光(EL)燈顯示器基板、發光二極體(LED)基板、太陽能電池陣列基板、太陽能面板基板或類似者。也可以處理其他的基板。在一些實施例中,基板102可以是其上形成有圖案或遮罩的半導體晶圓。
在一些實施例中,基板102可以具有設置在其上的一個或更多個層。該一個或更多個層可以被以任何適當的方式沉積,例如藉由電鍍、化學氣相沉積(CVD)、物理氣相沉積(PVD)、原子層沉積(ALD)或類似者。該一個或更多個層可以是任何適用於製造中的特定元件的層。
例如,在一些實施例中,該一個或更多個層可以包含一個或更多個介電層。在這樣的實施例中,該一個或更多個介電層可以包含氧化矽(SiO2)、氮化矽(SiN)、低k或高k材料或類似者。本文所使用的低k材料具有的介電常數約小於氧化矽(SiO2)的介電常數。因此,高k材料具有大於氧化矽的介電常數。在一些實施例中,其中該介電層包含低k材料,則該低k材料可以是摻雜碳的介電質材料,例如摻雜碳的氧化矽(SiOC)、有機聚合物(例如聚醯亞胺、聚對二甲苯或類似者)、摻雜有機物的矽玻璃(OSG)、摻雜氟的 矽玻璃(FSG)或類似者。在實施例中,該介電層為高k材料,例如氧化矽(SiO2)、氧化鉿(HfO2)、氧化鋯(ZrO2)、矽酸鉿(HfSiO)、或氧化鋁(Al2O3)或類似者。在一些實施例中,該一個或更多個層可以包含一層或更多的導電材料層,例如金屬。在這樣的實施例中,該金屬可以包含銅(Cu)、鋁(Al)、鎢(W)、鈦(Ti)、上述金屬之合金、上述金屬之組合或類似物。
在一些實施例中,基板102可以包括圖案化遮罩層,該圖案化遮罩層可以在基板102上界定一個或更多個待蝕刻的特徵。在一些實施例中,該一個或更多個待蝕刻的特徵可以是高深寬比的特徵,其中該一個或更多個特徵具有大於約10:1的深寬比。該圖案化遮罩層可以是任何適當的遮罩層,例如硬遮罩、光阻層或上述之組合。也可以使用任何適當的遮罩層組合物。該遮罩層可以具有任何能夠提供適當模板的適當形狀,該模板用於將待蝕刻特徵界定於基板102的一個或更多個層中。例如,在一些實施例中,可以經由蝕刻製程形成該圖案化遮罩層。在一些實施例中,可以使用該圖案化遮罩層來界定先進的或非常小的特徵,例如非常小的節點元件(例如約20nm的特徵或更小的節點)。可以經由任何適當的技術來形成該圖案化遮罩層,例如間隔物遮罩圖案化技術。
基板蝕刻設備100進一步包括蓋體107,蓋體107包含主體106的一部分,該部分可以移動來協助製程腔室105。主體106包括狹縫開口108,狹縫開口108允許基板102 被機器人(未圖示)的端效器109從移送室111插入製程腔室105,以進行蝕刻製程。蝕刻製程在製程腔室105完成之後,端效器109可以從製程腔室105移出基板102。在製程過程中,狹縫開口108可以藉由流量閥裝置110密閉。流量閥裝置110可以具有覆蓋開口108的流量閥門。流量閥裝置110可以包括任何適當的流量閥構造,例如美國專利第6,173,938號;第6,347,918號及第7,007,919號中所教示的。在一些實施例中,流量閥110可以是例如L型移動流量閥。
基板蝕刻設備100還包括配置成適合於提供製程氣體113進入製程腔室105的氣體供應組件112。氣體供應組件112可以包括製程氣源114、一個或更多個流量控制裝置,例如一個或更多個質量流量控制器116及/或一個或更多個流量控制閥118。製程氣源114可以包含一個或更多個含有一種或更多種製程氣體的加壓容器。
在描繪的實施例中,第一製程氣體113可以通過形成在主體106的側壁中的第一入口122被提供到預備腔室120。其中形成有複數個通道的噴灑頭124可以將預備腔室120與製程腔室105分離,並且功能為在第一製程氣體113流入製程腔室105時均勻地分配第一製程氣體113。可以同時在第二入口123將第二氣體直接引入製程腔室105。第二製程氣體可以藉由與第一氣體113協同反應而發揮協助或增強製程的功能,並有助於清洗製程腔室105。
第一製程氣體113可以包含任何適用於形成電漿的一種或多種氣體,以蝕刻該一個或更多個層及/或基板102。 例如,在一些實施例中,該第一製程氣體可以包含氫氟碳化合物(CxHyFz)中之至少一者、含鹵素氣體例如氯(Cl2)或溴(Br2)、氧(O2)、三氟化氮(NF3)、六氟化硫(SF6)、氫氣(H2)或類似者。第一製程氣體113可以被以任何適當的流動速率提供,例如約10sccm至約1,000sccm。也可以使用其他適當的流動速率。
選擇性地,載送氣體可以被與第一製程氣體113一起提供或作為第一製程氣體113。該載送氣體可以是任何一種或更多種惰性氣體,例如氮(N2)、氦(He)、氬(Ar)、氙(Xe)或類似者。在一些實施例中,該載送氣體可以被以約10sccm至約1000sccm的流動速率提供。也可以使用其他適當的流動速率。
在描繪的實施例中,RF電極126位於預備腔室120中,而且RF電極126可在預備腔室120中以第一頻率操作並適於在製程腔室105中產生電漿。RF電極126可以包含用於支持電壓的導電金屬板材及陶瓷絕緣片,此為傳統的。RF電極126被電耦接到RF源127並由RF源127驅動。RF源127響應於來自RF脈衝產生器128的訊號而被驅動,這將在下面進一步解釋。
基板蝕刻設備100還包括基座129,基座129位於製程腔室105中並且有時適於支撐基板102。基座129被固定地安裝在主體106上。基座129可以包括加熱器130(第2B圖),在蝕刻製程開始之前加熱器130可以操作來加熱基板102。加熱器130可以是適當的加熱器,例如電阻加熱器,而 且可以操作來將基座129加熱到例如介於約30℃至約250℃之間的溫度或更高的溫度。也可以使用其他的溫度。在處理過程中,複數個導電銷131(標示了幾個)被配置並適於在蝕刻製程過程中在製程腔室105內將基板102升舉、接觸及支撐於界定的高度,如第1圖所示。
複數個導電銷131可以是導電銷組件132的一部分,導電銷組件132包含底座133,且導電銷131從底座133延伸出。導電銷131的數量可以是三個以上。在一些實施例中,導電銷131的數量可以是例如五個或更多,或甚至9個或更多。也可以使用更多或更少數量的導電銷131。導電銷131可以由導電金屬所製成,例如W/Ti合金,而且可以具有約30mm和約60mm之間的長度及約5mm和約15mm之間的直徑。也可以使用其他的尺寸和材料。在電漿處理過程中,基板102應被導電銷131放置在離噴灑頭124約10mm和約50mm之間的距離。處理過程中使用導電銷131的電連接可以在脈衝期間避免感應的電荷跳升/跳降。
第2A圖和第2B圖圖示導電銷組件132和到導電銷組件132的電連接。耦接到底座133的致動器134可以被致動而在垂直方向上升舉或降低導電銷131,從而在處理過程中在不同的時間升舉或降低基板102。第一和第二電纜線136、138電連接到導電銷組件132。底座133可以是導電的金屬,例如鋼、銅或鋁。在描繪的實施例中,直流偏壓源140通過電纜線136被電耦接到複數個導電銷131,電纜線136被耦接到導電底座133。直流脈衝產生器142(第1圖)提供脈衝化 的驅動訊號到直流偏壓源140,並且脈衝直流偏壓被提供到導電銷131。為了隔離致動器134,到底座133的連接可以包含絕緣連接器144。
基座129可以包含陶瓷材料,例如其中形成有複數個孔145的玻璃陶瓷或金屬碳化物。導電銷131被接收於孔145中並穿過孔145,而且導電銷131響應於致動器134的致動而在孔145中互逆移動。導電銷131應延伸穿過孔145例如約10mm和約30mm之間的距離。也可以使用其他的延伸值。加熱器130(例如電阻加熱器)可以被接收在基座129下方或以其他方式與基座129熱耦接,並且加熱器130被設置和操作來藉由從加熱控制器148經第二纜線138供應的功率加熱基座129。
在操作中,導電銷131可以先被升高來接收基板102,基板102在機器人端效器109上被插入通過開口108,該機器人被容置於移送室111中。可以將流量閥裝置110關閉,並且可以藉由致動器134將導電銷131降低,以使基板102與可能被加熱的基座129變成緊密熱接觸。泵149(例如真空泵)可以將製程腔室105抽空到適用於蝕刻的真空水平。基礎的真空水平可以被保持在低於約1x10-2毫托的壓力下,而處理壓力可以被保持在約次10毫托(sub 10mTorr)至約次托(sub Torr)等級的範圍中。也可以使用其他的真空壓力。
在基板102被充分加熱並提供了適當的腔室壓力之後,致動器134可以使導電銷131升高而接觸基板102並將基板102升高到製程腔室105內的預定位置。可以使第一製 程氣體113從製程氣源114流入入口122並將RF脈衝施加於RF電極126。同樣地,從直流偏壓源140將DC偏壓脈衝施加於導電銷131。
在第3圖圖示的繪示實施例中,各自對著同一時間軸圖示出主時鐘脈衝350、施加到RF電極126的RF脈衝352及施加到導電銷131的DC偏壓脈衝355的各個脈衝痕跡300。在一些實施例中,RF脈衝產生器128和DC脈衝產生器142可以藉由主時鐘155來同步,並且各自可以為電壓訊號。另外,RF脈衝產生器128和DC脈衝產生器142可以皆具有相對於主時鐘155產生的主時鐘訊號350設定的時間延遲。RF延遲358和DC偏壓延遲360(例如分別為延遲1和延遲2)可以被單獨調整,而且可以由製程控制156根據實驗的蝕刻運作來決定和設定。每個RF脈衝352和DC偏壓脈衝354的頻率可以藉由例如調整主時鐘155的頻率來進行調整。也可以使用頻率倍增器。因此,在一些實施例中,RF脈衝352的頻率可以與(例如任意多個的)DC偏壓脈衝354不同。舉例來說,在一些實施例中RF脈衝352可以操作於DC偏壓脈衝354兩倍的頻率。也可以使用其他的倍數。
DC偏壓脈衝354可以包含頻率在例如約1MHz至約60MHz之間的方波脈衝。在一些實施例中DC偏壓脈衝354的頻率可以改變。DC偏壓脈衝354可以具有例如從約10%至約90%的脈衝非空因數。脈衝的非空因數在本文中係定義為開啟時間(在峰值功率)對比一個完整週期的分率。DC偏壓脈衝354可以具有例如介於約10W至約2,000W之間的峰值 功率。在一些實施例中,DC偏壓脈衝354可從正電壓(在接通狀態)被脈衝為負電壓(處於關閉狀態)。在其他的實施例中,DC偏壓脈衝354可以是具有疊加脈衝電壓的正電壓,但施加到導電銷131的電壓永遠是正的,並且在接通狀態中有峰值電壓及在關閉狀態時有較低的電壓。可以在每個脈衝中以任何期望的圖案或隨機地調變DC偏壓脈衝354的峰值幅度。
所施加的RF脈衝352可以具有例如約2MHz和約120MHz之間的頻率。RF脈衝354可以具有介於約100W至約3,000W之間的施加峰值RF功率。在一些實施例中可以改變RF脈衝352的頻率。在其他的實施例中改變RF脈衝352的頻率和DC偏壓脈衝354的頻率。可以調整與時鐘訊號350相差的偏壓延遲360,以提供一段時間讓每個脈衝在射頻返回到關閉狀態之後允許與RIE(反應離子蝕刻)階段後剩餘的任何製程殘留物進行殘留物反應。可以將RF延遲358和偏壓延遲360調整於1%和約80%的主時鐘時間之間。也可以使用其他的延遲。
為了便於蝕刻製程的控制,可以將控制器162耦接到不同的設備組件。控制器162可以被以通用電腦處理器或微處理器的形式提供,該通用電腦處理器或微處理器可被用於控制各種功能。控制器162可以包括處理器和記憶體,例如隨機存取記憶體(RAM)、唯讀記憶體(ROM)、軟碟、硬碟或任何其他形式的數位儲存器,無論是本地或是遠端的。各種的電路可以體現製程控制156、主時鐘155、RF脈衝產 生器128、DC脈衝產生器142以及RF源127和DC偏壓源140。這些電路可以包括高速緩存、電源、時鐘電路、放大器、調變器、比較器、濾波器、訊號產生器、及輸入/輸出電路和子系統及類似者。
本文所揭示的發明方法通常可以被儲存在記憶體或電腦可讀媒體中作為軟體常式,當被處理器執行時,該軟體常式使製程腔室105依據本發明的實施例在基板102上進行蝕刻製程。
第4圖圖示適用於蝕刻基板(例如,基板102)的電漿蝕刻方法400。電漿蝕刻方法400包括在402中提供製程腔室(例如製程腔室105)內的基板,並在404中提供製程氣體(例如製程氣體113)到製程腔室。方法400進一步包括在406中使製程腔室中的製程氣體曝露於RF脈衝(例如RF脈衝352),並在408中通過與該基板導電性接觸的導電銷(例如導電銷131)提供DC偏壓脈衝(例如DC偏壓脈衝354)到基板。
由於所施加的RF脈衝352和DC偏壓脈衝354,電漿被從製程氣體113形成。一般來說,為了形成電漿,可以藉由在適當的條件下以適當的頻率將來自RF源127的RF功率耦合至製程腔室105內的製程氣體113來建立電漿,而將製程氣體113點燃成電漿。在一些實施例中,可以經由設置在預備腔室120或製程腔室105內的RF電極126提供電漿功率源。選擇性地,該RF功率源可以藉由或更多個設置在主體106內或主體106周圍的RF感應線圈來提供,並作為RF電 極。在其他的實施例中,該RF源可以是遠端源,例如Fu等人的美國專利第7,658,802號所教示的。可以使用其他適當的來源來產生該RF脈衝。
本文所述的設備和方法對於去除在蝕刻製程本身的過程中形成的非揮發性殘留物特別有效。依據本發明的一個態樣,DC功率的阻尼位置係由脈衝頻率所控制。在低的頻率範圍(例如<10MHz,取決於離子通過時間和脈衝頻率之間的關係),DC偏壓功率被耦合到電漿鞘,從而提高離子蝕刻劑的能量。在較高的頻率範圍(例如>10MHz),功率耦合可幫助整體電漿改善電漿密度和電位控制。可以進一步藉由非空因數和DC偏壓功率輸入來控制蝕刻劑的能量。因此,蝕刻速度和溝槽輪廓形狀可以得到改良。
可以提供偏壓幅度調變來相對於不良的製程區分出所需的表面反應(蝕刻)。在DC偏壓脈衝354的「DC偏壓開啟」週期期間,反應性蝕刻劑獲得能量並在工作週期內進行受控制的蝕刻。對於「DC偏壓關閉」的週期,電漿被轉移到新的平衡,用於清除蝕刻殘留物及循環反應性蝕刻劑。DC偏壓可以在約10%和約100%的峰值功率之間進行調變。
DC偏壓脈衝354可以被應用於要求寬製程空間和相對精確規格控制的介電質及/或導電材料/基板蝕刻製程中之任一者,該規格控制包括蝕刻深度、CD控制和均勻性、及溝槽輪廓。本發明的方法和設備可用於尺寸為20nm或更小的特徵(例如20nm及往後的技術節點)。
特別的是,DC偏壓脈衝可以是對過程中會發展出非 揮發性副產物的蝕刻製程明顯有益的。舉例來說,這樣的蝕刻製程包括具有CuX(其中X=Cl、Br及類似者)及/或CuO殘留物的銅蝕刻、具有TIF、TIOF、TiOx殘留物的TiN蝕刻、具有SiON殘留物或氧化層的SiN蝕刻、釕(Ru)蝕刻和相關的殘留物及類似者。藉由本方法的實施例和使用本文所述的設備100可以更有選擇性地和有效地去除非揮發性副產物(殘留物)。
可以使用另外的製程參數來促進電漿點火和電漿穩定性。例如,在一些實施例中,可以藉由與主體106熱接觸的適當加熱元件(未圖示)來加熱製程腔室105,並在電漿點火期間將製程腔室105保持在約60至約100攝氏度之間的溫度。
因此,雖然已經結合本發明的示例性實施例來揭示本發明,但應瞭解的是,其他的實施例也可以落入本發明由以下申請專利範圍所界定的範圍內。
100‧‧‧基板蝕刻設備
102‧‧‧基板
104‧‧‧主機部分
105‧‧‧製程腔室
106‧‧‧主體
107‧‧‧蓋體
108‧‧‧狹縫開口
109‧‧‧端效器
110‧‧‧流量閥裝置
111‧‧‧移送室
112‧‧‧氣體供應組件
113‧‧‧製程氣體
114‧‧‧製程氣源
116‧‧‧質量流量控制器
118‧‧‧流量控制閥
120‧‧‧預備腔室
122‧‧‧第一入口
123‧‧‧第二入口
124‧‧‧噴灑頭
126‧‧‧RF電極
127‧‧‧RF源
128‧‧‧RF脈衝產生器
129‧‧‧基座
130‧‧‧加熱器
131‧‧‧導電銷
132‧‧‧導電銷組件
133‧‧‧底座
134‧‧‧致動器
136‧‧‧第一電纜線
138‧‧‧第二電纜線
140‧‧‧直流偏壓源
142‧‧‧直流脈衝產生器
148‧‧‧加熱控制器
149‧‧‧泵
155‧‧‧主時鐘
156‧‧‧製程控制
162‧‧‧控制器

Claims (20)

  1. 一種電漿蝕刻設備,包含:一具有一製程腔室的電漿主體,該製程腔室適用於接收一基板;一射頻(RF)源,耦接至一RF電極;一基座,位於該製程腔室中並適用於支撐一基板;複數個導電銷,適用於在處理過程中接觸及支撐該基板;以及一DC偏壓源,耦接至該複數個導電銷。
  2. 如請求項1所述之電漿蝕刻設備,其中該複數個導電銷通過該基座,並且該基座為靜止的。
  3. 如請求項1所述之電漿蝕刻設備,其中該導電銷的數量包含多於三個。
  4. 如請求項1所述之電漿蝕刻設備,其中該基座包含一加熱器。
  5. 如請求項1所述之電漿蝕刻設備,其中該基座包含一陶瓷,該陶瓷具有多個孔,該等孔接收該複數個導電銷。
  6. 如請求項1所述之電漿蝕刻設備,包含一控制器,該控制器具有: 一RF脈衝產生器,耦接至該RF源並適用於產生一RF脈衝;以及一DC脈衝產生器,耦接至該DC偏壓源並適用於產生一DC偏壓脈衝。
  7. 如請求項6所述之電漿蝕刻設備,其中每個該RF脈衝產生器和該DC脈衝產生器係藉由一主時鐘同步。
  8. 如請求項6所述之電漿蝕刻設備,其中每個該RF脈衝產生器和該DC脈衝產生器可以相對於一主時鐘包括一延遲。
  9. 如請求項6所述之電漿蝕刻設備,其中該DC脈衝產生器被以一介於約1MHz和約60MHz之間的頻率驅動。
  10. 如請求項6所述之電漿蝕刻設備,其中該RF脈衝產生器被以一介於約2MHz和約120MHz之間的頻率驅動。
  11. 如請求項6所述之電漿蝕刻設備,其中該DC脈衝產生器產生一DC偏壓脈衝,該DC偏壓脈衝具有一介於10%和90%之間的非空因數(duty cycle)。
  12. 如請求項6所述之電漿蝕刻設備,其中該DC偏壓源產生一介於約10W和約2,000W之間的偏壓功率。
  13. 如請求項6所述之電漿蝕刻設備,其中該DC脈衝產生器包含幅度調變。
  14. 一種電漿蝕刻方法,包含以下步驟:在一製程腔室內提供一基板;提供一製程氣體到該製程腔室;使該製程腔室中的該製程氣體曝露於RF脈衝;以及通過與該基板處於導電接觸的導電銷提供DC偏壓脈衝到該基板。
  15. 如請求項14所述之方法,包含改變該DC偏壓脈衝之一頻率。
  16. 如請求項14所述之方法,包含改變該RF脈衝之一頻率和該DC偏壓脈衝之該頻率。
  17. 如請求項14所述之方法,包含改變該DC偏壓脈衝之一非空因數(duty cycle)。
  18. 如請求項14所述之方法,包含調變該DC偏壓脈衝之幅度。
  19. 如請求項14所述之方法,包含從該基板去除銅殘留物。
  20. 如請求項14所述之方法,其中該DC偏壓脈衝具有一介於約10W和約2,000W之間的偏壓功率。
TW103108708A 2013-03-13 2014-03-12 脈衝式直流電漿蝕刻方法以及設備 TW201448030A (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US201361779296P 2013-03-13 2013-03-13

Publications (1)

Publication Number Publication Date
TW201448030A true TW201448030A (zh) 2014-12-16

Family

ID=51528994

Family Applications (1)

Application Number Title Priority Date Filing Date
TW103108708A TW201448030A (zh) 2013-03-13 2014-03-12 脈衝式直流電漿蝕刻方法以及設備

Country Status (4)

Country Link
US (1) US20140273487A1 (zh)
CN (1) CN105122431A (zh)
TW (1) TW201448030A (zh)
WO (1) WO2014164300A1 (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI567869B (zh) * 2015-01-20 2017-01-21 台灣積體電路製造股份有限公司 內連線結構之形成方法
TWI815911B (zh) * 2018-06-22 2023-09-21 日商東京威力科創股份有限公司 電漿處理方法及電漿處理裝置
TWI834156B (zh) * 2021-06-29 2024-03-01 大陸商中微半導體設備(上海)股份有限公司 電漿約束環、電漿處理設備及處理半導體的方法

Families Citing this family (125)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
JP2016537805A (ja) 2013-09-26 2016-12-01 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板処理のための混合プラットフォームの装置、システム、及び方法
US10199256B2 (en) 2013-09-28 2019-02-05 Applied Materials, Inc. Methods and systems for improved mask processing
KR101770970B1 (ko) 2013-09-30 2017-08-24 어플라이드 머티어리얼스, 인코포레이티드 이송 챔버 가스 퍼지 장치, 전자 디바이스 프로세싱 시스템들, 및 퍼지 방법들
CN110085535A (zh) 2013-11-04 2019-08-02 应用材料公司 具有增加的侧面数量的传送腔室、半导体装置制造处理工具和处理方法
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
CN104617017A (zh) * 2015-01-12 2015-05-13 合肥京东方光电科技有限公司 基板支撑装置及支撑方法、真空干燥设备
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
JP6811732B2 (ja) 2015-06-17 2021-01-13 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 処理チャンバ中のガス制御
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10520371B2 (en) 2015-10-22 2019-12-31 Applied Materials, Inc. Optical fiber temperature sensors, temperature monitoring apparatus, and manufacturing methods
CN105428197B (zh) * 2015-11-17 2017-06-16 武汉华星光电技术有限公司 干蚀刻机及干蚀刻机的下电极
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10119191B2 (en) 2016-06-08 2018-11-06 Applied Materials, Inc. High flow gas diffuser assemblies, systems, and methods
US10684159B2 (en) 2016-06-27 2020-06-16 Applied Materials, Inc. Methods, systems, and apparatus for mass flow verification based on choked flow
US9865484B1 (en) * 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
CN106353363B (zh) * 2016-08-29 2019-08-20 电子科技大学 一种涡流热成像同步控制装置及方法
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) * 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US11694911B2 (en) * 2016-12-20 2023-07-04 Lam Research Corporation Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10361099B2 (en) 2017-06-23 2019-07-23 Applied Materials, Inc. Systems and methods of gap calibration via direct component contact in electronic device manufacturing systems
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
JP7045152B2 (ja) 2017-08-18 2022-03-31 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US10510575B2 (en) 2017-09-20 2019-12-17 Applied Materials, Inc. Substrate support with multiple embedded electrodes
US20190088518A1 (en) * 2017-09-20 2019-03-21 Applied Materials, Inc. Substrate support with cooled and conducting pins
US10904996B2 (en) 2017-09-20 2021-01-26 Applied Materials, Inc. Substrate support with electrically floating power supply
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
JP7137927B2 (ja) * 2017-12-20 2022-09-15 キオクシア株式会社 半導体装置の製造方法
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
JP6770988B2 (ja) * 2018-03-14 2020-10-21 株式会社Kokusai Electric 基板処理装置および半導体装置の製造方法
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10840086B2 (en) * 2018-04-27 2020-11-17 Applied Materials, Inc. Plasma enhanced CVD with periodic high voltage bias
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
JP7126381B2 (ja) * 2018-05-21 2022-08-26 東京エレクトロン株式会社 成膜装置および成膜方法
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
CN112673450B (zh) * 2018-07-30 2024-06-11 诺信公司 用于利用等离子体的工件加工的系统
US20200058469A1 (en) 2018-08-14 2020-02-20 Tokyo Electron Limited Systems and methods of control for plasma processing
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN118315254A (zh) 2019-01-22 2024-07-09 应用材料公司 用于控制脉冲电压波形的反馈回路
US11508554B2 (en) * 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
US11107709B2 (en) 2019-01-30 2021-08-31 Applied Materials, Inc. Temperature-controllable process chambers, electronic device processing systems, and manufacturing methods
CN112899662A (zh) * 2019-12-04 2021-06-04 江苏菲沃泰纳米科技股份有限公司 Dlc制备装置和制备方法
JP7450455B2 (ja) * 2020-05-13 2024-03-15 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP7504686B2 (ja) * 2020-07-15 2024-06-24 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US11848176B2 (en) 2020-07-31 2023-12-19 Applied Materials, Inc. Plasma processing using pulsed-voltage and radio-frequency power
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
CN112760609B (zh) * 2020-12-22 2022-10-21 北京北方华创微电子装备有限公司 磁控溅射设备
US11898245B2 (en) * 2021-02-26 2024-02-13 Applied Materials, Inc. High throughput and metal contamination control oven for chamber component cleaning process
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US11984306B2 (en) 2021-06-09 2024-05-14 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
US11961716B2 (en) 2021-12-09 2024-04-16 Industrial Technology Research Institute Atomic layer deposition method
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6170428B1 (en) * 1996-07-15 2001-01-09 Applied Materials, Inc. Symmetric tunable inductively coupled HDP-CVD reactor
JP4114972B2 (ja) * 1997-05-27 2008-07-09 キヤノンアネルバ株式会社 基板処理装置
US7126808B2 (en) * 2003-04-01 2006-10-24 Varian Semiconductor Equipment Associates, Inc. Wafer platen equipped with electrostatic clamp, wafer backside gas cooling, and high voltage operation capability for plasma doping
US20060005856A1 (en) * 2004-06-29 2006-01-12 Applied Materials, Inc. Reduction of reactive gas attack on substrate heater
US20070068623A1 (en) * 2005-09-27 2007-03-29 Yunsang Kim Apparatus for the removal of a set of byproducts from a substrate edge and methods therefor
US20080160212A1 (en) * 2006-12-27 2008-07-03 Bon-Woong Koo Method and apparatuses for providing electrical contact for plasma processing applications
US7813103B2 (en) * 2007-10-11 2010-10-12 Applied Materials, Inc. Time-based wafer de-chucking from an electrostatic chuck having separate RF BIAS and DC chucking electrodes
US8382999B2 (en) * 2009-03-26 2013-02-26 Applied Materials, Inc. Pulsed plasma high aspect ratio dielectric process
US8404598B2 (en) * 2009-08-07 2013-03-26 Applied Materials, Inc. Synchronized radio frequency pulsing for plasma etching
US8435902B2 (en) * 2010-03-17 2013-05-07 Applied Materials, Inc. Invertable pattern loading with dry etch

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI567869B (zh) * 2015-01-20 2017-01-21 台灣積體電路製造股份有限公司 內連線結構之形成方法
TWI815911B (zh) * 2018-06-22 2023-09-21 日商東京威力科創股份有限公司 電漿處理方法及電漿處理裝置
TWI834156B (zh) * 2021-06-29 2024-03-01 大陸商中微半導體設備(上海)股份有限公司 電漿約束環、電漿處理設備及處理半導體的方法

Also Published As

Publication number Publication date
CN105122431A (zh) 2015-12-02
US20140273487A1 (en) 2014-09-18
WO2014164300A1 (en) 2014-10-09

Similar Documents

Publication Publication Date Title
TW201448030A (zh) 脈衝式直流電漿蝕刻方法以及設備
TW201440141A (zh) 用於銅之uv輔助反應性離子蝕刻
TWI630654B (zh) 使用遠端電漿源以凹陷超低k介電質
TWI556305B (zh) 透過介穩氫終止之矽的選擇性蝕刻
US8389416B2 (en) Process for etching silicon with selectivity to silicon-germanium
KR102419980B1 (ko) 금속 실리사이드 배선 나노와이어 구조를 형성하기 위한 방법들
KR20200053623A (ko) 고 에너지 ale (atomic layer etching)
US20120088371A1 (en) Methods for etching substrates using pulsed dc voltage
KR20200044978A (ko) 선택적으로 에칭되는 자기-정렬된 비아 프로세스들
KR20170100435A (ko) 극저온 웨이퍼 온도들을 활용하는 이온 빔 에칭
KR20160127891A (ko) 싸이클 공정을 이용한 수직 패턴의 형성방법
TW201519318A (zh) 用於原位金屬硬遮罩形狀控制之脈衝介電蝕刻程序以致能無空隙金屬化
JP7270740B2 (ja) 3dnand応用のためのメモリセルの製造
US20150056808A1 (en) Method of etching silicon oxide film
US11942332B2 (en) Methods of etching metal-containing layers
US20150294843A1 (en) Methods for extending chamber component life for plasma processing semiconductor applications
TW201448024A (zh) 在多層薄膜堆疊中蝕刻自對準穿孔及溝槽之方法
TW201703074A (zh) 蝕刻磁性層之方法
KR102547322B1 (ko) 기판을 프로세싱 하는 방법
TWI458013B (zh) 矽氮化物之選擇性蝕刻製程
KR20080054430A (ko) 에칭 방법 및 에칭 장치
US20170125517A1 (en) Method of corner rounding and trimming of nanowires by microwave plasma
TW201543566A (zh) 電漿蝕刻室中之半導體基板的上表面之平坦化方法
US11527414B2 (en) Methods for etching structures with oxygen pulsing
US10256112B1 (en) Selective tungsten removal