KR102419980B1 - 금속 실리사이드 배선 나노와이어 구조를 형성하기 위한 방법들 - Google Patents

금속 실리사이드 배선 나노와이어 구조를 형성하기 위한 방법들 Download PDF

Info

Publication number
KR102419980B1
KR102419980B1 KR1020177013701A KR20177013701A KR102419980B1 KR 102419980 B1 KR102419980 B1 KR 102419980B1 KR 1020177013701 A KR1020177013701 A KR 1020177013701A KR 20177013701 A KR20177013701 A KR 20177013701A KR 102419980 B1 KR102419980 B1 KR 102419980B1
Authority
KR
South Korea
Prior art keywords
silicide layer
substrate
metal silicide
way
plasma
Prior art date
Application number
KR1020177013701A
Other languages
English (en)
Other versions
KR20170076731A (ko
Inventor
벤처키 메바키
안나말라이 락쉬마난
카우살 케이. 싱흐
앤드류 코크번
루도빅 고뎃
폴 에프. 마
메훌 비. 나이크
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Priority to KR1020227009309A priority Critical patent/KR102527297B1/ko
Publication of KR20170076731A publication Critical patent/KR20170076731A/ko
Application granted granted Critical
Publication of KR102419980B1 publication Critical patent/KR102419980B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/42Silicides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32321Discharge generated by other radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32321Discharge generated by other radiation
    • H01J37/32339Discharge generated by other radiation using electromagnetic radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/268Bombardment with radiation with high-energy radiation using electromagnetic radiation, e.g. laser radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/268Bombardment with radiation with high-energy radiation using electromagnetic radiation, e.g. laser radiation
    • H01L21/2686Bombardment with radiation with high-energy radiation using electromagnetic radiation, e.g. laser radiation using incoherent radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32051Deposition of metallic or metal-silicide layers
    • H01L21/32053Deposition of metallic or metal-silicide layers of metal-silicide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53271Conductive materials containing semiconductor material, e.g. polysilicon

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Analytical Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Toxicology (AREA)
  • Electromagnetism (AREA)
  • Health & Medical Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Optics & Photonics (AREA)
  • Inorganic Chemistry (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

반도체 애플리케이션들을 위한 백-엔드 배선 구조들을 위한 나노와이어들로서 금속 실리사이드를 형성하기 위한 방법들 및 장치가 제공된다. 일 실시예에서, 방법은, 화학 기상 증착 프로세스 또는 물리 기상 증착 프로세스에 의해 기판 상에 금속 실리사이드 층을 형성하는 단계, 프로세싱 챔버에서 금속 실리사이드 층을 열 처리하는 단계, 금속 실리사이드 층을 열 처리하는 동안에, 프로세싱 챔버에 마이크로파 전력을 인가하는 단계; 및 금속 실리사이드 층을 열 처리하는 동안에, 섭씨 400 도 미만의 기판 온도를 유지하는 단계를 포함한다. 다른 실시예에서, 방법은, 기판의 표면 상에 적어도 금속 함유 전구체 및 반응 가스를 포함하는 증착 가스 혼합물을 공급하는 단계, 마이크로파 전력에 대한 노출에 의해 증착 가스 혼합물의 존재 시에 플라즈마를 형성하는 단계, 광 복사에 플라즈마를 노출시키는 단계, 및 증착 가스로부터 기판 상에 금속 실리사이드 층을 형성하는 단계를 포함한다.

Description

금속 실리사이드 배선 나노와이어 구조를 형성하기 위한 방법들{METHODS FOR FORMING A METAL SILICIDE INTERCONNECTION NANOWIRE STRUCTURE}
[0001] 본 발명의 실시예들은 일반적으로, 반도체 디바이스들을 형성하기 위한 방법들에 관한 것이다. 더 상세하게는, 본 발명의 실시예들은 일반적으로, 반도체 애플리케이션들을 위해 니켈 실리사이드를 사용하여 배선 구조(interconnection structure)를 형성하기 위한 방법들에 관한 것이다.
[0002] 집적 회로들은 단일 칩 상에 수백만개의 컴포넌트들(예컨대, 트랜지스터들, 캐패시터들, 및 저항기들)을 포함할 수 있는 복잡한 디바이스들로 발전하였다. 칩 설계들의 발전은 더 빠른 회로 및 더 큰 회로 밀도들을 계속 요구한다. 더 큰 회로 밀도들에 대한 요구는 집적 회로 컴포넌트들의 치수들에서의 감소를 필요로 한다.
[0003] 집적 회로 컴포넌트들의 치수들이 (예컨대, 서브-미크론 치수들로) 감소됨에 따라, 그러한 컴포넌트들을 제작하기 위해 사용되는 재료들이 그러한 컴포넌트들의 전기 성능에 기여한다. 피처(feature) 폭들이 감소됨에 따라, 디바이스 전류가 전형적으로, 일정하게 유지되거나 또는 증가되고, 이는 그러한 피처들에 대해 전류 밀도를 증가시키게 된다. 더 높은 디바이스 밀도들, 더 빠른 동작 주파수들, 및 더 큰 다이 사이즈들은 배선 구조들에서 사용될 종래의 알루미늄보다 더 낮은 저항률을 갖는 금속에 대한 필요성을 만들게 되었다. 더 낮은 저항률을 갖는 구리 재료들이 그러한 구리의 높은 전도도로 인해 수십년 동안 사용되어 왔다. 그러나, 논의되는 바와 같이, 라인 폭들이 대략 50 nm 미만으로 축소되고, 구리에서의 전자들의 평균 자유 행로(39 nm)에 접근함에 따라, 작은 사이즈 효과가 또한, 구리의 저항률을 증가시킬 수 있다. 저항률 증가는 라인의 표면에서의 그리고 결정립계들에서의 전자 스캐터링(electron scattering)에 의해 야기된다.
[0004] 통상적인 구리 와이어는 또한, 전류 밀도가 특정한 레벨을 초과하는 경우에 일렉트로마이그레이션(electromigration)을 야기할 수 있다. 일렉트로마이그레이션 결함들은 나노미터-사이즈 구리 배선들의 신뢰성을 위협한다. 일렉트로마이그레이션은 와이어 고장을 초래하는 내부 및 외부 공동(cavity)들을 야기한다. 예컨대, 일렉트로마이그레이션은, 구리 배선 내에서 충분히 큰 공극이 형성되는 경우에, 증가된 전기 저항 또는 심지어 개방 회로를 초래할 수 있다.
[0005] 차세대 작은 치수 기술들에 대한 그러한 결점들을 극복하기 위해, 탄소 나노튜브들 등과 같은 다수의 새로운 재료들이, 더 우수한 전기 전도 특성들, 더 낮은 전기 저항, 뿐만 아니라, 더 높은 디바이스 속도로 구리를 대체하기 위한 가능성들을 위해 연구되어 왔다. 그러나, 원하는 전기 특성들, 높은 기계적인 강도, 및 통합 능력(integration capability)으로 배선 구조에 새로운 재료들을 통합하는 것에는 수개의 난제들이 남아 있다.
[0006] 따라서, 반도체 배선 제조 프로세스를 위한 금속 배선을 위한 적합한 재료에 대한 필요성이 존재한다.
[0007] 반도체 애플리케이션들을 위한 나노-스케일 백-엔드(back-end) 배선 구조들을 위한 나노와이어(nanowire)들로서 금속 실리사이드 재료를 형성하기 위한 방법들 및 장치가 제공된다. 일 실시예에서, 반도체 디바이스들을 위한 백-엔드 배선 구조에서 나노와이어들을 형성하기 위한 방법은, 화학 기상 증착 프로세스 또는 물리 기상 증착 프로세스에 의해 기판 상에 금속 실리사이드 층을 형성하는 단계, 프로세싱 챔버에서 금속 실리사이드 층을 열 처리하는 단계, 금속 실리사이드 층을 열 처리하는 동안에, 프로세싱 챔버에 마이크로파 전력을 인가하는 단계; 및 금속 실리사이드 층을 열 처리하는 동안에, 섭씨 400 도 미만의 기판 온도를 유지하는 단계를 포함한다.
[0008] 다른 실시예에서, 반도체 디바이스들을 위한 백-엔드 배선 구조에서 나노와이어들을 형성하기 위한 방법은, 기판의 표면 상에 적어도 금속 함유 전구체 및 반응 가스를 포함하는 증착 가스 혼합물을 공급하는 단계, 마이크로파 전력에 대한 노출에 의해 증착 가스 혼합물의 존재 시에 플라즈마를 형성하는 단계, 광 복사에 플라즈마를 노출시키는 단계, 및 증착 가스로부터 기판 상에 금속 실리사이드 층을 형성하는 단계를 포함한다.
[0009] 또 다른 실시예에서, 반도체 디바이스들을 위한 백-엔드 배선 구조를 위한 금속 실리사이드 나노와이어들을 형성하기 위한 장치는, 플라즈마 프로세싱 챔버의 내부 볼륨을 정의하는, 챔버 측벽 상에 배치된 챔버 덮개를 갖는 챔버 바디, 플라즈마 프로세싱 챔버의 내부 볼륨 내로 니켈 함유 화합물을 제공하도록 구성된 가스 패널, 플라즈마 프로세싱 챔버의 내부 볼륨에 배치된 기판 지지 페데스탈(pedestal), 챔버 바디에 커플링된 마이크로파 생성기, 및 약 1 mm 내지 약 1000 mm의 파장을 갖는 광에 기판 지지 페데스탈 상에 배치된 기판을 노출시키도록 구성된 광원을 포함한다.
[0010] 본 발명의 상기 열거된 특징들이 상세히 이해될 수 있는 방식으로, 앞서 간략히 요약된 본 발명의 보다 구체적인 설명이 실시예들을 참조로 하여 이루어질 수 있는데, 이러한 실시예들의 일부는 첨부된 도면들에 예시되어 있다. 그러나, 첨부된 도면들은 단지 본 발명의 전형적인 실시예들을 도시하는 것이므로, 본 발명의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본 발명이 다른 균등하게 유효한 구현들을 허용할 수 있기 때문이다.
[0011] 도 1a는 화학 기상 증착 프로세스를 수행하도록 적응된 플라즈마 프로세싱 챔버의 단면도이다.
[0012] 도 1b는 화학 기상 증착 프로세스를 수행하도록 적응된 플라즈마 프로세싱 챔버의 다른 실시예의 단면도이다.
[0013] 도 2는 도 1의 플라즈마 프로세싱 챔버를 활용하여 기판 상에 금속 실리사이드 층을 형성하기 위한 흐름도를 도시한다.
[0014] 도 3a 및 도 3b는 도 2에서 도시된 프로세스에 따라 기판 상에 형성된 금속 실리사이드 층의 단면도들을 도시한다.
[0015] 도 4a 및 도 4b는 도 2에서 형성된 금속 실리사이드 층이 반도체 백-엔드 배선 구조에서 어떻게 활용될 수 있는지에 관한 제조 시퀀스를 도시한다.
[0016] 도 5a 및 도 5b는 도 2에서 형성된 금속 실리사이드 층이 반도체 백-엔드 배선 구조에서 어떻게 활용될 수 있는지에 관한 다른 제조 시퀀스를 도시한다.
[0017] 이해를 용이하게 하기 위해, 도면들에 대해 공통인 동일한 엘리먼트들을 지정하기 위해 가능한 경우에 동일한 참조 번호들이 사용되었다. 일 실시예의 엘리먼트들 및 특징들이 추가적인 설명 없이 다른 실시예들에 유익하게 포함될 수 있다는 것이 고려된다.
[0018] 그러나, 첨부된 도면들은 단지 본 발명의 예시적인 실시예들을 도시하는 것이므로, 본 발명의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본 발명이 다른 균등하게 유효한 구현들을 허용할 수 있기 때문이다.
[0019] 본 개시내용의 실시예들은 반도체 디바이스 제조를 위한 백-엔드 배선 구조들에서 전도성 나노와이어들로서 활용될 수 있는 금속 실리사이드 층을 형성하기 위한 방법들 및 장치를 설명한다. 일 예에서, 금속 실리사이드 층은 섭씨 400 도 미만의 온도 하에서 증착 프로세스에 의해 형성된다. 금속 실리사이드 층을 형성하기 위해 활용될 수 있는 적합한 증착 기법들은 플라즈마 강화 화학 기상 증착(PECVD), 물리 기상 증착 프로세스(PVD), 금속 유기 화학 기상 증착(MOCVD), 열 화학 기상 증착(열-CVD) 프로세스, 저압 화학 기상 증착(LPCVD), 대기-하 화학 기상 증착(SACVD) 등, 또는 임의의 적합한 증착 기법들을 포함한다. 일 특정한 예에서, 금속 실리사이드 층은 IR 광 및 마이크로파 강화 플라즈마를 이용하여 화학 기상 증착에 의해 형성될 수 있다.
[0020] 도 1a는 반도체 디바이스 제조를 위한 백-엔드 배선 구조들에서 전도성 나노와이어들로서 활용될 수 있는 금속 실리사이드 층을 형성하는데 적합한 플라즈마 프로세싱 시스템(132)의 단면도이다. 프로세싱 시스템(132)은 캘리포니아, 산타클라라의, 어플라이드 머티어리얼스 인코포레이티드로부터 입수가능한 적합하게 적응된 CENTURA®, Producer® SE, 또는 Producer® GT 프로세싱 시스템일 수 있다. 다른 제조자들에 의해 생산되는 것들을 포함하는 다른 프로세싱 시스템들이 본원에서 설명되는 실시예들로부터 이익을 얻을 수 있다는 것이 예상된다.
[0021] 프로세싱 시스템(132)은 제어기(110)에 커플링된 프로세스 챔버(100)를 포함한다. 프로세스 챔버(100)는 일반적으로, 천장(124), 측면(101), 및 바닥 벽(122)을 포함하고, 그러한 천장(124), 측면(101), 및 바닥 벽(122)은 내부 볼륨(126)을 정의한다.
[0022] 지지 페데스탈(150)이 챔버(100)의 내부 볼륨(126)에 제공된다. 페데스탈(150)은 알루미늄, 세라믹, 및 다른 적합한 재료들로 제작될 수 있다. 일 실시예에서, 페데스탈(150)은, 페데스탈(150)에 열적 손상을 발생시키지 않으면서 플라즈마 프로세스 환경과 같은 고온 환경에서 사용하는데 적합한 재료인, 알루미늄 질화물과 같은 세라믹 재료에 의해 제작된다. 페데스탈(150)은 리프트 메커니즘(미도시)을 사용하여 챔버(100) 내부에서 수직 방향으로 이동될 수 있다.
[0023] 페데스탈(150)은 페데스탈(150) 상에 지지되는 기판(190)의 온도를 제어하는데 적합한 임베딩된(embedded) 가열기 엘리먼트(170)를 포함할 수 있다. 일 실시예에서, 페데스탈(150)은 전력 공급부(106)로부터 가열기 엘리먼트(170)로 전류를 인가함으로써 저항성으로 가열될 수 있다. 일 실시예에서, 가열기 엘리먼트(170)는 니켈-철-크롬 합금(예컨대, INCOLOY®) 시스 튜브에 봉지된(encapsulated) 니켈-크롬 와이어로 제조될 수 있다. 전력 공급부(106)로부터 공급되는 전류는, 가열기 엘리먼트(170)에 의해 생성되는 열을 제어하여 막 증착 동안에 임의의 적합한 온도 범위에서 실질적으로 일정한 온도로 기판(190) 및 페데스탈(150)을 유지하기 위해, 제어기(110)에 의해 조절된다. 다른 실시예에서, 페데스탈은 필요에 따라 실온으로 유지될 수 있다. 또 다른 실시예에서, 페데스탈(105)은 또한, 필요에 따라 실온 미만의 범위로 페데스탈(150)을 냉각시키기 위해, 필요에 따라 칠러(chiller)(미도시)를 포함할 수 있다. 공급되는 전류는 섭씨 약 100 도 내지 섭씨 약 450 도로 페데스탈(150)의 온도를 선택적으로 제어하기 위해 조정될 수 있다.
[0024] 열전대와 같은 온도 센서(172)가 통상적인 방식으로 페데스탈(150)의 온도를 모니터링하기 위해 지지 페데스탈(150)에 임베딩될 수 있다. 측정된 온도는 원하는 온도로 기판을 유지하도록 가열 엘리먼트(170)에 공급되는 전력을 제어하기 위해 제어기(110)에 의해 사용된다.
[0025] 페데스탈(150)은 일반적으로, 페데스탈(150)로부터 기판(190)을 리프팅하고 통상적인 방식으로 로봇(미도시)을 이용한 기판(190)의 교환을 용이하게 하도록 구성된, 그러한 페데스탈(150)을 통해 배치된 복수의 리프트 핀들(미도시)을 포함한다.
[0026] 페데스탈(150)은 페데스탈(150) 상에 기판(190)을 보유하기 위해 적어도 하나의 전극(192)을 포함한다. 전극(192)은, 통상적으로 알려져 있는 바와 같이, 페데스탈 표면에 대해 기판(190)을 홀딩하는 정전력을 발생시키도록 척킹 전력 소스(108)에 의해 구동된다. 대안적으로, 기판(190)은 클램핑, 진공, 또는 중력에 의해 페데스탈(150)에 대해 보유될 수 있다.
[0027] 일 실시예에서, 페데스탈(150)은 적어도 하나의 RF 바이어스 전력 소스들(184, 186)에 커플링된 전극(192)이 내부에 임베딩된 캐소드(cathode)로서 구성된다. 도 1a에서 도시된 예가 2개의 RF 바이어스 전력 소스들(184, 186)을 도시하고 있지만, RF 바이어스 전력 소스들의 개수는 필요에 따라 임의의 개수일 수 있다는 것이 유의된다. RF 바이어스 전력 소스들(184, 186)은 페데스탈(150)에 배치된 전극(192)과 프로세싱 챔버(100)의 천장(덮개(124)) 또는 (도 1b에서 도시된) 샤워헤드 어셈블리(142)와 같은 다른 전극 사이에 커플링된다. RF 바이어스 전력 소스(184, 186)는 프로세싱 챔버(100)의 프로세싱 구역에 배치된 가스들로부터 형성되는 플라즈마 방전을 여기시키고 지속시킨다.
[0028] 도 1a에서 도시된 실시예에서, 듀얼 RF 바이어스 전력 소스들(184, 186)은 정합 회로(104)를 통해, 페데스탈(150)에 배치된 전극(192)에 커플링된다. RF 바이어스 전력(184, 186)에 의해 생성되는 신호는 플라즈마 프로세싱 챔버(100)에 제공된 가스 혼합물을 이온화시킴으로써 증착 또는 다른 플라즈마 강화 프로세스를 수행하는데 필요한 이온 에너지를 제공하도록, 정합 회로(104)를 통해 단일 피드(feed)를 통하여 페데스탈(150)에 전달된다. RF 바이어스 전력 소스들(184, 186)은 일반적으로, 약 50 kHz 내지 약 200 MHz의 주파수 및 약 0 와트 내지 약 5000 와트의 전력을 갖는 RF 신호를 생성할 수 있다.
[0029] 진공 펌프(102)가 챔버(100)의 바닥(122)에 형성된 포트에 커플링된다. 진공 펌프(102)는 프로세스 챔버(100)에서 원하는 가스 압력을 유지하기 위해 사용된다. 진공 펌프(102)는 또한, 챔버(100)로부터 프로세스의 프로세싱-후 가스들 및 부산물들을 진공배기시킨다.
[0030] 광원(140)이 프로세싱 챔버(100)의 덮개(124)를 부분적으로 정의하면서 프로세싱 챔버(100) 상에 배치된다. 광원(140)은 윈도우(138)를 통해, 기판 페데스탈(150) 상에 배치된 기판(190)에 열 에너지를 제공하도록 구성된다. 윈도우(138)는 전형적으로, 프로세싱 챔버(100)의 덮개(124)에 배치된 석영으로 제조되고, 광원(140)에 의해 적어도 부분적으로 덮인다.
[0031] 광원(140)은 다양한 형태들의 복사 가열기들을 포함할 수 있다. 일 예에서, 광원(140)은 하나 또는 그 초과의 광원들(141)이 내부에 배치된 하우징(139)을 포함한다. 전력 연결(미도시)이 광원들(141)에 전력을 제공하는 것을 용이하게 하도록 광원(140)에 커플링될 수 있다. 일 예에서, 광원(140)에 배치된 하나 또는 그 초과의 광원들(141)은 복사 에너지, 예컨대 IR 복사 및/또는 약 400 nm 내지 약 4000 nm의 파장을 갖는 더 긴 파장의 UV 복사를 기판(190)에 제공할 수 있다. 일 실시예에서, 광원들(141)로부터 제공되는 복사 에너지는 프로세싱 동안에 화학 반응을 용이하게 하기 위해, 기판(190)에 방출될, 플라즈마로부터 생성되는 광자들을 강화하는 것으로 생각된다. 광원들(141)은 플라즈마에 IR 및/또는 UV 광, 예컨대 광자들을 제공하고, 그에 따라, 기판 표면(191)에 걸친 광자들의 분배를 강화한다.
[032] 프로세싱 챔버(100)는 프로세싱 챔버(100)의 덮개(124) 또는 측벽들(101)을 통해 커플링된 하나 또는 그 초과의 가스 전달 통로들(135)을 포함한다. 가스 전달 통로들(135) 및 진공 펌프(102)는 입자 오염을 최소화하기 위해 내부 볼륨(126) 내에서 층류를 유발하도록 프로세싱 챔버(100)의 대향하는 단부들에 위치된다. 일 실시예에서, 2개 또는 그 초과의 가스 전달 통로들(135)이 프로세싱 챔버(100)의 덮개(124)를 통해 배치된다. 가스 전달 통로(135)는 전형적으로, 가스 패널(130)로부터의 프로세싱 가스들이 내부 볼륨(126) 내로 그리고 밖으로 유동하는 것을 선택적으로 허용하기 위해 밸브(136)에 커플링된다. 대안적으로, 부가적인 통로들(134)이 기판(190)의 표면(191)에 걸친 더 균일한 가스 분배를 제공하기 위해, 벽들(101) 근처에 위치될 수 있다.
[033] 가스 전달 통로(135)는 내부 볼륨(126) 내로 가스 혼합물을 제공하기 위해 밸브(136)를 통해 가스 패널(130)에 커플링된다. 일 실시예에서, 가스 전달 통로들(135)은 가스 분배 링으로서 구성될 수 있고, 여기에서, 가스 혼합물은 유동 균일성을 최적화하기 위해 홀들의 어레이를 통해 벽들(101) 근처로부터 분배될 수 있다. 다른 실시예에서, 가스 혼합물은 광원(140) 아래에 배치된 (도 1b에서 도시된) 가스 분배 플레이트(142)를 통해 프로세싱 챔버(100)에 공급될 수 있다. 가스 분배 플레이트는 광원(140)으로부터 생성되는 열에 대해 투과적인, 예컨대, 기판 페데스탈(150) 상에 위치된 기판들의 가열과 실질적으로 간섭하지 않는 재료에 의해 제작될 수 있다. 가스 패널(130)로부터 공급될 수 있는 가스들의 예들은 금속 함유 전구체들, 반응 가스들, 및 캐리어 가스들을 포함할 수 있다. 금속 함유 전구체들의 적합한 예들은 N,N'-디-터트-부틸아세트아미디네이토)2Ni, Ni(C5H5)2, Ni[N(t-uut)2], Ni((tBu2N)2CCH3)2, 비스(시클로펜타디에닐)니켈, 비스(에틸펜타디에닐)니켈, Ni[(C2H5)(C5H4)2], 디(터셔리부틸)아미도니켈, 및 RxOvNiy(OR')z, 또는 임의의 적합한 금속 함유 전구체들 등을 포함한다. 반응 가스들의 적합한 예들은 실리콘 함유 가스, 예컨대 SiH4, Si2H6, SiF4, SiH2Cl2, Si4H10, Si5H12 등을 포함한다. 적합한 캐리어 가스는 질소(N2), 아르곤(Ar), 수소(H2), 알칸들, 알켄들, 헬륨(He), 산소(O2), 오존(O3), 수증기(H2O) 등을 포함한다.
[034] 일 실시예에서, 원격 플라즈마 소스(RPS)(148)가 내부 볼륨(126)에서 플라즈마를 형성하는 것을 보조하기 위해 가스 전달 통로들(135)에 선택적으로 커플링될 수 있다. 원격 플라즈마 소스(148)는 프로세싱 챔버(100)에 가스 패널(130)에 의해 제공되는 가스 혼합물로부터 형성되는 플라즈마를 제공한다.
[035] 게다가, 마이크로파 생성기(181)가 프로세싱 챔버(100)의 덮개(124)(또는 벽(101)에 커플링될 수 있다. 유사하게, 프로세싱 챔버(100)에 커플링된 마이크로파 생성기(181)는 기판 표면(191)에 걸친 화학 반응을 강화하기 위해, 반응성 종이 되기 위한 가스 혼합물로부터의 가스들의 해리를 보조할 수 있다.
[036] 제어기(110)는, 프로세스 시퀀스를 제어하고 가스 패널(130)로부터의 가스 유동들을 조절하기 위해 활용되는, 중앙 프로세싱 유닛(CPU)(112), 메모리(116), 및 지원 회로(114)를 포함한다. CPU(112)는 산업 현장에서 사용될 수 있는 임의의 형태의 범용 컴퓨터 프로세서일 수 있다. 소프트웨어 루틴들이 랜덤 액세스 메모리, 판독 전용 메모리, 플로피 또는 하드 디스크 드라이브, 또는 다른 형태의 디지털 스토리지와 같은 메모리(116)에 저장될 수 있다. 지원 회로(114)는 CPU(112)에 통상적으로 커플링되고, 캐시, 클록 회로들, 입력/출력 시스템들, 전력 공급부들 등을 포함할 수 있다. 프로세싱 시스템(132)의 다양한 컴포넌트들과 제어 유닛(110) 사이의 양방향성 통신들은 신호 버스들(118)이라고 일괄적으로 지칭되는 다수의 신호 케이블들을 통해 핸들링되고, 그러한 신호 케이블들 중 몇몇이 도 1a에서 예시된다.
[037] 도 1b는 금속 실리사이드 층을 형성하기 위해 활용될 수 있는 플라즈마 프로세싱 챔버(151)의 다른 실시예를 도시한다. 도 1b에서 도시된 플라즈마 프로세싱 챔버(151)의 구성은 도 1a에서 도시된 프로세싱 챔버(100)의 구성과 유사하지만, 샤워헤드(142)가 프로세싱 챔버(151)의 천장(125)에 커플링된다. 도 1a의 광원(140)이 프로세싱 챔버(100)의 천장(124) 위에 배치되는 것과 다르게, 도 1b에서 도시된 프로세싱 챔버(151)는 프로세싱 챔버(151)의 천장(125)의 중앙 구역 상에 가스 패널(193)이 배치되면서, 천장(125)의 에지 상에 형성된 광원(180)을 갖는다. 광원(180)은 환상 어레이들의 형태일 수 있다. 유사하게, 광원(180)은 기판 표면(191) 상에 발생되는 화학 반응을 보조할 수 있는, 플라즈마에서의 광자 생성을 강화하는 복사를 제공할 수 있는 광원(141)과 유사하다.
[0038] 일 예에서, 복수의 구멍들(143)을 갖는 샤워헤드(142)는 페데스탈(150) 위에서 프로세스 챔버(151)의 천장(125)에 커플링된다. 샤워헤드(142)의 구멍들(143)은 가스 패널(193)로부터 챔버(151) 내로 프로세스 가스들을 도입하기 위해 활용된다. 구멍들(143)은 상이한 프로세스 요건들을 위한 다양한 프로세스 가스들의 유동을 용이하게 하기 위해, 상이한 사이즈들, 수, 분포들, 형상, 디자인, 및 직경들을 가질 수 있다. 기판(190)의 표면(191) 상에 재료가 증착되게 하는, 프로세스 가스들의 열 분해를 강화하기 위해, 샤워헤드(142)에서 빠져나가는 프로세스 가스 혼합물로부터 플라즈마가 형성된다.
[0039] 샤워헤드(142) 및 기판 지지 페데스탈(150)은 내부 볼륨(126)에서 이격된 전극들의 쌍으로 형성될 수 있다. 하나 또는 그 초과의 RF 소스들(147)이 샤워헤드(142)와 페데스탈(150) 사이의 플라즈마의 생성을 용이하게 하기 위해, 정합 네트워크(145)를 통해 샤워헤드(142)에 바이어스 전위를 제공한다. 대안적으로, RF 전력 소스들(147) 및 정합 네트워크(145)는 샤워헤드(142)에 커플링될 수 있거나, 기판 페데스탈(150)에 커플링될 수 있거나, 또는 샤워헤드(142) 및 기판 페데스탈(150) 양자 모두에 커플링될 수 있거나, 또는 챔버(151)의 외부에 배치된 안테나(미도시)에 커플링될 수 있다. 일 실시예에서, RF 소스들(147)은 약 30 kHz 내지 약 13.6 MHz의 주파수로 약 10 와트 내지 약 3000 와트를 제공할 수 있다. 대안적으로, RF 소스(147)는 내부 볼륨(126)에서의 플라즈마의 생성을 보조하는 마이크로파 전력을 샤워헤드(142)에 제공하는 마이크로파 생성기일 수 있다.
[0040] 도 2는 반도체 디바이스 제조를 위한 전도성 나노와이어들로서 활용될 수 있는 금속 실리사이드 층을 섭씨 400 도 미만과 같은 저온에서 기판 상에 형성하기 위해 사용되는 프로세스(200)를 예시한다. 도 2에서 설명되는 시퀀스는 아래에서 논의되는 도 3a 및 도 3b에서 도시된 제작 스테이지들에 대응한다. 도 3a 및 도 3b는 도 2에서 설명되는 프로세스(200)를 사용하여 금속 실리사이드 층(308)이 위에 형성되게 하기 위해 활용될 수 있는 막 스택(306)이 위에 배치된 기판(300)의 개략적인 단면도들을 예시한다.
[041] 프로세스 시퀀스(200)는 도 1a 및 도 1b에서 도시된 프로세싱 챔버들(100 및 151) 또는 다른 적합한 프로세싱 챔버와 같은 프로세싱 챔버 내로 도 3a에서 도시된 기판(300)과 같은 기판이 배치되는 것으로 동작(202)에서 시작된다. 도 3a에서 도시된 기판(300)은 기판(300) 상에 형성된 막 스택(306)을 포함한다. 막 스택(306)은 저-k 절연성 유전체 재료(302) 상에 배치된 배리어 층(304)을 포함한다. 일 예에서, 기판(300)은 실질적으로 평탄한 표면, 평탄하지 않은 표면, 또는 구조가 위에 형성된 실질적으로 평탄한 표면을 가질 수 있다. 기판(300)은 결정질 실리콘(예컨대, Si<100> 또는 Si<111>), 실리콘 산화물, 스트레인드 실리콘(strained silicon), 실리콘 게르마늄, 도핑된 또는 도핑되지 않은 폴리실리콘, 도핑된 또는 도핑되지 않은 실리콘 웨이퍼들 및 패터닝된 또는 패터닝되지 않은 웨이퍼들, SOI(silicon on insulator), 탄소 도핑된 실리콘 산화물들, 실리콘 질화물, 도핑된 실리콘, 게르마늄, 갈륨 비소, 유리, 사파이어와 같은 재료일 수 있다. 기판(300)은 다양한 치수들, 예컨대 200 mm 또는 300 mm 직경의 웨이퍼들, 뿐만 아니라, 직사각형 또는 정사각형 패널들을 가질 수 있다. 다르게 지적되지 않는 한, 본원에서 설명되는 실시예들 및 예들은 200 mm 직경, 300 mm 직경, 450 mm 직경을 갖는 기판들 상에서 실시된다. SOI 구조가 기판(300)을 위해 활용되는 예에서, 기판(300)은 실리콘 결정질 기판 상에 배치된 매설된 유전체 층을 포함할 수 있다. 본원에서 도시된 실시예에서, 기판(300)은 결정질 실리콘 기판일 수 있다.
[042] 막 스택(306)에 포함된 배리어 층(304)은 TaN, TiN, AlN, TaSiN, TiSiN, 또는 다른 적합한 재료들로 제작될 수 있다. 저-k 절연성 유전체 재료(302)는 내부에 형성된 개구들(미도시)을 가질 수 있고, 그러한 개구들은 내부에 배치된 적어도 하나의 전도성 층을 갖도록 구성되고, 그러한 적어도 하나의 전도성 층은 저-k 절연성 유전체 재료(302)에 의해 측면에서 한정된다. 저-k 절연성 유전체 재료(302)는 임의의 적합한 실리콘 산화물 함유 재료들, SiN 함유 재료들, SiOC 함유 재료들, SiC 함유 재료들, 탄소 계 재료들, 또는 임의의 다른 적합한 재료들일 수 있다. 일 예에서, 저-k 절연성 유전체 재료(302)는 4.0 미만의 유전 상수를 갖는 유전체 재료(예컨대, 저-k 재료)이다. 적합한 재료들의 예들은 탄소-함유 실리콘 산화물들(SiOC), 예컨대 어플라이드 머티어리얼스 인코포레이티드로부터 입수가능한 BLACK DIAMOND® 유전체 재료, 및 다른 저-k 폴리머들, 예컨대 폴리아미드들을 포함한다.
[043] 동작(202)에서, 프로세싱 챔버 내로 증착 가스 혼합물이 제공된다. 증착 가스 혼합물은, 도 3b에서 도시된 바와 같이, 기판(300) 상에 니켈 실리사이드(NiSi) 층(308)과 같은 금속 실리사이드 층을 증착하기 위해 활용되는, 적어도 금속 함유 전구체 및 반응 가스를 포함한다. 금속 함유 전구체는 니켈 함유 화합물일 수 있다. 반응 가스는 적어도 하나의 실리콘 함유 가스를 포함한다. 본원에서 형성되는 바와 같은 니켈 실리사이드(NiSi, Ni2Si, Ni3Si2) 층(308)은 높은 열 안정성, 낮은 전기 저항률, 및 높은 순도를 갖고, 이는 백-엔드 반도체 디바이스들에서의 나노와이어들에서 사용하기 위한 우수한 후보로서 니켈 실리사이드(NiSi, Ni2Si, Ni3Si2) 층(308)을 만든다.
[044] 일 실시예에서, 니켈 함유 화합물은 RxOvNiy(OR')z의 화학식을 가질 수 있고, 여기에서, R 및 R'는 H, CH3, C2H5, C3H7, CO, NCO, 알킬 또는 아릴 기이고, x, v, 및 z는 0 내지 16의 범위를 갖는 정수들이고, y는 0 내지 8의 범위를 갖는 정수이다. 다른 실시예에서, 니켈 함유 화합물은 Ni(NRR')w의 화학식을 가질 수 있고, 여기에서, R 및 R'는 H, CH3, C2H5, C3H7, CO, NCO, 알킬 또는 아릴 기일 수 있고, R'는 H, CH3, C2H5, C3H7, CO, NCO, 알킬 또는 아릴 기일 수 있고, w는 0 내지 8의 범위를 갖는 정수이다. 적합한 니켈 함유 화합물들의 예들은 N,N'-디-터트-부틸아세트아미디네이토)2Ni, Ni(C5H5)2, Ni[N(t-uut)2], Ni((tBu2N)2CCH3)2, 비스(시클로펜타디에닐)니켈, 비스(에틸펜타디에닐)니켈, Ni[(C2H5)(C5H4)2], 디(터셔리부틸)아미도니켈, 및 RxOvNiy(OR')z, (OR)2Ni 등이고, 여기에서, R은 메틸, 에틸, 프로필, 이소프로필, 부틸, 이소부틸, 터셔리 부틸, 및 더 많은 수의 탄소 원자들을 갖는 다른 알킬 그룹들일 수 있다. (OR)2Ni와 같은 니켈 함유 가스와 함께 공급될 수 있는 반응 가스는 적어도 하나의 실리콘 함유 가스, 예컨대, 실란(SiH4), 디-실란(Si2H6), 실리콘 사플루오르화물(SiF4), 실리콘 사염화물(SiCl4), 및 디클로로실란(SiH2Cl2) 등을 포함할 수 있다.
[045] 몇몇 실시예들에서, 캐리어 가스, 예컨대, 질소(N2) 및 일산화 질소(NO), O2, N2O, 수소(H2), 암모니아(NH3), 수소(H2)와 질소(N2)의 혼합물, 및/또는 비활성 가스, 예컨대 아르곤(Ar) 및 헬륨(He)이 프로세싱 챔버 내로 증착 가스 혼합물과 함께 공급될 수 있다. 상이한 반응성 가스들 또는 비활성 가스들의 부가는 막 구조 및/또는 막 화학 컴포넌트들, 예컨대 저항률을 변화시킬 수 있고, 그에 의해, 상이한 프로세스 요건들을 만족시키기 위해 원하는 막 특성을 갖도록, 증착되는 막을 조정할 수 있다. 일 예에서, 니켈 함유 화합물은 비스(시클로펜타디에닐)니켈 또는 Ni(C5H5)2이고, 반응 가스는 SiH4이다.
[046] 증착 가스 혼합물을 공급하면서, 필요에 따라 프로파일 제어를 보조하기 위해, 증착 가스 혼합물 내로 비활성 가스가 또한 공급될 수 있다. 가스 혼합물에 공급되는 비활성 가스의 예들은 Ar, He, Ne, Kr, Xe 등을 포함한다.
[047] 일 실시예에서, 증착 가스 혼합물에 공급되는 니켈 함유 가스는 약 20 sccm 내지 약 200 sccm의 체적 유량으로 유지될 수 있다. SiH4와 같은 실리콘 함유 가스는 약 10 sccm 내지 약 100 sccm의 체적 유량으로 유지된다.
[048] 증착 프로세스를 수행하기 위해, 증착 가스 혼합물을 공급하는 동안에, 수개의 프로세스 파라미터들이 또한 제어될 수 있다. 프로세싱 챔버의 압력은 약 0.5 밀리토르 내지 약 5 토르로 제어될 수 있다. 기판 온도는 섭씨 400 도 미만, 예컨대 섭씨 약 15 도 내지 섭씨 약 400 도, 예를 들어 섭씨 약 200 도 내지 섭씨 약 380 도로 유지된다. 제작되는 디바이스 구조들 상에서 손상들, 예컨대, 거의 저 k인 재료들에 대한 원하지 않는 기계적인 고밀화(densification) 또는 전도성 재료 용융을 최소화하기 위해, 반도체 디바이스들을 위한 나노와이어들을 제작할 시에 저온, 섭씨 400 도 미만의 온도가 바람직한 것으로 생각된다. 증착 프로세스는 약 10 Å 내지 약 100 Å의 두께로 니켈 실리사이드 층(308)을 증착하기 위해, 약 30 초 내지 약 300 초 동안 수행될 수 있다.
[049] 동작(204)에서, 프로세싱 챔버 내로 증착 가스 혼합물을 공급하는 동안에, 플라즈마에서의 반응성 종으로 증착 가스 혼합물을 해리시키는 것을 보조하기 위해, (도 1a에서 도시된) 마이크로파 생성기(181)로부터 가스 혼합물로 마이크로파 전력이 생성될 수 있다. 생성된 마이크로파 전력은 플라즈마가 지속될 수 있도록 내부 볼륨(126) 내의 증착 가스 혼합물을 에너자이징(energize)한다. 일 예에서, 마이크로파 전력은 0.3 GHz 내지 약 300 GHz의 주파수로 동작될 수 있다. 마이크로파 전력은 약 10 와트 내지 약 5000 와트로 인가될 수 있다. 몇몇 실시예들에서, 생성된 마이크로파 전력에 부가하여, (도 1b에서 도시된) 하나 또는 그 초과의 RF 소스 전력(147) 및/또는 (도 1a 및 도 1b에서 도시된) RF 바이어스 전력(184, 186)이 또한, 증착 가스 혼합물을 해리시켜서 플라즈마를 형성하는 것을 보조하기 위해, 증착 프로세스 동안에 생성될 수 있다. 일 예에서, RF 소스 전력은 약 100 와트 내지 약 600 와트로 그리고 약 250 kHz 내지 약 13.6 MHz의 주파수로 공급될 수 있다. 필요에 따라 RF 바이어스 전력이 또한 공급될 수 있다. RF 바이어스 전력은 약 10 와트 내지 약 100 와트로 공급될 수 있다. 일 실시예에서, RF 소스 전력은 약 500 Hz 내지 약 10 kHz의 RF 주파수로 약 10 내지 약 95 퍼센트의 듀티 사이클로 펄싱될 수 있다.
[050] 동작(206)에서, 증착 가스 혼합물로부터 플라즈마를 형성하는 동안에, 반응성 종으로의 가스 혼합물들의 해리를 강화하기 위해, 플라즈마에서의 증착 가스 혼합물에 광 복사가 방출될 수 있다. 광 복사는 도 1a에서 도시된 바와 같은 프로세싱 챔버(100) 상에 탑재된 광원(140)으로부터, 또는 도 1b에서 도시된 프로세싱 챔버(151)의 에지 상에 형성된 광원(180)으로부터 방출될 수 있다.
[051] 광원들(140, 180)로부터의 광 에너지, 예컨대 광자들이 기판 표면에서의 IR/UV 광, 예컨대 광자들의 생성을 강화할 수 있고, 그에 의해, 기판 온도를 과도하게 증가시킬 필요 없이, 기판 표면에서 발생하는 화학 반응을 강화할 수 있는 것으로 생각된다. 일 실시예에서, 광원들(140, 180)은 약 1 mm 내지 약 1000 mm의 파장으로 광 에너지를 방출할 수 있다. 광 에너지는 IR 광, UV 광, 또는 이들의 조합들을 포함할 수 있다.
[0052] 동작(208)에서, 원하는 두께의 니켈 실리사이드 층(308)에 도달된 후에, 증착 프로세스가 종료될 수 있다. 일 예에서, 니켈 실리사이드 층(308)은 니켈 실리사이드 층(308)에 형성된 실리콘 엘리먼트들의 비율보다 니켈 엘리먼트들의 더 높은 비율을 갖는 니켈 풍부 막이다. 일 예에서, 니켈 실리사이드 층(308)은 실질적으로 약 0.8 : 1 내지 약 2 : 1인 Ni 엘리먼트 대 Si 엘리먼트의 비율을 가질 수 있다. 니켈 실리사이드 층(308)의 하측/내측/ 구역에 형성된 실리콘 엘리먼트들과 비교하여, 니켈 실리사이드 층(308)의 상측/외측 구역 상에 더 많은 니켈 엘리먼트들이 형성된다. XRD 분석은 형성된 직후의 니켈 실리사이드 층(308)이 강한 (111), (220), 및 (311) 평면 피크들을 갖는다는 것을 표시한다. 니켈 실리사이드 층(308)은 약 8 μOhm.cm 내지 약 25 μOhm.cm의 저항률을 가질 수 있다.
[0053] 몇몇 실시예에서, 증착 프로세스(200)는 열/어닐링 프로세스 전에 수행될 수 있다. 증착 프로세스는, 열/어닐링 프로세스가 후속되는, 위에서 설명된 프로세스(200) 또는 임의의 적합한 증착 기법들, 예컨대, 플라즈마 강화 화학 기상 증착(PECVD), 물리 기상 증착 프로세스(PVD), 금속 유기 화학 기상 증착(MOCVD), 열 화학 기상 증착(열-CVD) 프로세스, 저압 화학 기상 증착(LPCVD), 대기-하 화학 기상 증착(SACVD) 등일 수 있다. 니켈 실리사이드 층(308)이 기판(300) 상에 형성된 후에, 섭씨 400 도 미만과 같은 저온의 열/어닐링 프로세스가, 마이크로파 보조 열/어닐링 프로세스를 수행할 수 있는, RTP 챔버 또는 임의의 적합한 가열 프로세싱 챔버와 같은 열 프로세싱 챔버에서 니켈 실리사이드 층(308)에 대해 수행될 수 있다. 열 프로세싱 챔버는, 마이크로파 생성기가 커플링된, 램프 또는 가열 어셈블리와 같은 가열 모듈이 내부에 형성되면서, 도 1a 및 도 1b에서 설명된 프로세싱 챔버와 유사하게 구성될 수 있다. 열/어닐링 프로세스 동안에 인가되는 마이크로파 전력은, 니켈 실리사이드 층(308)의 막 구조들을 불리하게 파괴시키거나 또는 손상시키지 않으면서, 니켈 실리사이드 층(308)을 부드럽게 가열/열 프로세싱할 수 있다. 마이크로파 전력은 도 2를 참조하여 프로세스(200)에서 설명된 동작(204)에서 설명된 마이크로파 전력과 유사하게 조절될 수 있다. 일 예에서, 마이크로파 전력은 0.3 GHz 내지 약 300 GHz의 주파수로 동작될 수 있다. 마이크로파 전력은 약 10 와트 내지 약 5000 와트로 인가될 수 있다. 열/어닐링 프로세스를 수행하는 동안에, 캐리어 가스가 열/열적 프로세스 동안에 공급될 수 있다. 캐리어 가스는, 필요에 따라, N2, O2, H2, 비활성 가스, 또는 임의의 적합한 가스들로 구성된 그룹으로부터 선택될 수 있다.
[0054] 동작(206)과 유사하게, 마이크로파 전력으로 열/어닐링 프로세스를 수행하는 동안에, 금속 실리사이드 층(308)의 막 구조들의 재구축(reconstruction)을 강화하기 위해, 금속 실리사이드 층(308)에 광 복사가 방출될 수 있다. 광 에너지, 예컨대 광자들이 기판 표면에서의 IR/UV 광, 예컨대 광자들의 생성을 강화할 수 있고, 그에 의해, 니켈 실리사이드 층(308)에 대한 막 구조 재배열 또는 재구축을 강화할 수 있는 것으로 생각된다. 일 실시예에서, 광 복사는 약 1 mm 내지 약 1000 mm의 파장을 가질 수 있다. 광 복사는 IR 광, UV 광, 또는 이들의 조합들을 포함할 수 있다.
[0055] 증착 프로세스에 후속되는 열/어닐링 프로세스는 니켈 실리사이드 층(308)의 격자 구조들을 보수, 고밀화, 및 강화하기 위해 수행된다. 예컨대, 열/어닐링 프로세스 후에, 니켈 실리사이드 층(308)은, 열/어닐링 프로세스 전의, 예컨대, 열/어닐링 프로세스를 받지 않은 니켈 실리사이드 층(308)과 비교하여, XRD 분석 하에서, (111), (220), 및 (311) 평면 피크들의 더 강한 결정질 구조들을 가질 수 있다.
[0056] 기판(300) 상에 형성된 니켈 실리사이드 층(308)은 전도성 나노와이어들을 형성하기 위해 활용될 수 있는 블랭킷(blanket) 막일 수 있다. 예컨대, 니켈 실리사이드 층(308)은 추후에, 도 4a에서 도시된 바와 같이, 니켈 실리사이드 층(308)에 개구들(310)을 형성하기 위해, 패터닝 또는 에칭될 수 있다. 후속하여, 기판(300) 상에 백-엔드 배선 구조와 같은 배선 구조를 형성하기 위한 평탄한 표면(314)을 형성하기 위해, 저-k 유전체 재료(312)가 개구들(310)에 충전될 수 있다. 다른 예에서, 도 5a에서 도시된 바와 같이, 제 2 저-k 유전체 층(402) 상에 배치된 제 1 저-k 유전체 층(406)을 갖는 기판(400)에 대해 프로세스(200)가 수행될 수 있다. 아래놓인 전도성 와이어(404)가 제 2 저-k 유전체 층(402)에 형성될 수 있다. 제 1 저-k 유전체 층(406)은 내부에 형성된 개구들(410)을 가질 수 있다. 프로세스(200)가 기판(400)에 대해 수행되는 경우에, 도 5b에서 도시된 바와 같이, 제 1 저-k 재료 층(406)에 나노와이어들을 형성하기 위해, 제 1 저-k 재료 층(406)에 정의된 개구들(410) 내로 니켈 실리사이드 층(308)이 충전될 수 있고, 그에 따라, 반도체 디바이스들을 위한 백-엔드 배선 구조가 형성될 수 있다.
[0057] 따라서, 저온에서 금속 실리사이드 층을 형성하기 위한 방법들 및 장치가 제공된다. 방법 및 장치는 유리하게, 플라즈마 강화 화학 기상 증착 또는 다른 적합한 증착 기법들을 활용하여 섭씨 400 도 미만의 온도에서 금속 실리사이드 층을 형성한다. 저온 하에서 형성되는 금속 실리사이드 층은 차세대 반도체 디바이스들을 위한 전도성 나노와이어를 형성하기 위해 활용될 수 있다.
[0058] 전술한 바가 본 발명의 실시예들에 관한 것이지만, 본 발명의 다른 및 추가적인 실시예들이 본 발명의 기본적인 범위로부터 벗어나지 않으면서 고안될 수 있고, 본 발명의 범위는 다음의 청구항들에 의해 결정된다.

Claims (15)

  1. 기판 상에 금속 실리사이드 층을 형성하기 위한 방법으로서,
    기판의 표면 상에 적어도 금속 함유 전구체 및 반응 가스를 포함하는 증착 가스 혼합물을 공급하는 단계;
    마이크로파 전력에 대한 노출에 의해 상기 증착 가스 혼합물의 존재 시에 플라즈마를 형성하는 단계;
    광 복사에 상기 플라즈마를 노출시키는 단계; 및
    상기 증착 가스로부터 상기 기판 상에 금속 실리사이드 층을 형성하는 단계
    를 포함하는,
    방법.
  2. 제 1 항에 있어서,
    상기 광 복사에 상기 플라즈마를 노출시키는 단계는, 광원으로부터 생성된 광 복사 및 상기 증착 가스 혼합물의 존재 시의 마이크로파 전력에 상기 플라즈마를 노출시키는 단계를 포함하고,
    상기 증착 가스로부터 상기 기판 상에 금속 실리사이드 층을 형성하는 단계는, 상기 금속 실리사이드 층을 형성하는 동안의 상기 광원으로부터의 광 복사 및 상기 증착 가스 혼합물의 존재 시의 마이크로파 전력을 이용하여 상기 증착 가스로부터 상기 기판 상에 상기 금속 실리사이드 층을 형성하는 단계를 포함하고,
    상기 방법은: 동일한 프로세싱 챔버에서 열 처리 가스 혼합물의 존재 시의 상기 마이크로파 전력 및 상기 광원으로부터의 광 복사의 연속적인 공급을 이용하여 상기 기판 상에 형성되는 상기 금속 실리사이드 층을 열적으로 처리하기 위해 상기 증착 가스를 상기 열 처리 가스 혼합물로 스위칭하는 단계를 더 포함하는,
    방법.
  3. 제 2 항에 있어서,
    상기 금속 함유 전구체는 니켈 함유 유기 화합물인,
    방법.
  4. 제 2 항에 있어서,
    상기 반응 가스는 실리콘 함유 가스인,
    방법.
  5. 제 4 항에 있어서,
    상기 실리콘 함유 가스는 실란(SiH4), 디-실란(Si2H6), 실리콘 사플루오르화물(SiF4), 실리콘 사염화물(SiCl4), 및 디클로로실란(SiH2Cl2)으로 구성된 그룹으로부터 선택되는,
    방법.
  6. 제 2 항에 있어서,
    상기 증착 가스를 상기 열 처리 가스 혼합물로 스위칭하는 단계는:
    섭씨 400 도 미만의 기판 온도를 유지하는 단계를 더 포함하는,
    방법.
  7. 제 2 항에 있어서,
    상기 플라즈마에 광 복사를 제공하는 단계는,
    상기 기판의 에지에 110 nm 내지 600 nm의 파장을 갖는 광 에너지를 방출하는 단계를 더 포함하는,
    방법.
  8. 제 7 항에 있어서,
    상기 광 에너지는 IR 또는 UV 광인,
    방법.
  9. 제 2 항에 있어서,
    상기 금속 실리사이드 층은 평면들 (111), (220), 및 (311)에서 결정면들을 갖는 니켈 실리사이드 층인,
    방법.
  10. 제 2 항에 있어서,
    상기 증착 가스 혼합물의 존재 시에 플라즈마를 형성하는 단계는,
    상기 플라즈마를 형성하기 위해, 상기 증착 가스 혼합물에 상기 마이크로파 전력과 함께 RF 바이어스 전력을 인가하는 단계를 더 포함하는,
    방법.
  11. 제 2 항에 있어서,
    상기 증착 가스 혼합물의 존재 시에 플라즈마를 형성하는 단계는,
    0.3 GHz 내지 300 GHz의 주파수에서 상기 마이크로파 전력을 생성하는 단계를 더 포함하는,
    방법.
  12. 제 2 항에 있어서,
    상기 금속 실리사이드 층은 0.8 : 1 내지 2 : 1의 Ni 대 Si의 비율을 갖는 니켈 실리사이드 층인,
    방법.
  13. 제 2 항에 있어서,
    상기 금속 실리사이드 층은 반도체 백-엔드 배선 구조를 위한 나노와이어들로서 형성되는,
    방법.
  14. 제 6 항에 있어서,
    상기 기판 온도를 유지하는 단계는,
    섭씨 300 도 내지 섭씨 400 도의 기판 온도를 유지하는 단계를 더 포함하는,
    방법.
  15. 삭제
KR1020177013701A 2014-10-28 2015-09-18 금속 실리사이드 배선 나노와이어 구조를 형성하기 위한 방법들 KR102419980B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020227009309A KR102527297B1 (ko) 2014-10-28 2015-09-18 금속 실리사이드 배선 나노와이어 구조를 형성하기 위한 방법들

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US14/525,555 US10204764B2 (en) 2014-10-28 2014-10-28 Methods for forming a metal silicide interconnection nanowire structure
US14/525,555 2014-10-28
PCT/US2015/050845 WO2016069132A1 (en) 2014-10-28 2015-09-18 Methods for forming a metal silicide interconnection nanowire structure

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020227009309A Division KR102527297B1 (ko) 2014-10-28 2015-09-18 금속 실리사이드 배선 나노와이어 구조를 형성하기 위한 방법들

Publications (2)

Publication Number Publication Date
KR20170076731A KR20170076731A (ko) 2017-07-04
KR102419980B1 true KR102419980B1 (ko) 2022-07-11

Family

ID=55792551

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020227009309A KR102527297B1 (ko) 2014-10-28 2015-09-18 금속 실리사이드 배선 나노와이어 구조를 형성하기 위한 방법들
KR1020177013701A KR102419980B1 (ko) 2014-10-28 2015-09-18 금속 실리사이드 배선 나노와이어 구조를 형성하기 위한 방법들

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020227009309A KR102527297B1 (ko) 2014-10-28 2015-09-18 금속 실리사이드 배선 나노와이어 구조를 형성하기 위한 방법들

Country Status (4)

Country Link
US (2) US10204764B2 (ko)
KR (2) KR102527297B1 (ko)
TW (1) TWI674240B (ko)
WO (1) WO2016069132A1 (ko)

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10204764B2 (en) * 2014-10-28 2019-02-12 Applied Materials, Inc. Methods for forming a metal silicide interconnection nanowire structure
US10304803B2 (en) * 2016-05-05 2019-05-28 Invensas Corporation Nanoscale interconnect array for stacked dies
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
KR102405723B1 (ko) 2017-08-18 2022-06-07 어플라이드 머티어리얼스, 인코포레이티드 고압 및 고온 어닐링 챔버
WO2019094481A1 (en) 2017-11-11 2019-05-16 Micromaterials Llc Gas delivery system for high pressure processing chamber
CN111432920A (zh) 2017-11-17 2020-07-17 应用材料公司 用于高压处理系统的冷凝器系统
CN111902929A (zh) 2018-03-09 2020-11-06 应用材料公司 用于含金属材料的高压退火处理
US10916433B2 (en) * 2018-04-06 2021-02-09 Applied Materials, Inc. Methods of forming metal silicide layers and metal silicide layers formed therefrom
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
KR20210130237A (ko) * 2019-03-20 2021-10-29 도쿄엘렉트론가부시키가이샤 반도체 소자를 위한 금속 규화물을 선택적으로 형성하는 방법
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020158337A1 (en) 2000-02-08 2002-10-31 Babich Katherina E. Multilayer interconnect structure containing air gaps and method for making
US20070287237A1 (en) 2006-06-12 2007-12-13 Kovio, Inc. Printed, self-aligned, top gate thin film transistor
US20090269941A1 (en) 2008-04-25 2009-10-29 Asm America, Inc. Plasma-enhanced deposition process for forming a metal oxide thin film and related structures
US20110104897A1 (en) 2005-07-18 2011-05-05 Xinliang Lu Contact clean by remote plasma and repair of silicide surface
US20120153480A1 (en) 2010-12-16 2012-06-21 Globalfoundries Inc. Metallization Systems of Semiconductor Devices Comprising a Copper/Silicon Compound as a Barrier Material
US20140306271A1 (en) 2012-12-12 2014-10-16 Fudan University Unltra-Shallow Junction Semiconductor Field-Effect Transistor and Method of Making

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4557943A (en) * 1983-10-31 1985-12-10 Advanced Semiconductor Materials America, Inc. Metal-silicide deposition using plasma-enhanced chemical vapor deposition
US6026762A (en) * 1997-04-23 2000-02-22 Applied Materials, Inc. Apparatus for improved remote microwave plasma source for use with substrate processing systems
KR100329787B1 (ko) * 1999-12-30 2002-03-25 박종섭 반도체 소자의 감광막 제거방법
EP1820210A4 (en) * 2004-11-24 2014-03-05 Nanosys Inc CONTACT DOPING AND NANOFIL THIN FILM RECOVERY SYSTEMS AND PROCESSES
US7601652B2 (en) * 2005-06-21 2009-10-13 Applied Materials, Inc. Method for treating substrates and films with photoexcitation
US20070031609A1 (en) * 2005-07-29 2007-02-08 Ajay Kumar Chemical vapor deposition chamber with dual frequency bias and method for manufacturing a photomask using the same
US20080315430A1 (en) * 2007-06-22 2008-12-25 Qimonda Ag Nanowire vias
KR20100026700A (ko) * 2008-09-01 2010-03-10 에이피시스템 주식회사 광 유도 화학기상 증착장치
US20110061810A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
US8765595B2 (en) * 2012-01-06 2014-07-01 International Business Machines Corporation Thick on-chip high-performance wiring structures
US8785310B2 (en) * 2012-01-27 2014-07-22 Tokyo Electron Limited Method of forming conformal metal silicide films
US9466524B2 (en) * 2012-01-31 2016-10-11 Applied Materials, Inc. Method of depositing metals using high frequency plasma
CN104603914B (zh) 2012-09-07 2017-07-14 应用材料公司 多腔室真空系统中的多孔电介质、聚合物涂布基板和环氧化物的集成处理
US9153644B2 (en) * 2013-07-18 2015-10-06 Freescale Semiconductor, Inc. Backscattering for localized annealing
US9236345B2 (en) * 2014-03-24 2016-01-12 Globalfoundries Inc. Oxide mediated epitaxial nickel disilicide alloy contact formation
US20150380526A1 (en) * 2014-06-27 2015-12-31 Applied Materials, Inc. Methods for forming fin structures with desired dimensions for 3d structure semiconductor applications
US10204764B2 (en) * 2014-10-28 2019-02-12 Applied Materials, Inc. Methods for forming a metal silicide interconnection nanowire structure

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020158337A1 (en) 2000-02-08 2002-10-31 Babich Katherina E. Multilayer interconnect structure containing air gaps and method for making
US20110104897A1 (en) 2005-07-18 2011-05-05 Xinliang Lu Contact clean by remote plasma and repair of silicide surface
US20070287237A1 (en) 2006-06-12 2007-12-13 Kovio, Inc. Printed, self-aligned, top gate thin film transistor
US20090269941A1 (en) 2008-04-25 2009-10-29 Asm America, Inc. Plasma-enhanced deposition process for forming a metal oxide thin film and related structures
US20120153480A1 (en) 2010-12-16 2012-06-21 Globalfoundries Inc. Metallization Systems of Semiconductor Devices Comprising a Copper/Silicon Compound as a Barrier Material
US20140306271A1 (en) 2012-12-12 2014-10-16 Fudan University Unltra-Shallow Junction Semiconductor Field-Effect Transistor and Method of Making

Also Published As

Publication number Publication date
KR20220038192A (ko) 2022-03-25
US10204764B2 (en) 2019-02-12
TWI674240B (zh) 2019-10-11
KR102527297B1 (ko) 2023-04-27
US20160118260A1 (en) 2016-04-28
KR20170076731A (ko) 2017-07-04
WO2016069132A1 (en) 2016-05-06
US20190172686A1 (en) 2019-06-06
US10930472B2 (en) 2021-02-23
TW201615537A (zh) 2016-05-01

Similar Documents

Publication Publication Date Title
KR102419980B1 (ko) 금속 실리사이드 배선 나노와이어 구조를 형성하기 위한 방법들
TWI691612B (zh) 矽化鎳奈米線的直接沉積
US8268684B2 (en) Method and apparatus for trench and via profile modification
US11101174B2 (en) Gap fill deposition process
JP6469705B2 (ja) エッチング後のインターフェースを安定化し、次の処理ステップ前のキュータイム問題を最小化する方法
KR20150128965A (ko) 구리를 위한 uv-보조 반응성 이온 에칭
TWI587389B (zh) 基板處理方法
US10163656B2 (en) Methods for dry etching cobalt metal using fluorine radicals
US11264460B2 (en) Vertical transistor fabrication for memory applications
TW202040799A (zh) 用於3d nand應用之記憶體單元製造
TWI713082B (zh) 透過限制達成的矽化物相控制
US20110300717A1 (en) Method for controlling dangling bonds in fluorocarbon films
US10593592B2 (en) Laminate and core shell formation of silicide nanowire
TW201829821A (zh) 高壓退火及降低濕蝕刻速率
TWI505360B (zh) 用於氟碳化物膜之金屬碳化物阻障層的形成方法
TWI469199B (zh) 氟碳化物膜中之懸空鍵的控制方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
A107 Divisional application of patent
E701 Decision to grant or registration of patent right
GRNT Written decision to grant