TW201615537A - 形成金屬矽化物互連奈米線結構的方法 - Google Patents

形成金屬矽化物互連奈米線結構的方法 Download PDF

Info

Publication number
TW201615537A
TW201615537A TW104133892A TW104133892A TW201615537A TW 201615537 A TW201615537 A TW 201615537A TW 104133892 A TW104133892 A TW 104133892A TW 104133892 A TW104133892 A TW 104133892A TW 201615537 A TW201615537 A TW 201615537A
Authority
TW
Taiwan
Prior art keywords
substrate
metal
forming
nickel
plasma
Prior art date
Application number
TW104133892A
Other languages
English (en)
Other versions
TWI674240B (zh
Inventor
梅寶其班徹奇
雷克須瑪南安娜瑪萊
辛格卡沙爾K
科伯恩安德魯
葛迪魯多維
馬伯方
那克美荷B
Original Assignee
應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 應用材料股份有限公司 filed Critical 應用材料股份有限公司
Publication of TW201615537A publication Critical patent/TW201615537A/zh
Application granted granted Critical
Publication of TWI674240B publication Critical patent/TWI674240B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/42Silicides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32321Discharge generated by other radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32321Discharge generated by other radiation
    • H01J37/32339Discharge generated by other radiation using electromagnetic radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/268Bombardment with radiation with high-energy radiation using electromagnetic radiation, e.g. laser radiation
    • H01L21/2686Bombardment with radiation with high-energy radiation using electromagnetic radiation, e.g. laser radiation using incoherent radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32051Deposition of metallic or metal-silicide layers
    • H01L21/32053Deposition of metallic or metal-silicide layers of metal-silicide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53271Conductive materials containing semiconductor material, e.g. polysilicon

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Analytical Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Toxicology (AREA)
  • Electromagnetism (AREA)
  • Health & Medical Sciences (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Optics & Photonics (AREA)
  • Inorganic Chemistry (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

用以形成一金屬矽化物作為用於半導體應用的後端互連結構的奈米線的方法與設備係被提供。在一實施例中,該方法包括以下步驟:藉由一化學氣相沉積製程或一物理氣相沉積製程以形成一金屬矽化物層於一基材上;在一製程腔室中熱處理該金屬矽化物層;當熱處理該金屬矽化物層時,施加一微波功率於該製程腔室中;及當熱處理該金屬矽化物層時,維持一基材溫度於小於400℃。在另一實施例中,一種方法包括以下步驟:供應一沉積氣體混合物於一基材的一表面上,該沉積氣體混合物包括至少一含金屬前驅物與一反應氣體;在該沉積氣體混合物的存在下,藉由暴露於微波功率形成一電漿;暴露該電漿於光輻射;及從該沉積氣體形成一金屬矽化物層於該基材上。

Description

形成金屬矽化物互連奈米線結構的方法
本發明的實施例大致上關於用以形成半導體元件的方法。更特定地,本發明的實施例大致上關於用以使用鎳矽化物形成互連結構的方法以用於半導體應用。
積體電路已經發展成可在單一晶片上包括數百萬個部件(例如電晶體、電容與電阻)的複雜元件。晶片設計的發展持續需要更快速的電路配線與更大的電路密度。更大的電路密度的需求需要積體電路部件的尺寸的減小。
由於積體電路部件的尺寸被減小(例如次微米尺寸),用以製造這樣的部件的材料貢獻這樣的部件的電氣效能。由於特徵結構寬度減小,元件電流典型地維持恆定或增加,這對於這樣的特徵結構造成增加的電流密度。更高的元件密度、更快速的運作頻率、與更大的晶粒(die)尺寸已經產生了具有比用在互連結構中的傳統鋁更低電阻率的金屬的需求。具有更低電阻率的銅材料已經由於其高導電率被使用數十年。然而,如所討論,小尺寸效應亦會造成增加的銅的電阻率,這是因為線寬縮小到低於約50nm且接近銅中的電子的平均自由徑(39nm)。 電阻率增加是藉由在線的表面處與在晶粒(grain)界面處的電子散射(electron scattering)來造成。
當電流密度超過特定程度時,傳統的銅線亦會造成電子遷移。電子遷移缺陷威脅奈米尺寸銅互連的可靠度。電子遷移造成會導致線失效的內部與外部凹穴。例如,若足夠大的凹洞形成在銅互連內時,電子遷移會導致增加的電阻或甚至開放電路。
為了針對下一世代小尺寸技術克服這些缺點,許多新材料(諸如碳奈米管與諸如此類者)已經被研究以提供取代具有較佳導電性質、較低電阻及較高元件速度的銅的可行性。然而,存在著將新材料整合到具有期望電氣性質、高機械強度與整合能力的互連結構內的許多挑戰。
所以,需要一種適合用於半導體互連製造過程之金屬互連的材料。
用以形成一金屬矽化物材料作為用於半導體應用的奈米尺度後端互連結構的奈米線的方法與設備係被提供。在一實施例中,一種用以形成用於半導體元件的後端互連結構中的奈米線的方法包括以下步驟:藉由一化學氣相沉積製程或一物理氣相沉積製程以形成一金屬矽化物層於一基材上;在一製程腔室中熱處理該金屬矽化物層;當熱處理該金屬矽化物層時,施加一微波功率於該製 程腔室中;及當熱處理該金屬矽化物層時,維持一基材溫度於小於400℃。
在另一實施例中,一種用以形成用於半導體元件的後端互連結構的奈米線的方法包括以下步驟:供應一沉積氣體混合物於一基材的一表面上,該沉積氣體混合物包括至少一含金屬前驅物與一反應氣體;在該沉積氣體混合物的存在下,藉由暴露於微波功率形成一電漿;暴露該電漿於光輻射;及從該沉積氣體形成一金屬矽化物層於該基材上。
在又另一實施例中,一種用以形成用於半導體元件的後端互連結構的金屬矽化物奈米線的設備包括:一腔室主體,該腔室主體具有一腔室上蓋,該腔室上蓋設置在一腔室側壁上,而界定一電漿製程腔室的一內部空間;一氣體盤,該氣體盤設以提供含鎳化合物到該電漿製程腔室的該內部空間內;一基材支撐載座,該基材支撐載座設置在該電漿製程腔室的該內部空間中;一微波產生器,該微波產生器耦接到該腔室主體;及一光,該光設以暴露一設置在該基材支撐載座上的基材於具有波長介於約1mm與約1000mm之間的光。
100‧‧‧製程腔室
101‧‧‧側
102‧‧‧真空泵
104‧‧‧匹配電路
106‧‧‧功率供應器
108‧‧‧夾盤功率源
110‧‧‧控制器
112‧‧‧中央處理單元
114‧‧‧支援電路
116‧‧‧記憶體
118‧‧‧訊號排
122‧‧‧底部
124‧‧‧室頂
125‧‧‧室頂
126‧‧‧內部空間
130‧‧‧氣體源
132‧‧‧電漿處理系統
134‧‧‧通道
135‧‧‧氣體輸送通道
136‧‧‧閥
138‧‧‧窗口
139‧‧‧殼體
140‧‧‧光源
141‧‧‧光源
142‧‧‧噴頭組件
143‧‧‧穿孔
145‧‧‧匹配網路
147‧‧‧RF源
148‧‧‧遠端電漿源
150‧‧‧載座
151‧‧‧製程腔室
170‧‧‧嵌設加熱器構件
172‧‧‧溫度感測器
180‧‧‧光源
181‧‧‧微波產生器
184‧‧‧功率源
186‧‧‧功率源
190‧‧‧基材
191‧‧‧基材表面
192‧‧‧電極
193‧‧‧氣體盤
200‧‧‧方法
202~208‧‧‧操作
300‧‧‧基材
302‧‧‧低-k絕緣介電質材料
304‧‧‧阻障層
306‧‧‧膜堆疊
308‧‧‧金屬矽化物層
310‧‧‧開口
312‧‧‧表面
314‧‧‧平坦表面
400‧‧‧基材
402‧‧‧第二低-k介電質層
404‧‧‧導電線
406‧‧‧第一低-k介電質層
410‧‧‧開口
可藉由參考實施例來詳細暸解本發明的上述特徵,本發明的更特定說明簡短地在前面概述過,其中該些實施例的一些實施例在附圖中示出。但是應注意的是,附圖僅示出本發明的典型實施例,並且因此附圖不應被視 為會對本發明的範疇構成限制,這是因為本發明可允許其他等效實施例。
第1A圖是適於執行化學氣相沉積製程的電漿製程腔室的剖視圖;第1B圖是適於執行化學氣相沉積製程的電漿製程腔室的另一實施例的剖視圖;第2圖繪示用以利用第1圖的電漿製程腔室來形成金屬矽化物層於基材上的流程圖;第3A~3B圖繪示根據第2圖中所繪示的製程而被形成在基材上的金屬矽化物層的剖視圖;第4A~4B圖繪示關於被形成在第2圖中的金屬矽化物層如何可被用在半導體後端互連結構的製造順序;及第5A~5B圖繪示關於被形成在第2圖中的金屬矽化物層如何可被用在半導體後端互連結構的另一製造順序。
為促進了解,在可能時已經使用相同的元件符號來表示該等圖式共有的相同元件。可設想出的是一實施例的元件與特徵可有利地被併入到其他實施例而不需進一步詳述。
但是應注意的是,附圖僅示出本發明的典型實施例,並且因此附圖不應被視為會對本發明的範疇構成限制,這是因為本發明可允許其他等效實施例。
本文的實施例係描述用於半導體元件製造的用以形成一金屬矽化物層的方法與設備,其中該金屬矽化物層可作為後端互連結構的導電奈米線。在一實施例中,金屬矽化物層是藉由在小於400℃溫度下的沉積製程來形成。適當的沉積技術可用以形成金屬矽化物層,包括電漿增強化學氣相沉積(PECVD)、物理氣相沉積(PVD)、金屬有機化學氣相沉積(MOCVD)、熱化學氣相沉積(熱-CVD)製程、低壓化學氣相沉積(LPCVD)、次大氣壓化學氣相沉積(SACVD)與諸如此類者,或任何適當的沉積技術。在一特定實例中,可藉由具有IR光與微波增強電漿的化學氣相沉積來形成金屬矽化物層。
第1A圖是適合用於半導體元件製造的用以形成金屬矽化物層的電漿處理系統132的剖視圖,其中該金屬矽化物層可作為後端互連結構的導電奈米線。處理系統132可以是可從美國加州聖大克勞拉市的應用材料公司取得之適當地適宜的CENTURA®、Producer® SE、或Producer® GT處理系統。可設想出的是其他處理系統(包括由其他製造業者所製造的那些處理系統)可受益自在此所述的實施例。
處理系統132包括一製程腔室100,製程腔室100耦接到一控制器110。製程腔室100大致上包括一室頂124、一側101與一底壁122,其界定一內部空間126。
一支撐載座150被提供在腔室100的內部空間126中。載座150可由鋁、陶瓷與其他適當材料製成。 在一實施例中,載座150是藉由陶瓷材料來製造,諸如適合用在高溫環境(諸如電漿製程環境)中的材料而不會對載座150造成熱損壞的氮化鋁。可使用一升降機構(未示出)將載座150在腔室100內移動於垂直方向。
載座150可包括一嵌設的加熱器構件170,加熱器構件170適合用以控制被支撐在載座150上的基材190的溫度。在一實施例中,可藉由從一功率供應器106施加電流到加熱器構件170而將載座150電阻式地加熱。在一實施例中,加熱器構件170可由被包覆在一鎳-鐵-鉻合金(例如INCOLOY®)鞘管中的鎳-鉻線製成。從功率供應器106所供應的電流被控制器110調節,以控制加熱器構件170所產生的熱,藉此在任何適當範圍的膜沉積期間維持基材190與載座150於實質上恆定的溫度。在另一實施例中,載座可依需要被維持在室溫。在又另一實施例中,載座150亦可依需要包括一冷卻器(未示出),以依需要冷卻載座150於比室溫更低的範圍。供應的電流可被調整,以選擇性地控制載座150的溫度在介於約100℃至約450℃之間。
一溫度感測器172(諸如熱電偶)可被嵌設在支撐載座150中,以藉由傳統方式監測載座150的溫度。經測量的溫度被控制器110用來控制供應到加熱器構件170的功率,以維持基材於期望溫度。
載座150大致上包括設置成穿過載座150的複數個升降銷(未示出),該些升降銷設以藉由傳統方式從 載座150升高基材190且促進基材190與一機械手(未示出)的交換。
載座150包含至少一電極192,以將基材190保持在載座150上。電極192是被一夾盤功率源108驅動,以產生將基材190固持到載座表面的靜電力,如傳統上所知。或者,可藉由夾持、真空或重力將基材190保持到載座150。
在一實施例中,載座150設以作為一具有電極192嵌設在其中的陰極,電極192耦接到至少一RF偏壓功率源184、186。儘管第1A圖的實例所繪示的實例顯示兩個RF偏壓功率源184、186,應注意的是RF偏壓功率源的數量可依需要為任何數量。RF偏壓功率源184、186被耦接在介於設置在載座150中的電極192與另一電極(諸如製程腔室100的噴頭組件142(繪示在第4B圖)或室頂(上蓋124))之間。RF偏壓功率源184、186激發且維持一電漿放電,該電漿放電是從設置在製程腔室100的處理區域中的氣體所形成。
在第1A圖所繪示的實施例中,該雙RF偏壓功率源184、186透過一匹配電路104耦接到設置在載座150中的電極192。RF偏壓功率源184、186所產生的訊號透過單一饋送被輸送通過匹配電路104到載座150,以將被提供在電漿製程腔室100中的氣體混合物予以離子化,藉此提供所需要執行沉積或其他電漿增強製程的離子能量。RF偏壓功率源184、186大致上可以產生一具有 頻率從約50kHz到約200MHz及功率介於約0瓦與約5000瓦之間的RF訊號。
一真空泵102耦接到形成在腔室100的底部122的一埠。真空泵102用以維持製程腔室100中的期望氣體壓力。真空泵102亦從腔室100淨空製程的處理後氣體及副產物。
一光源140設置在製程腔室100上,而部分地界定製程腔室100的上蓋124。光源140設以透過窗口138提供熱能到設置在基材載座150上的基材190。窗口138典型地由石英製成,而設置在製程腔室100的上蓋124中且至少部分地被光源140覆蓋。
光源140可包含各種類型的輻射加熱器。在一實例中,光源140包括一殼體139,殼體139具有設置在殼體139中的一或更多個光源141。一功率連接(未示出)可耦接到光源140,以促進提供功率到光源141。在一實例中,設置在光源140中的該一或更多個光源141可提供輻射能(諸如IR輻射與/或更長波長之具有波長介於約400nm和約4000nm之間的UV輻射)到基材190。在一實施例中,咸信從該些光源141提供的輻射能可提升從電漿產生而待被放射到基材190的光子,以在製程期間促進化學反應。該些光源141在電漿中提供IR與/或UV光(例如光子),因此提升了光子在基材表面191上的分佈。
製程腔室100包括一或更多個氣體輸送通道135,該些氣體輸送通道135係耦接通過製程腔室100的 上蓋124或側壁101。氣體輸送通道135與真空泵102被定位在製程腔室100的相對端,以在內部空間126內誘發層流,而將顆粒污染予以最小化。在一實施例中,兩或更多個氣體輸送通道135設置通過製程腔室100的上蓋124。氣體輸送通道135典型地耦接到一閥136,以選擇性地容許來自氣體盤130的製程氣體流動進出內部空間126。或者,額外的通道134可設置在鄰近的壁101,以提供在基材190的表面191上更均勻的氣體分佈。
氣體輸送通道135透過閥136耦接到氣體盤130,以提供一氣體混合物到內部空間126內。在一實施例中,氣體輸送通道135可設以作為一氣體分佈環,其中氣體混合物可從鄰近的壁101透過一陣列的孔洞被分佈,以將流動均勻性予以最佳化。在另一實施例中,氣體混合物可透過一設置在光源140下方的氣體分佈板142(顯示在第1B圖)被供應到製程腔室100。氣體分佈板可由能傳送從光源140所產生的熱而不會實質上干擾設置在基材載座150上的基材的加熱之材料製成。可從氣體盤130供應的氣體的實例可包括含金屬前驅物、反應氣體、與承載氣體。含金屬前驅物的適當實例包括N,N’-二-三級-乙脒基)2Ni、Ni(C5H5)2、Ni[N(t-uut)2]、Ni((tBu2N)2CCH3)2、双(環戊二烯)鎳、双(乙基戊二烯)鎳、Ni[(C2H5)(C5H4)2]、二(三級丁基)胺基鎳、與RxOvNiy(OR’)z、或任何適當的含金屬前驅物及諸如此類者。反應氣體的適當實例包括含矽氣體,諸如SiH4、 Si2H6、SiF4、SiH2Cl2、Si4H10、Si5H12及諸如此類者。適當的承載氣體包括氮(N2)、氬(Ar)、氫(H2)、烷烴、烯烴、氦(He)、氧(O2)、臭氧(O3)、水蒸氣(H2O)及諸如此類者。
在一實施例中,一遠端電漿源(RPS)148可替代地耦接到氣體輸送通道135,以輔助在內部空間126中形成電漿。遠端電漿源148提供從氣體盤130提供的氣體混合物所形成的電漿到製程腔室100。
再者,一微波產生器181可耦接到製程腔室100的上蓋124(或壁101)。類似地,耦接到製程腔室100的微波產生器181可輔助來自氣體混合物的氣體的分解以變為反應性物種,藉此提升基材表面191上的化學反應。
控制器110包括一中央處理單元(CPU)112、一記憶體116與一支援電路114,其用以控制製程順序且調節來自氣體盤130的氣體流。CPU 112可以是可用在工業設備之任何形式的一般目的電腦處理器。軟體程式(software routines)可儲存在記憶體116(諸如隨機存取記憶體、唯讀記憶體、軟碟、或硬碟機)或其他形式的數位儲存器中。支援電路114係傳統上耦接到CPU 112且可包括快取、時脈電路、輸入/輸出系統、功率供應器及諸如此類者。控制單元110與製程系統132的各種部件之間的雙向溝通是透過許多訊號纜線(共 同地稱為訊號排118,一些訊號排118被繪示在第1A圖中)來進行。
第1B圖繪示可用以形成金屬矽化物層的電漿製程腔室151的另一實施例。第1B圖中繪示的電漿製程腔室151的配置類似第1A圖中繪示的製程腔室100的配置,但是噴頭142耦接到製程腔室151的室頂125。不同於第1A圖的光源140設置在製程腔室100的室頂124上方,第1B圖中繪示的製程腔室151具有被形成在室頂125的邊緣上的光源180,同時氣體盤193設置在製程腔室151的室頂125的中央區域上。光源180可以是環形陣列的形式。類似地,光源180類似光源141,而可提供能提升電漿中光子產生的輻射,這可輔助發生在基材表面191上的化學反應。
在一實例中,具有複數個穿孔143的噴頭142耦接到製程腔室100的室頂125而位在載座150上方。噴頭142的穿孔143用以將製程氣體從氣體盤193引進到腔室151內。穿孔143可具有不同的尺寸、數量、分佈、形狀、設計與直徑,以促進用於不同製程要求的各種製程氣體的流動。一電漿從離開噴頭142的製程氣體混合物被形成,以提升製程氣體的熱分解,而造成基材190的表面191上的材料的沉積。
噴頭142與基材支撐載座150可在內部空間126中形成一對相隔的電極。一或更多個RF源147透過一匹配網路145提供一偏電位到噴頭142,以促進噴頭 142與載座150之間的電漿的產生。或者,RF功率源147與匹配網路145可耦接到噴頭142、基材載座150,或耦接到噴頭142與基材載座150兩者,或耦接到一設置在腔室150外面的天線(未示出)。在一實施例中,RF源140可在約30kHz至約13.6MHz的頻率下提供介於約10瓦與約3000瓦之間。或者,RF源147可以是一微波產生器,其提供微波功率到噴頭142,而輔助內部空間126中的電漿的產生。
第2圖繪示用以在低溫下(諸如小於400℃)於基材上形成金屬矽化物層的製程200,該金屬矽化物層可被利用作為用於半導體元件製造的導電奈米線。第2圖中描述的順序對應於第3A~3B圖中繪示的以下討論的製造階段。第3A~3B圖係圖示具有一膜堆疊306設置在其上的基材300的示意性剖視圖,其中該膜堆疊306可被利用以使用第2圖中所描述的製程200具有被形成在該膜堆疊306上的金屬矽化物層308。
製程順序開始於操作202,其中將一基材(如第3A圖所示的基材300)設置在製程腔室(諸如第1A和1B圖所示的製程腔室100和150,或其他適當的製程腔室)內。第3A圖所示的基材300包括被形成在基材300上的膜堆疊306。膜堆疊306包括一阻障層304,阻障層304設置在一低-k絕緣介電質材料302上。在一實例中,基材300可具有實質上平坦的表面、不平坦的表面、或具有一結構被形成在其上之實質上平坦的表面。基材300可以是 諸如結晶矽(例如矽<100>或矽<111>)、氧化矽、應變矽、矽鍺、摻雜或非摻雜多晶矽、摻雜或非摻雜矽晶圓與圖案化或非圖案化晶圓絕緣層上覆矽(SOI)、碳摻雜氧化矽、氮化矽、摻雜矽、鍺、砷化鍺、玻璃、藍寶石(sapphire)的材料。基材300可具有各種尺寸,諸如200mm或300mm直徑晶圓以及矩形或方形面板。除非特別指出,在此所述的實施例與實例是被進行在具有200mm直徑、300mm直徑、450mm直徑的基材上。在SOI結構用於基材300的實例中,基材300可包括一設置在矽結晶基材上的埋設介電質層。於在此所繪示的實施例中,基材300可以是結晶矽基材。
被包括在膜堆疊306中的阻障層304可由TaN、TiN、AlN、TaSiN、TiSiN、或其他適當的材料製成。低-k絕緣介電質材料302可具有被形成在其中的開口(未示出),該些開口設以具有至少一導電層設置在該些開口中而橫向地受到低-k絕緣介電質材料302作為邊界。低-k絕緣介電質材料302可以是任何適當的含氧化矽材料、含SiN材料、含SiOC材料、含SiC材料、碳基材料、或任何其他適當的材料。在一實例中,低-k絕緣介電質材料302是具有介電常數小於4.0的介電質材料(例如低-k材料)。適當材料的實例包括含碳氧化矽(SiOC)(諸如可從應用材料公司取得之BLACK DIAMOND®介電質材料)及其他低-k聚合物(諸如聚醯胺)。
在操作202,一沉積氣體混合物被提供到製程腔室內。沉積氣體混合物包括用以在基材300上沉積一金屬矽化物層(諸如矽化鎳(NiSi)層308)的至少一含金屬前驅物與一反應氣體,如第3B圖所示。含金屬前驅物可以是一含鎳化合物。反應氣體包括至少一含矽氣體。在此所形成的矽化鎳(NiSi、Ni2Si、Ni3Si2)層308具有高熱穩定性、低電阻率與高純度,使得矽化鎳(NiSi、Ni2Si、Ni3Si2)層308作為用於後端半導體元件中的奈米線的候選者。
在一實施例中,含鎳化合物可具有RxOvNiy(OR’)z的化學式,其中R與R’是H、CH3、C2H5、C3H7、CO、NCO、烷基或芳香基,並且x、v和z是具有介於0與16範圍之間的整數,並且y是具有介於0與8範圍之間的整數。在另一實施例中,含鎳化合物可具有Ni(NRR’)w的化學式,其中R與R’可以是H、CH3、C2H5、C3H7、CO、NCO、烷基或芳香基且R’可以是H、CH3、C2H5、C3H7、CO、NCO、烷基或芳香基,並且w是具有介於0與8範圍之間的整數。適當含鎳化合物的實例是N,N’-二-三級-乙脒基)2Ni、Ni(C5H5)2、Ni[N(t-uut)2]、Ni((tBu2N)2CCH3)2、双(環戊二烯)鎳、双(乙基戊二烯)鎳、Ni[(C2H5)(C5H4)2]、二(三級丁基)胺基鎳、與RxOvNiy(OR’)z、(OR)2Ni,其中R可以是甲基、乙基、丙基、異丙基、丁基、異丁基、第三丁基、與具有更高碳 原子的數量的其他烷基及諸如此類者。可與含鎳氣體(諸如(OR)2Ni)併同供應的反應氣體可包括至少一含矽氣體,諸如矽烷(SiH4)、二矽烷(Si2H6)、四氟化矽(SiF4)、四氯化矽(SiCl4)、與二氯矽烷(SiH2Cl2)及諸如此類者。
在一些實施例中,一承載氣體,諸如氮(N2)與一氧化氮(NO)、O2、N2O、氫(H2)、氨(NH3)、氫(H2)和氮(N2)的混合物、與/或惰性氣體(諸如氬(Ar)和氦(He)),可併同沉積氣體混合物被供應到製程腔室內。不同反應氣體與惰性氣體的添加會改變膜結構與/或膜化學成分(諸如電阻率),藉此調整經沉積的膜以具有期望的膜性質而滿足不同的製程要求。在一實例中,含鎳化合物是双(環戊二烯)鎳或Ni(C5H5)2,並且反應氣體是SiH4
當供應沉積氣體混合物時,一惰性氣體亦可被供應到沉積氣體混合物內以依需要輔助輪廓控制。被供應在氣體混合物中的惰性氣體的實例包括Ar、He、Ne、Kr、Xe、或諸如此類者。
在一實施例中,被供應在沉積氣體混合物中的含鎳氣體可被維持在介於約20sccm與約200sccm之間的體積流速。含矽氣體(諸如SiH4)被維持在介於約10sccm與約100sccm之間的體積流速。
當供應沉積氣體混合物以執行沉積製程時,一些製程參數亦可被控制。製程腔室的壓力可被控制在介於 約0.5毫托與約5托之間。基材溫度被維持在小於400℃,諸如介於約15℃至約400℃之間,例如介於約200℃至約380℃之間。咸信低溫(小於400℃的溫度)對於製造用於半導體元件的奈米線是令人期望的,藉此將損壞(例如所製造的元件結構上的對近乎低k材料的非期望機械緻密化、或導電材料熔化)予以最小化。沉積製程可被執行長達介於約30秒與約300秒之間,以沉積具有厚度介於約10Å與約100Å之間的矽化鎳層308。
在操作204,當供應沉積氣體混合物到製程腔室內時,可從微波產生器181(繪示在第1A圖)產生一微波功率到氣體混合物,以輔助分解沉積氣體混合物變成電漿中的反應性物種。所產生的微波功率賦予內部空間126內的沉積氣體混合物能量,以致電漿可被維持。在一實例中,微波功率可被運作於介於0.3GHz與約300GHz之間的頻率。微波功率可被施加在介於約10瓦與約5000瓦。在一些實施例中,除了所產生的微波功率,一或更多個RF源功率147(繪示在第1A圖)與/或RF偏壓功率184、186(繪示在第1A和1B圖)亦可在沉積製程期間被產生,以輔助分解沉積氣體混合物,而形成電漿。在一實例中,RF功率源可被供應在介於約100瓦與約600瓦之間且在介於約250kHz與約13.6MHz之間的頻率。一RF偏壓功率亦可依需要被供應。RF偏壓功率可被供應在介於約10瓦與約100瓦之間。在一實施例中,可將RF源功率予以脈衝化,而在介於約500Hz與約10kHz之間 的RF頻率下具有介於約10至約95%的工作週期(duty cycle)。
在操作206,當從沉積氣體混合物形成電漿時,一光輻射可被放射到電漿中的沉積氣體混合物,藉此提升氣體混合物變成反應性物種的分解。可從裝設在製程腔室100上的光源140(如第1A圖所示)或從形成在製程腔室151的邊緣上的光源180(如第1B圖所示)來放射光輻射。
咸信來自光源140、180的光能(例如光子)可提升在基材表面處IR/UV光(例如光子)的產生,藉此提升發生在基材表面處的化學反應,而不需要過度地增加基材溫度。在一實施例中,光源140、180可放射波長介於約1mm與約1000mm之間的光能。光能可包括IR光、UV光、或上述組合。
在操作208,在矽化鎳層308達到期望的厚度之後,沉積製程可接著被終止。在一實例中,矽化鎳層308是一富鎳膜而具有比形成在矽化鎳層308中的矽元素的比例更高比例的鎳元素。在一實例中,矽化鎳層308可具有鎳元素對矽元素的比例為實質上介於約0.8:1與約2:1之間。相較於被形成在矽化鎳層308的下/內區域中的矽元素,更多的鎳元素被形成在矽化鎳層308的上/外區域上。XRD分析顯示所形成的矽化鎳層308具有強(111)、(220)與(311)平面尖峰。矽化鎳層308可具有介於約8μOhm與約25μOhm之間的電阻率。
在一些實施例中,可執行沉積製程200,接著執行一熱/退火製程。沉積製程可以是上述的製程200,或任何適當的沉積技術(諸如電漿增強化學氣相沉積(PECVD)、物理氣相沉積(PVD)、金屬有機化學氣相沉積(MOCVD)、熱化學氣相沉積(熱-CVD)製程、低壓化學氣相沉積(LPCVD)、次大氣壓化學氣相沉積(SACVD)及諸如此類者),接著進行一熱/退火製程。在矽化鎳層308被形成在基材300上之後,一低溫(諸如小於400℃)熱/退火製程可在一熱製程腔室(諸如RTP腔室,或可以執行微波輔助熱/退火製程的任何適當的加熱製程腔室)被執行在矽化鎳層308上。熱製程腔室可類似地設置成第1A和1B圖所述的製程腔室而具有一加熱模組(諸如燈或形成在加熱模組中且一微波產生器耦接到加熱模組的加熱組件)。熱/退火製程期間所施加的微波功率可和緩地加熱/熱處理矽化鎳層308,而不會不利地破壞或損壞矽化鎳層308的膜結構。微波功率可被調節成類似參照第2圖在製程200中所述的操作204所描述的微波功率。在一實例中,微波功率可被操作在介於0.3GHz與約300GHz之間的頻率。微波功率可被施加在介於約10瓦與約5000之間。當執行熱/退火製程時,一承載氣體可在熱/退火製程期間被供應。承載氣體可選自由N2、O2、H2、惰性氣體、或任何依需要適當的氣體所構成的群組。
類似操作206,當以微波功率來執行熱/退火製程時,一光輻射可被放射到金屬矽化物層208,藉此提 升金屬矽化物層208的膜結構的重建。咸信光能(例如光子)可提升在基材表面處IR/UV光(例如光子)的產生,藉此提升對矽化鎳層208之膜結構重排或重建。在一實施例中,光輻射可具有介於約1mm與約1000mm之間的波長。光輻射可包括IR光、UV光、或上述組合。
沉積製程跟隨在後的熱/退火製程係被執行以修復、緻密化與提升矽化鎳層308的晶格結構。例如,相較於例如在先前沒有熱/退火製程的矽化鎳層308,在熱/退火製程之後,矽化鎳層308在XRD分析下可具有更強的(111)、(220)與(311)平面尖峰的結晶結構。
被形成在基材300上的矽化鎳層308可以是一毯覆式膜,其可用以形成導電奈米線。例如,矽化鎳層308可後來被圖案化或被蝕刻,以在矽化鎳層308中形成開口310,如第4A圖所示。然後,一低-k介電質材料312可接著被填充在開口310中,以形成一平坦表面314以用於在基材300上形成一互連結構(諸如後端互連結構)。在另一實例中,製程200可被執行在基材400上,其中基材400具有設置在第二低-k介電質層402上的第一低-k介電質層406,如第5A圖所示。一下方的導電線404可被形成在第二低-k介電質層402中。第一低-k介電質層406可具有形成在其中的開口410。當製程200被執行在基材400上時,矽化鎳層308可接著填充到被定義在第一低-k材料層406中的開口410內,以在第一低-k材料層406中 形成奈米線(如第5B圖所示),而形成一用於半導體元件的後端互連結構。
因此,用以在低溫下形成金屬矽化物層的方法與設備係被提供。該方法與設備利用電漿增強化學氣相沉積或其他適當的沉積技術在小於400℃的溫度下有利地形成一金屬矽化物層。在低溫下形成的金屬矽化物層可用以形成用於下一世代半導體元件的導電奈米線。
儘管上述說明導向本發明的實施例,可設想出本發明的其他與進一步的實施例而不悖離本發明的基本範疇,並且本發明的範疇是由隨附的申請專利範圍來決定。
100‧‧‧製程腔室
101‧‧‧側
102‧‧‧真空泵
104‧‧‧匹配電路
106‧‧‧功率供應器
108‧‧‧夾盤功率源
110‧‧‧控制器
112‧‧‧中央處理單元
114‧‧‧支援電路
116‧‧‧記憶體
118‧‧‧訊號排
122‧‧‧底部
124‧‧‧室頂
126‧‧‧內部空間
130‧‧‧氣體源
132‧‧‧電漿處理系統
134‧‧‧通道
135‧‧‧氣體輸送通道
136‧‧‧閥
138‧‧‧窗口
139‧‧‧殼體
140‧‧‧光源
141‧‧‧光源
148‧‧‧遠端電漿源
150‧‧‧載座
170‧‧‧嵌設加熱器構件
172‧‧‧溫度感測器
181‧‧‧微波產生器
190‧‧‧基材
191‧‧‧基材表面
192‧‧‧電極

Claims (20)

  1. 一種用以形成用於半導體元件的後端互連結構中的奈米線的方法,包含以下步驟:藉由一化學氣相沉積製程或一物理氣相沉積製程以形成一金屬矽化物層於一基材上;在一製程腔室中熱處理該金屬矽化物層;當熱處理該金屬矽化物層時,施加一微波功率於該製程腔室中;及當熱處理該金屬矽化物層時,維持一基材溫度於小於400℃。
  2. 如請求項1所述之方法,更包含以下步驟:形成金屬矽化物奈米線於該基材上以用於半導體元件。
  3. 如請求項1所述之方法,其中熱處理該金屬矽化物層的步驟更包含以下步驟:當熱處理該金屬矽化物層時,在該製程腔室中放射一光輻射。
  4. 如請求項3所述之方法,其中該光輻射是一IR或UV光。
  5. 如請求項1所述之方法,其中該金屬矽化物層是一矽化鎳層。
  6. 一種用以形成一金屬矽化物層於一基材上的 方法,包含以下步驟:供應一沉積氣體混合物於一基材的一表面上,該沉積氣體混合物包括至少一含金屬前驅物與一反應氣體;在該沉積氣體混合物的存在下,藉由暴露於微波功率形成一電漿;暴露該電漿於光輻射;及從該沉積氣體形成一金屬矽化物層於該基材上。
  7. 如請求項6所述之方法,其中該含金屬前驅物是一含鎳有機化合物。
  8. 如請求項6所述之方法,其中該反應氣體是一含矽氣體。
  9. 如請求項8所述之方法,其中該含矽氣體選自由矽烷(SiH4)、二矽烷(Si2H6)、四氟化矽(SiF4)、四氯化矽(SiCl4)、與二氯矽烷(SiH2Cl2)。
  10. 如請求項6所述之方法,其中供應該沉積氣體混合物的步驟更包含以下步驟:維持一基材溫度於小於400℃。
  11. 如請求項6所述之方法,其中在該電漿中提供一光輻射的步驟更包含以下步驟:放射具有波長介於約110nm與約600nm之間的光能到該基材的邊緣。
  12. 如請求項6所述之方法,其中該光能是一IR或UV光。
  13. 如請求項6所述之方法,其中該金屬矽化物層是一具有在平面(111)、(220)與(311)的結晶平面的矽化鎳層。
  14. 如請求項11所述之方法,其中維持該基材溫度的步驟更包含以下步驟:維持該基材溫度在介於約300℃與約400℃之間。
  15. 如請求項6所述之方法,其中在該沉積氣體混合物的存在下形成該電漿的步驟更包含以下步驟:併同該微波功率施加一RF偏壓功率於該沉積氣體混合物中以形成該電漿。
  16. 如請求項6所述之方法,其中在該沉積氣體混合物的存在下形成該電漿的步驟更包含以下步驟:產生頻率在介於約0.3GHz與約300GHz之間的該微波功率。
  17. 如請求項6所述之方法,其中該金屬矽化物層是一矽化鎳層,其具有鎳對矽的比例在介於約0.8:1與約2:1之間。
  18. 如請求項6所述之方法,其中該金屬矽化 物被形成為奈米線以用於半導體後端互連結構。
  19. 一種用以形成用於半導體元件的後端互連結構的金屬矽化物奈米線的設備,包含:一腔室主體,該腔室主體具有一腔室上蓋,該腔室上蓋設置在一腔室側壁上,而界定一電漿製程腔室的一內部空間;一氣體盤,該氣體盤設以提供含鎳化合物到該電漿製程腔室的該內部空間內;一基材支撐載座,該基材支撐載座設置在該電漿製程腔室的該內部空間中;一微波產生器,該微波產生器耦接到該腔室主體;及一光,該光設以暴露一設置在該基材支撐載座上的基材於具有波長介於約1mm與約1000mm之間的光。
  20. 如請求項19所述之設備,其中該光源在一電漿製程期間提供IR或UV光到該電漿製程腔室的該內部空間。
TW104133892A 2014-10-28 2015-10-15 形成金屬矽化物互連奈米線結構的方法與設備 TWI674240B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/525,555 US10204764B2 (en) 2014-10-28 2014-10-28 Methods for forming a metal silicide interconnection nanowire structure
US14/525,555 2014-10-28

Publications (2)

Publication Number Publication Date
TW201615537A true TW201615537A (zh) 2016-05-01
TWI674240B TWI674240B (zh) 2019-10-11

Family

ID=55792551

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104133892A TWI674240B (zh) 2014-10-28 2015-10-15 形成金屬矽化物互連奈米線結構的方法與設備

Country Status (4)

Country Link
US (2) US10204764B2 (zh)
KR (2) KR102527297B1 (zh)
TW (1) TWI674240B (zh)
WO (1) WO2016069132A1 (zh)

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10204764B2 (en) * 2014-10-28 2019-02-12 Applied Materials, Inc. Methods for forming a metal silicide interconnection nanowire structure
US10304803B2 (en) * 2016-05-05 2019-05-28 Invensas Corporation Nanoscale interconnect array for stacked dies
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
KR102405723B1 (ko) 2017-08-18 2022-06-07 어플라이드 머티어리얼스, 인코포레이티드 고압 및 고온 어닐링 챔버
CN111357090B (zh) 2017-11-11 2024-01-05 微材料有限责任公司 用于高压处理腔室的气体输送系统
KR20200075892A (ko) 2017-11-17 2020-06-26 어플라이드 머티어리얼스, 인코포레이티드 고압 처리 시스템을 위한 컨덴서 시스템
KR20230079236A (ko) 2018-03-09 2023-06-05 어플라이드 머티어리얼스, 인코포레이티드 금속 함유 재료들을 위한 고압 어닐링 프로세스
US10916433B2 (en) * 2018-04-06 2021-02-09 Applied Materials, Inc. Methods of forming metal silicide layers and metal silicide layers formed therefrom
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
WO2020191068A1 (en) * 2019-03-20 2020-09-24 Tokyo Electron Limited Method of selectively forming metal silicides for semiconductor devices
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4557943A (en) * 1983-10-31 1985-12-10 Advanced Semiconductor Materials America, Inc. Metal-silicide deposition using plasma-enhanced chemical vapor deposition
US6026762A (en) * 1997-04-23 2000-02-22 Applied Materials, Inc. Apparatus for improved remote microwave plasma source for use with substrate processing systems
KR100329787B1 (ko) * 1999-12-30 2002-03-25 박종섭 반도체 소자의 감광막 제거방법
US6815329B2 (en) 2000-02-08 2004-11-09 International Business Machines Corporation Multilayer interconnect structure containing air gaps and method for making
CA2585009C (en) * 2004-11-24 2014-09-30 Nanosys, Inc. Contact doping and annealing systems and processes for nanowire thin films
US7601652B2 (en) * 2005-06-21 2009-10-13 Applied Materials, Inc. Method for treating substrates and films with photoexcitation
US7550381B2 (en) 2005-07-18 2009-06-23 Applied Materials, Inc. Contact clean by remote plasma and repair of silicide surface
US20070031609A1 (en) * 2005-07-29 2007-02-08 Ajay Kumar Chemical vapor deposition chamber with dual frequency bias and method for manufacturing a photomask using the same
US8796125B2 (en) * 2006-06-12 2014-08-05 Kovio, Inc. Printed, self-aligned, top gate thin film transistor
US20080315430A1 (en) * 2007-06-22 2008-12-25 Qimonda Ag Nanowire vias
US8383525B2 (en) 2008-04-25 2013-02-26 Asm America, Inc. Plasma-enhanced deposition process for forming a metal oxide thin film and related structures
KR20100026700A (ko) * 2008-09-01 2010-03-10 에이피시스템 주식회사 광 유도 화학기상 증착장치
US20110061810A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
DE102010063294B4 (de) * 2010-12-16 2019-07-11 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Verfahren zur Herstellung von Metallisierungssystemen von Halbleiterbauelementen, die eine Kupfer/Silizium-Verbindung als ein Barrierenmaterial aufweisen
US8765595B2 (en) * 2012-01-06 2014-07-01 International Business Machines Corporation Thick on-chip high-performance wiring structures
US8785310B2 (en) * 2012-01-27 2014-07-22 Tokyo Electron Limited Method of forming conformal metal silicide films
US9466524B2 (en) * 2012-01-31 2016-10-11 Applied Materials, Inc. Method of depositing metals using high frequency plasma
KR102296150B1 (ko) 2012-09-07 2021-08-30 어플라이드 머티어리얼스, 인코포레이티드 멀티-챔버 진공 시스템 확인 내에서의 다공성 유전체, 폴리머-코팅된 기판들 및 에폭시의 통합 프로세싱
WO2014089780A1 (zh) 2012-12-12 2014-06-19 复旦大学 超浅结半导体场效应晶体管及其制备方法
US9153644B2 (en) * 2013-07-18 2015-10-06 Freescale Semiconductor, Inc. Backscattering for localized annealing
US9236345B2 (en) * 2014-03-24 2016-01-12 Globalfoundries Inc. Oxide mediated epitaxial nickel disilicide alloy contact formation
US20150380526A1 (en) * 2014-06-27 2015-12-31 Applied Materials, Inc. Methods for forming fin structures with desired dimensions for 3d structure semiconductor applications
US10204764B2 (en) * 2014-10-28 2019-02-12 Applied Materials, Inc. Methods for forming a metal silicide interconnection nanowire structure

Also Published As

Publication number Publication date
US20160118260A1 (en) 2016-04-28
KR102419980B1 (ko) 2022-07-11
KR20170076731A (ko) 2017-07-04
US10930472B2 (en) 2021-02-23
US10204764B2 (en) 2019-02-12
WO2016069132A1 (en) 2016-05-06
KR102527297B1 (ko) 2023-04-27
US20190172686A1 (en) 2019-06-06
KR20220038192A (ko) 2022-03-25
TWI674240B (zh) 2019-10-11

Similar Documents

Publication Publication Date Title
TWI674240B (zh) 形成金屬矽化物互連奈米線結構的方法與設備
TWI691612B (zh) 矽化鎳奈米線的直接沉積
KR20200079339A (ko) 자기 제한 성장
US11101174B2 (en) Gap fill deposition process
JP6181075B2 (ja) 原子水素を用いて基板表面を洗浄するための方法及び装置
JP2012256942A (ja) 化学蒸着エッチングチャンバから副生成物の堆積物を除去するインサイチュチャンバ洗浄プロセス
US20230377958A1 (en) Cluster processing system for forming a metal containing material
US9865466B2 (en) Silicide phase control by confinement
CN110622283A (zh) 减少或消除钨膜中缺陷的方法
TWI750364B (zh) 形成鈦矽化物區域之方法
TW200834688A (en) Prevention of film deposition on PECVD process chamber wall
TWI686500B (zh) 矽化物奈米線之層疊與核殼形成
TWI842772B (zh) 用於形成過渡金屬材料的群集處理系統
US11094588B2 (en) Interconnection structure of selective deposition process
WO2019094224A1 (en) Methods for forming a capping layer for an interconnection structure
KR20030079227A (ko) 반도체 기판을 지지하기 위한 서셉터 및 이를 갖는 가공장치