TW201342578A - 低起始電壓互補式金氧半導體元件 - Google Patents
低起始電壓互補式金氧半導體元件 Download PDFInfo
- Publication number
- TW201342578A TW201342578A TW101145117A TW101145117A TW201342578A TW 201342578 A TW201342578 A TW 201342578A TW 101145117 A TW101145117 A TW 101145117A TW 101145117 A TW101145117 A TW 101145117A TW 201342578 A TW201342578 A TW 201342578A
- Authority
- TW
- Taiwan
- Prior art keywords
- work function
- layer
- region
- aluminum
- dielectric constant
- Prior art date
Links
- 229910052751 metal Inorganic materials 0.000 claims abstract description 82
- 239000002184 metal Substances 0.000 claims abstract description 82
- 239000004065 semiconductor Substances 0.000 claims abstract description 66
- 238000000034 method Methods 0.000 claims abstract description 48
- 239000000463 material Substances 0.000 claims abstract description 24
- 239000010410 layer Substances 0.000 claims description 154
- 229910052732 germanium Inorganic materials 0.000 claims description 44
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 claims description 44
- 238000000151 deposition Methods 0.000 claims description 33
- 229910052782 aluminium Inorganic materials 0.000 claims description 32
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 claims description 32
- UQZIWOQVLUASCR-UHFFFAOYSA-N alumane;titanium Chemical compound [AlH3].[Ti] UQZIWOQVLUASCR-UHFFFAOYSA-N 0.000 claims description 20
- 239000000758 substrate Substances 0.000 claims description 18
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 claims description 17
- 239000011229 interlayer Substances 0.000 claims description 16
- 230000008021 deposition Effects 0.000 claims description 13
- 238000004519 manufacturing process Methods 0.000 claims description 12
- 150000004767 nitrides Chemical class 0.000 claims description 12
- 229910052715 tantalum Inorganic materials 0.000 claims description 11
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 claims description 11
- VYBYZVVRYQDCGQ-UHFFFAOYSA-N alumane;hafnium Chemical compound [AlH3].[Hf] VYBYZVVRYQDCGQ-UHFFFAOYSA-N 0.000 claims description 9
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 claims description 9
- KDLHZDBZIXYQEI-UHFFFAOYSA-N Palladium Chemical compound [Pd] KDLHZDBZIXYQEI-UHFFFAOYSA-N 0.000 claims description 8
- 239000000945 filler Substances 0.000 claims description 8
- BASFCYQUMIYNBI-UHFFFAOYSA-N platinum Chemical compound [Pt] BASFCYQUMIYNBI-UHFFFAOYSA-N 0.000 claims description 8
- KJTLSVCANCCWHF-UHFFFAOYSA-N Ruthenium Chemical compound [Ru] KJTLSVCANCCWHF-UHFFFAOYSA-N 0.000 claims description 7
- TWNQGVIAIRXVLR-UHFFFAOYSA-N oxo(oxoalumanyloxy)alumane Chemical compound O=[Al]O[Al]=O TWNQGVIAIRXVLR-UHFFFAOYSA-N 0.000 claims description 7
- 229910052707 ruthenium Inorganic materials 0.000 claims description 7
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 claims description 6
- 229910052721 tungsten Inorganic materials 0.000 claims description 6
- 239000010937 tungsten Substances 0.000 claims description 6
- CFJRGWXELQQLSA-UHFFFAOYSA-N azanylidyneniobium Chemical compound [Nb]#N CFJRGWXELQQLSA-UHFFFAOYSA-N 0.000 claims description 4
- YBMRDBCBODYGJE-UHFFFAOYSA-N germanium oxide Inorganic materials O=[Ge]=O YBMRDBCBODYGJE-UHFFFAOYSA-N 0.000 claims description 4
- PVADDRMAFCOOPC-UHFFFAOYSA-N oxogermanium Chemical compound [Ge]=O PVADDRMAFCOOPC-UHFFFAOYSA-N 0.000 claims description 4
- 229910052763 palladium Inorganic materials 0.000 claims description 4
- 229910052697 platinum Inorganic materials 0.000 claims description 4
- 229910052703 rhodium Inorganic materials 0.000 claims description 4
- 239000010948 rhodium Substances 0.000 claims description 4
- MHOVAHRLVXNVSD-UHFFFAOYSA-N rhodium atom Chemical compound [Rh] MHOVAHRLVXNVSD-UHFFFAOYSA-N 0.000 claims description 4
- 229910001257 Nb alloy Inorganic materials 0.000 claims description 3
- 229910001362 Ta alloys Inorganic materials 0.000 claims description 3
- 229910052735 hafnium Inorganic materials 0.000 claims description 3
- -1 hafnium nitride Chemical class 0.000 claims description 3
- 229910052741 iridium Inorganic materials 0.000 claims description 3
- GKOZUEZYRPOHIO-UHFFFAOYSA-N iridium atom Chemical compound [Ir] GKOZUEZYRPOHIO-UHFFFAOYSA-N 0.000 claims description 3
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 claims 2
- 238000003763 carbonization Methods 0.000 claims 1
- 229910052757 nitrogen Inorganic materials 0.000 claims 1
- 230000008569 process Effects 0.000 abstract description 31
- 125000006850 spacer group Chemical group 0.000 description 11
- WGTYBPLFGIVFAS-UHFFFAOYSA-M tetramethylammonium hydroxide Chemical compound [OH-].C[N+](C)(C)C WGTYBPLFGIVFAS-UHFFFAOYSA-M 0.000 description 8
- VHUUQVKOLVNVRT-UHFFFAOYSA-N Ammonium hydroxide Chemical compound [NH4+].[OH-] VHUUQVKOLVNVRT-UHFFFAOYSA-N 0.000 description 6
- 235000011114 ammonium hydroxide Nutrition 0.000 description 6
- NFFIWVVINABMKP-UHFFFAOYSA-N methylidynetantalum Chemical compound [Ta]#C NFFIWVVINABMKP-UHFFFAOYSA-N 0.000 description 5
- 229910003468 tantalcarbide Inorganic materials 0.000 description 5
- 229910052684 Cerium Inorganic materials 0.000 description 4
- KRHYYFGTRYWZRS-UHFFFAOYSA-N Fluorane Chemical compound F KRHYYFGTRYWZRS-UHFFFAOYSA-N 0.000 description 4
- PSNPEOOEWZZFPJ-UHFFFAOYSA-N alumane;yttrium Chemical compound [AlH3].[Y] PSNPEOOEWZZFPJ-UHFFFAOYSA-N 0.000 description 4
- 238000000137 annealing Methods 0.000 description 4
- 230000008901 benefit Effects 0.000 description 4
- GWXLDORMOJMVQZ-UHFFFAOYSA-N cerium Chemical compound [Ce] GWXLDORMOJMVQZ-UHFFFAOYSA-N 0.000 description 4
- 150000001875 compounds Chemical class 0.000 description 4
- 238000005516 engineering process Methods 0.000 description 4
- 238000002955 isolation Methods 0.000 description 4
- 229910044991 metal oxide Inorganic materials 0.000 description 4
- 150000004706 metal oxides Chemical class 0.000 description 4
- BPUBBGLMJRNUCC-UHFFFAOYSA-N oxygen(2-);tantalum(5+) Chemical compound [O-2].[O-2].[O-2].[O-2].[O-2].[Ta+5].[Ta+5] BPUBBGLMJRNUCC-UHFFFAOYSA-N 0.000 description 4
- 229910001936 tantalum oxide Inorganic materials 0.000 description 4
- 229940073455 tetraethylammonium hydroxide Drugs 0.000 description 4
- LRGJRHZIDJQFCL-UHFFFAOYSA-M tetraethylazanium;hydroxide Chemical compound [OH-].CC[N+](CC)(CC)CC LRGJRHZIDJQFCL-UHFFFAOYSA-M 0.000 description 4
- VEXZGXHMUGYJMC-UHFFFAOYSA-N Hydrochloric acid Chemical compound Cl VEXZGXHMUGYJMC-UHFFFAOYSA-N 0.000 description 3
- 239000000908 ammonium hydroxide Substances 0.000 description 3
- 230000000295 complement effect Effects 0.000 description 3
- 230000009977 dual effect Effects 0.000 description 3
- 238000005530 etching Methods 0.000 description 3
- 239000000203 mixture Substances 0.000 description 3
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 2
- 230000004913 activation Effects 0.000 description 2
- 238000000231 atomic layer deposition Methods 0.000 description 2
- 230000015572 biosynthetic process Effects 0.000 description 2
- 230000000903 blocking effect Effects 0.000 description 2
- 229910052802 copper Inorganic materials 0.000 description 2
- 239000010949 copper Substances 0.000 description 2
- 238000010586 diagram Methods 0.000 description 2
- 239000012212 insulator Substances 0.000 description 2
- MRELNEQAGSRDBK-UHFFFAOYSA-N lanthanum(3+);oxygen(2-) Chemical compound [O-2].[O-2].[O-2].[La+3].[La+3] MRELNEQAGSRDBK-UHFFFAOYSA-N 0.000 description 2
- 150000002739 metals Chemical class 0.000 description 2
- 229910052762 osmium Inorganic materials 0.000 description 2
- SYQBFIAQOQZEGI-UHFFFAOYSA-N osmium atom Chemical compound [Os] SYQBFIAQOQZEGI-UHFFFAOYSA-N 0.000 description 2
- 238000000059 patterning Methods 0.000 description 2
- 238000001020 plasma etching Methods 0.000 description 2
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 2
- 229920005591 polysilicon Polymers 0.000 description 2
- 238000004151 rapid thermal annealing Methods 0.000 description 2
- 239000000126 substance Substances 0.000 description 2
- 229910004143 HfON Inorganic materials 0.000 description 1
- 229910006252 ZrON Inorganic materials 0.000 description 1
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 1
- 229910000420 cerium oxide Inorganic materials 0.000 description 1
- 239000004020 conductor Substances 0.000 description 1
- 239000013078 crystal Substances 0.000 description 1
- 230000005669 field effect Effects 0.000 description 1
- 238000009413 insulation Methods 0.000 description 1
- 238000001459 lithography Methods 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- 230000008520 organization Effects 0.000 description 1
- 230000003647 oxidation Effects 0.000 description 1
- 238000007254 oxidation reaction Methods 0.000 description 1
- 230000001590 oxidative effect Effects 0.000 description 1
- BMMGVYCKOGBVEV-UHFFFAOYSA-N oxo(oxoceriooxy)cerium Chemical compound [Ce]=O.O=[Ce]=O BMMGVYCKOGBVEV-UHFFFAOYSA-N 0.000 description 1
- 229910052760 oxygen Inorganic materials 0.000 description 1
- 239000001301 oxygen Substances 0.000 description 1
- 238000005498 polishing Methods 0.000 description 1
- 238000007517 polishing process Methods 0.000 description 1
- MTPVUVINMAGMJL-UHFFFAOYSA-N trimethyl(1,1,2,2,2-pentafluoroethyl)silane Chemical compound C[Si](C)(C)C(F)(F)C(F)(F)F MTPVUVINMAGMJL-UHFFFAOYSA-N 0.000 description 1
- 238000001039 wet etching Methods 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/8238—Complementary field-effect transistors, e.g. CMOS
- H01L21/823828—Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
- H01L21/823842—Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02172—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
- H01L21/02175—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
- H01L21/02178—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02172—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
- H01L21/02175—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
- H01L21/02192—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing at least one rare earth metal element, e.g. oxides of lanthanides, scandium or yttrium
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/28008—Making conductor-insulator-semiconductor electrodes
- H01L21/28017—Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
- H01L21/28026—Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
- H01L21/28079—Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a single metal, e.g. Ta, W, Mo, Al
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/28008—Making conductor-insulator-semiconductor electrodes
- H01L21/28017—Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
- H01L21/28026—Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
- H01L21/28088—Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/28008—Making conductor-insulator-semiconductor electrodes
- H01L21/28017—Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
- H01L21/28158—Making the insulator
- H01L21/28229—Making the insulator by deposition of a layer, e.g. metal, metal compound or poysilicon, followed by transformation thereof into an insulating layer
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/8238—Complementary field-effect transistors, e.g. CMOS
- H01L21/823807—Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/8238—Complementary field-effect transistors, e.g. CMOS
- H01L21/823857—Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/02—Semiconductor bodies ; Multistep manufacturing processes therefor
- H01L29/06—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
- H01L29/10—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
- H01L29/1025—Channel region of field-effect devices
- H01L29/1029—Channel region of field-effect devices of field-effect transistors
- H01L29/1033—Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
- H01L29/1054—Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a variation of the composition, e.g. channel with strained layer for increasing the mobility
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/02—Semiconductor bodies ; Multistep manufacturing processes therefor
- H01L29/12—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
- H01L29/16—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
- H01L29/161—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/40—Electrodes ; Multistep manufacturing processes therefor
- H01L29/43—Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
- H01L29/49—Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
- H01L29/495—Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/40—Electrodes ; Multistep manufacturing processes therefor
- H01L29/43—Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
- H01L29/49—Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
- H01L29/4966—Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/40—Electrodes ; Multistep manufacturing processes therefor
- H01L29/43—Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
- H01L29/49—Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
- H01L29/51—Insulating materials associated therewith
- H01L29/517—Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66477—Unipolar field-effect transistors with an insulated gate, i.e. MISFET
- H01L29/66545—Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
Landscapes
- Engineering & Computer Science (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Manufacturing & Machinery (AREA)
- Ceramic Engineering (AREA)
- Chemical & Material Sciences (AREA)
- Composite Materials (AREA)
- Materials Engineering (AREA)
- Insulated Gate Type Field-Effect Transistor (AREA)
- Electrodes Of Semiconductors (AREA)
Abstract
本發明揭示一種半導體元件,其包含:一NMOS區域與一PMOS區域;該NMOS區域具有一閘極結構,該閘極結構包含一第一高介電常數閘極介電質、一第一功函數設定金屬以及一閘極電極填充材料;該PMOS區域具有一閘極結構,該閘極結構包含一第二高介電常數閘極介電質、一第二功函數設定金屬以及一閘極電極填充材料;其中該第一高介電常數閘極介電質異於該第二高介電常數閘極介電質,且該第一功函數設定金屬異於該第二功函數設定金屬。本發明亦揭示該半導體元件的製造方法,其包含後閘極(gate last)製程。
Description
例示性具體實施例有關半導體元件,尤其有關具有雙閘極介電質及雙金屬閘極結構的互補式金氧半導體(CMOS)元件及其製造方法。
半導體元件用於各種電子應用中,舉例而言,諸如個人電腦、行動電話、數位相機、及其他電子設備。通常藉由在半導體基板上相繼沉積絕緣(或介電質)層、導電層、及半導體材料層並使用微影圖案化不同層以在半導體基板上形成電路組件及部件來製造半導體元件。
電晶體是在半導體元件中大量使用的部件。例如,單一積體電路(IC)上有數以百萬計的電晶體。半導體元件製造中常用的電晶體類型係金氧半導體場效電晶體(MOSFET)。
早期的MOSFET製程使用一個摻雜類型產生正通道電晶體或負通道電晶體。比較新近的設計稱為互補式MOS(CMOS)元件,其以互補式配置使用正通道元件及負通道元件,如,正通道金氧半導體(PMOS)電晶體及負通道金氧半導體(NMOS)電晶體。PMOS電晶體又可稱為PMOSFET或PFET,而NMOS電晶體又可稱為NMOSFET或NFET。NMOS元件負充電致使電晶體因電子的移動而開啟或關閉,而PMOS元件則涉及電子空位的移動。儘管製造CMOS元件需要使用更多製造步驟及更多電晶體,但CMOS元件仍是有利的,因為其利用較少電力,且元件可越做越小及速度越快。
雙功函數閘極有利於使用在具有PMOS電晶體及NMOS電晶體的半導體元件中。需要實現PMOS電晶體及NMOS電晶體之最佳操作的一些功函數。金屬閘極電極的最佳功函數將取決於其用以形成NMOS電晶體或PMOS電晶體而有所不同。因此之故,在使用相同材料製作NMOS電晶體及PMOS電晶體的金屬閘極電極時,閘極電極無法顯現兩個元件類型所要的功函數。可藉由分別以第一材料形成NMOS電晶體的金屬閘極電極及以第二材料形成PMOS電晶體的金屬閘極電極來解決這個問題。第一材料可確保NMOS閘極電極的理想功函數,而第二材料則可確保PMOS閘極電極的理想功函數。
根據例示性具體實施例的第一方面,藉由提供一種半導體元件達成上文及下文說明之例示性具體實施例的各種優點及目的。該半導體元件包含:一NMOS區域與一PMOS區域;該NMOS區域具有一閘極結構,該閘極結構包含一第一高介電常數閘極介電質、一第一功函數設定金屬以及一閘極電極填充材料;該PMOS區域具有一閘極結構,該閘極結構包含一第二高介電常數閘極介電質、一第二功函數設定金屬以及一閘極電極填充材料;其中該第一高介電常數閘極介電質異於該第二高介電常數閘極介電質,且該第一功函數設定金屬異於該第二功函數設定金屬。
根據例示性具體實施例的第二方面,提供一種半導體元件的製造方法。該方法包含:提供一基板,該基板具有一NMOS區域與一PMOS區域;於該NMOS區域與該PMOS區域上方形成一高介電常數層;於該NMOS區域與該PMOS區域之至少一個上方,將一金屬加入該高介電常數層,其中,若是加入至該NMOS區域上方之該高介電常數層則該金屬是鑭,且若是加入至該PMOS區域上方之該高介電常數層則該金屬是鋁;於該NMOS區域與該PMOS區域上方沉積一氮化物層或碳化物層;於該氮化物層上方沉積一矽層;於該NMOS區域與該PMOS區域上方將該
氮化物層與該矽層之一閘極結構圖案化;於該NMOS區域上方之該閘極結構與該PMOS區域上方之該閘極結構之間形成一層間介電質;從該NMOS區域上方之該閘極結構與該PMOS區域上方之該閘極結構移除該矽層,以在該些閘極結構留下一開口;於該NMOS區域上方之該開口內沉積一第一功函數設定金屬,且於該PMOS區域上方之該開口內沉積一第二功函數設定金屬,以部分地填充該些開口;以及沉積一填充金屬以部分地填充該些開口。
根據例示性具體實施例的第三方面,提供一種半導體元件的製造方法。該方法包含:提供一基板,該基板具有一NMOS區域與一PMOS區域;於該NMOS區域與該PMOS區域上皆形成一閘極結構;於該NMOS區域上方之該閘極結構與該PMOS區域上方之該閘極結構之間形成一層間介電質;移除該NMOS區域上方之該閘極結構與該PMOS區域上方之該閘極結構,以在該層間介電質內該NMOS區域與該PMOS區域的上方皆留下一開口;沉積至少下列之一:位於該NMOS區域上方之該開口內的鑭氧化物、位於該PMOS區域上方之該開口內的鋁氧化物;於該NMOS區域與該PMOS區域上方之每一個開口內沉積一高介電常數介電質;於該NMOS區域上方之該開口內沉積一第一功函數設定金屬,且於該PMOS區域上方之該開口內沉積一第二功函數設定金屬,以部分地填充該些開口;以及沉積一填充金屬以部分地填充該些開口。
100‧‧‧半導體結構
102‧‧‧半導體基板
104‧‧‧NMOS區域
106‧‧‧PMOS區域
108‧‧‧淺溝渠隔離(STI)區域
110‧‧‧可選矽鍺層
112‧‧‧高介電常數(k)材料
114‧‧‧多層結構
116‧‧‧含鋁層
118‧‧‧含鑭層
120‧‧‧矽層
122‧‧‧富含鋁的高介電常數層部分
124‧‧‧富含鑭的高介電常數層部分
126‧‧‧氮化物或碳化物層
128‧‧‧非晶矽層
130‧‧‧閘極結構
132‧‧‧閘極結構
134‧‧‧間隔物
136‧‧‧層間介電質
138‧‧‧開口
140‧‧‧開口
142‧‧‧功函數設定金屬層
144‧‧‧填充金屬
200‧‧‧半導體結構
202‧‧‧半導體基板
204‧‧‧NMOS區域
206‧‧‧PMOS區域
208‧‧‧淺溝渠隔離(STI)區域
210‧‧‧可選矽鍺層
212‧‧‧虛擬閘極結構
213‧‧‧虛擬氧化物
214‧‧‧絕緣間隔物
216‧‧‧層間介電質
218‧‧‧開口
220‧‧‧開口
222‧‧‧帽蓋層
224‧‧‧帽蓋層
226‧‧‧高介電常數層
228‧‧‧功函數設定金屬層
230‧‧‧功函數設定金屬層
232‧‧‧填充金屬層
240‧‧‧界面層
特別在隨附申請專利範圍中提出據信為新穎之例示性具體實施例的特徵及例示性具體實施例的元件特性。圖式僅用於解說的目的,因而未按比例繪製。結合附圖參考以下詳細說明,可深入瞭解有關組織及操作方法的例示性具體實施例,其中:圖1A至1K為圖解形成第一例示性具體實施例之半導體元件的橫截面,其中:圖1A圖解具有NMOS區域及PMOS區域的起始基
板;圖1B圖解沉積高介電常數層;圖1C圖解改質高介電常數層之組成物的若干沉積層;圖1D圖解具有改質高介電常數層的起始基板;圖1E圖解於起始基板上沉積氮化物層及矽層;圖1F圖解以氮化物層及矽層形成虛擬閘極;圖1G圖解在虛擬閘極周圍形成間隔物及層間介電質層;圖1H圖解移除矽虛擬閘極;圖1I圖解沉積功函數設定金屬;圖1J圖解於功函數設定金屬上方沉積填充金屬;及圖1K圖解平坦化半導體結構。
圖2A至2G為圖解形成第二例示性具體實施例之半導體元件的橫截面,其中:圖2A圖解具有NMOS區域及PMOS區域的起始基板;圖2B圖解形成虛擬閘極;圖2C圖解在虛擬閘極周圍形成間隔物及層間介電質層;圖2D圖解移除虛擬閘極;圖2E圖解沉積帽蓋層及高介電常數層;圖2F圖解沉積功函數設定金屬及填充金屬;及圖2G圖解平坦化半導體結構。
圖3圖解製造第一例示性具體實施例的製程流程。
圖4圖解製造第二例示性具體實施例的製程流程。
可利用「先閘極(gate first)」製程或「後閘極」製程製作CMOS元件。在先閘極製程中,形成及圖案化在NMOS區域
及PMOS區域上方的金屬層以形成閘極結構,接著再進行典型CMOS處理,諸如形成源極及汲極、形成間隔物及沉積層間介電質。在後閘極製程中,形成虛擬閘極結構,接著再進行典型CMOS處理,包含形成源極及汲極、形成間隔物及沉積層間介電質。之後,移除虛擬閘極結構,接著再沉積取代閘極結構。
CMOS元件需要低起始電壓。然而,在先閘極製程下,很難達成PMOS元件的低起始電壓。後閘極製程有利於PMOS元件的起始電壓,但要獲得對應於矽價帶邊緣的有效功函數卻非易事。
本發明人提出半導體元件及其製造方法,其中利用後閘極製程以雙閘極介電質及雙金屬閘極結構形成NMOS元件及PMOS元件。
詳細參考圖式,尤其參考圖1A至1K,其中圖解採用後閘極製程的第一例示性具體實施例。形成半導體結構100,其包含半導體基板102、NMOS區域104及PMOS區域106,如圖1A中圖解。雖對本發明並不重要,但可視半導體設計需要而植入NMOS區域104及PMOS區域106的通道區域。
組成半導體基板102的半導體材料可以是任何半導體材料,包含但不限於:矽、矽鍺、鍺、III-V化合物半導體、或II-VI化合物半導體。例示性具體實施例可應用於絕緣體上矽(SOI)技術及塊體半導體技術。
隔開NMOS區域104及PMOS區域106的可以是淺溝渠隔離(STI)區域108。
半導體結構100在PMOS區域106中亦可包含可選矽鍺層110。可選矽鍺層110後來在處理中可稱為「通道矽鍺」。
現在參考圖1B,照慣例沉積一層高介電常數材料112。以下可將高介電常數(k)材料112稱為「高k材料」。高介電常數材料的一些實例包含但不限於:HfO2、HfON、ZrO2、ZrON、HfSiOx、HfSiON、HfZrO、HfZrON。本發明人偏好使用HfO2作
為高k材料。可將高k材料沉積至約10至30埃的厚度。
在一例示性具體實施例中,可將一或多個所要金屬驅入上覆NMOS區域104及PMOS區域106的高k材料112中。現在參考圖1C,其中顯示多層結構114,其包含含鋁層116、含鑭層118及矽層120。含鋁層116可為約1至10埃厚並可含有鋁、鋁氧化物或鋁及鈦氮化物的混合物。含鑭層118可為約1至10埃厚並可含有鑭、鑭氧化物或鑭及鈦氮化物的混合物。包含多層結構114的半導體結構100可在900-1100℃下退火達5秒,以將鋁驅入在PMOS區域106上方的高介電常數層110中,及將鑭驅入在NMOS區域104上方的高介電常數層110中。矽層120密封多層結構114,以免該多層結構在退火步驟期間氧化。結果是將高介電常數層112改質成兩個部分,因而有富含鋁的高介電常數層部分122及富含鑭的高介電常數層部分124,如圖1D中圖解。
應明白,此處的原則在於具有雙高介電常數層。儘管最佳具體實施例是要具有富含鋁的部分122及富含鑭的部分124,但僅有富含鋁的部分122及富含鑭的部分124之一者亦可達成本發明的優點,只要高介電常數層的另一部分是原來沉積的高介電常數層112。在此後一例示性具體實施例中,將不需要含鋁層116及含鑭層118中的一個。
在如上文所說明的退火後,照慣例藉由蝕刻移除矽層120、含鑭層118及含鋁層116以形成圖1D中顯示的結構。
現在參考圖1E,照慣例沉積10至30埃的鈦氮化物、或鉭氮化物、鈦碳化物或鉭碳化物以形成氮化物或碳化物層126,接著再沉積約60奈米的非晶矽以形成非晶矽層128。
之後,如圖1F所示,圖案化鈦氮化物層126及非晶矽層128以在NMOS區域104上方形成閘極結構130及在PMOS區域106上方形成閘極結構132。
可照慣例將間隔物134(諸如氮化物間隔物)添加至閘極結構130、132,接著再照慣例沉積層間介電質136。至此的半
導體結構100如圖1G中圖解。
源極及汲極活化可在NMOS區域104及PMOS區域106中發生。在源極及汲極活化期間,非晶矽層128轉化成多晶矽。閘極結構130、132內的多晶矽是虛擬閘極且根據此例示性具體實施例必須在後閘極製程中移除並為取代閘極所取代。多晶矽(之前為非晶矽層128)可利用濕蝕刻化學劑(諸如氫氧化四甲銨(TMAH)、氫氧化四乙銨(TEAH)、或氫氧化銨(NH4OH))或反應性離子蝕刻製程移除,致使在閘極結構130中形成開口138及在閘極結構132中形成開口140,如圖1H所示。
然後在開口138、140內沉積大體上以142指示的功函數設定金屬層。為清楚之故,未顯示構成功函數金屬的個別層,如下文說明。開口138中的功函數設定金屬設定在矽導電帶邊緣周圍的功函數,開口140中的功函數金屬則設定在矽價帶邊緣周圍的功函數。在開口138內,沉積10至30埃的鉭氮化物後,接著再沉積10至40埃的鈦鋁。或者,可在開口138內沉積鈦鋁氮化物、鉭鋁、鉭鋁氮化物、鉿矽合金、鉿氮化物、或鉭碳化物而非鈦鋁。在開口140內,沉積10至30埃的鉭氮化物後,接著再沉積30至70埃的鈦氮化物及10至40埃的鈦鋁。或者,可在開口140內沉積鎢、鉭氮化物、釕、鉑、錸、銥、或鈀而非鈦氮化物,及可在開口140內沉積鈦鋁氮化物、鉭鋁、鉭鋁氮化物、鉿矽合金、鉿氮化物、或鉭碳化物而非鈦鋁。功函數金屬可等形地沉積(如圖1I所示),或可非等形地沉積。
現在參考圖1J,用填充金屬144(諸如鋁、摻雜鈦的鋁、鎢、或銅)填充剩餘的開口138、140。
然後,利用諸如化學機械拋光的製程平坦化半導體結構100,圖1K。
接著可進行其他生產線中間及生產線後段的半導體處理。
在圖3中圖解第一例示性具體實施例的製程流程。製
程流程始於提供基板,其具有NMOS區域及PMOS區域,方塊302。
在NMOS區域及PMOS區域上方形成高k介電質,方塊304。
接著,可將鑭加入在NMOS區域上方的高k介電質、或將鋁加入在PMOS區域上方的高k介電質、或既將鑭加入在NMOS區域上方的高k介電質且將鋁加入在PMOS區域上方的高k介電質,方塊306。
在NMOS區域及PMOS區域上方沉積一層鈦氮化物,方塊308,接著在氮化物層上方沉積矽,方塊310。
將氮化物及矽層圖案化以形成虛擬閘極,方塊312。
視需要,可接著於虛擬閘極形成間隔物,接著再沉積氧化物層間介電質,方塊314。
利用合適的蝕刻製程,從NMOS區域及PMOS區域上方的虛擬閘極移除矽,方塊316。
開始取代閘極製程,其中沉積功函數設定金屬以部分地填充因移除矽所留下的開放區域,方塊318;接著再沉積填充金屬以填充剩餘的開放區域並完成取代閘極製程,方塊320。
在圖2A至2G中圖解採用後閘極製程的第二例示性具體實施例。此例示性具體實施例如圖2A所示的起始結構實質上類似於圖1A顯示的結構。即,形成半導體結構200,其包含半導體基板202、NMOS區域204及PMOS區域206。
組成半導體基板202的半導體材料可以是任何半導體材料,包含但不限於:矽、矽鍺、鍺、III-V化合物半導體、或II-VI化合物半導體。本發明可應用於絕緣體上矽(SOI)技術及塊體半導體技術。
隔開NMOS區域204及PMOS區域206的可以是淺溝渠隔離(STI)區域208。
半導體結構200在PMOS區域206中亦可包含可選矽鍺層210。可選矽鍺層210後來在處理中可稱為「通道矽鍺」。
現在參考圖2B,顯示虛擬閘極結構212,其將在後續製程中移除。虛擬閘極結構212已從虛擬氧化物213及60 nm厚的非晶矽層或多晶矽層(已照慣例全區覆蓋式沉積及接著圖案化)形成。虛擬氧化物可為利用原子層沉積(ALD)或在氧環境下退火(快速熱退火、熔爐退火)所生長的矽氧化物。虛擬氧化物可利用快速熱退火或電漿氮化進行氮化。
如圖2C顯示,已將絕緣間隔物214(諸如氮化物間隔物)添加至虛擬閘極結構212,及接著已將層間介電質216(諸如氧化物)全區式覆蓋沉積並接著藉由停止於虛擬閘極結構212上進行平坦化。
利用濕蝕刻化學劑(諸如氫氧化四甲銨(TMAH)、氫氧化四乙銨(TEAH)、或氫氧化銨(NH4OH))、或利用反應性離子蝕刻製程移除虛擬閘極結構212,接著再使用濕蝕刻化學劑(諸如稀釋氫氟酸(DHF)或緩衝氫氟酸(BHF))移除虛擬氧化物,以在半導體結構200中,在NMOS區域204上方形成開口218及在PMOS區域206上方形成開口220,如圖2D圖解。照慣例藉由例如氧化NMOS區域204及PMOS區域206中的矽,在開口218、220的底部生長矽氧化物的界面層240。
之後,可在開口218或開口220中添加帽蓋層,或在開口218及開口220中皆添加帽蓋層。開口218中的帽蓋層222可為1至10埃厚的鑭氧化物層,開口220中的帽蓋層224則可為1至10埃厚的鋁氧化物層。儘管在圖2E中將帽蓋層222及帽蓋層224顯示為較佳具體實施例,但應明白,可在僅使用帽蓋層222、224中的一個時達成本發明的優點,因為本發明的優點在於具有雙閘極介電質,而這可藉由僅使用帽蓋層222、224中的一個來達成。
帽蓋層222可藉由以下方式形成:在各處沉積帽蓋層222、擋住NMOS區域204及接著利用合適的蝕刻製程(諸如稀釋鹽酸(HCl))從PMOS區域206移除帽蓋層222。同樣地,帽蓋層224
可藉由以下方式形成:在各處全區式覆蓋沉積帽蓋層224、擋住PMOS區域206及接著利用合適的蝕刻製程(諸如稀釋氫氧化銨(NH4OH))從NMOS區域204移除帽蓋層224。沉積帽蓋層222、224的順序並不重要,因此可首先沉積及圖案化帽蓋層224,接著再沉積及圖案化帽蓋層222。
接下來,沉積高k介電質,諸如第一例示性具體實施例中提到的高k介電質。為了圖解而非限制,高介電常數層226是10至30埃厚的鉿氧化物層。高介電常數層226在帽蓋層上方形成,如圖2E所示。
可等形或非等形地形成帽蓋層222、224及高k介電質226。如圖2E顯示,已等形地沉積這些層。
功函數設定金屬層228、230分別必須沉積在開口218及220中。為清楚之故,並未顯示構成功函數設定金屬層228、230的個別功函數金屬,如下文說明。開口218中的功函數設定金屬設定在矽導電帶邊緣周圍的功函數,開口220中的功函數金屬則設定在矽價帶邊緣周圍的功函數。在NMOS區域204上方的開口218中,沉積10至30埃厚之鈦氮化物及10至30埃厚之鉭氮化物的可選層,接著再沉積10至40埃厚之鈦鋁的非可選層,這些層一起組成大體上以228指示的功函數設定金屬層。或者,可在開口218內沉積鈦鋁氮化物、鉭鋁、鉭鋁氮化物、鉿矽合金、鉿氮化物、或鉭碳化物而非鈦鋁。在PMOS區域206上方的開口220中,沉積10至30埃厚之鈦氮化物及10至30埃厚之鉭氮化物的可選層,接著再沉積30至70埃厚之鈦氮化物及10至40埃厚之鈦鋁層的非可選層,這些層一起組成大體上以230指示的功函數設定金屬層。或者,可在開口220內沉積鎢、鉭氮化物、釕、鉑、錸、銥、或鈀而非鈦氮化物,及可在開口220內沉積鈦鋁氮化物、鉭鋁、鉭鋁氮化物、鉿矽合金、鉿氮化物、或鉭碳化物而非鈦鋁。
功函數設定金屬層228、230可沉積如下:在各處沉
積可選的鈦氮化物層、在各處沉積可選的鉭氮化物層、在各處沉積鈦氮化物層、從NMOS區域204移除最後的鈦氮化物層、及在各處沉積鈦鋁。
用填充金屬(諸如鋁、摻雜鈦的鋁、鎢或銅(層232))填充剩餘的開口218、220以形成圖2F顯示的結構。
利用習知化學機械拋光製程移除填充金屬層232、功函數設定金屬228、230及任何高介電常數層226及帽蓋層222、224的覆蓋層以形成圖2G顯示的結構。
可接著進行其他生產線中間及生產線後段的半導體處理。
在圖4中圖解第二例示性具體實施例的製程流程。製程流程始於提供基板,其具有NMOS區域及PMOS區域,方塊402。
然後形成虛擬閘極結構,方塊404。
視需要,可接著於虛擬閘極形成間隔物,接著再沉積氧化物層間介電質,方塊406。
利用合適的蝕刻製程移除虛擬閘極結構以在虛擬閘極結構原本所在之處留下開放區域,方塊408。
用取代閘極填充因移除虛擬閘極結構而留下的開放區域。取代閘極製程始於在NMOS區域上方的開放區域中沉積鑭氧化物、或在PMOS區域上方的開放區域中沉積鋁氧化物、或既在NMOS區域上方的開放區域中沉積鑭氧化物且在PMOS區域上方的開放區域中沉積鋁氧化物,方塊410。
然後在開放區域中及在鑭氧化物(若存在)及鋁氧化物(若存在)上方沉積高k介電質,方塊412。
然後,沉積功函數設定金屬以部分地填充開放區域,方塊414,接著再沉積填充金屬以填充剩餘的開放區域並完成取代閘極製程,方塊416。
將半導體結構100、200顯示為已平坦化。將例示性具體實施
例應用於非平坦的FinFET結構亦在本例示性具體實施例的範疇內。金屬必須能夠被等形地形成。代替用鈦鋁填充開口,取而代之等形地形成含鋁等形金屬,諸如鈦鋁氮化物或鉭鋁氮化物。
關注本揭示內容的熟習本技術者應明白,可在不脫離本發明的精神下,對例示性具體實施例做出超出本文明確描述之這些具體實施例的其他修改。因此,此類修改被視為在僅由隨附申請專利範圍所定的本發明範疇內。
100‧‧‧半導體結構
102‧‧‧半導體基板
104‧‧‧NMOS區域
106‧‧‧PMOS區域
122‧‧‧富含鋁的高介電常數層部分
124‧‧‧富含鑭的高介電常數層部分
126‧‧‧氮化物或碳化物層
134‧‧‧間隔物
136‧‧‧層間介電質
142‧‧‧功函數設定金屬層
144‧‧‧填充金屬
Claims (23)
- 一種半導體元件,包含:一NMOS區域與一PMOS區域;該NMOS區域具有一閘極結構,該閘極結構包含一第一高介電常數閘極介電質、一第一功函數設定金屬以及一閘極電極填充材料;該PMOS區域具有一閘極結構,該閘極結構包含一第二高介電常數閘極介電質、一第二功函數設定金屬以及一閘極電極填充材料;其中該第一高介電常數閘極介電質異於該第二高介電常數閘極介電質,且該第一功函數設定金屬異於該第二功函數設定金屬。
- 如申請專利範圍第1項所述之半導體元件,其中該第一高介電常數閘極介電質包含鑭。
- 如申請專利範圍第2項所述之半導體元件,其中該第一高介電常數閘極介電質與鑭分別位於上、下層。
- 如申請專利範圍第1項所述之半導體元件,其中該第二高介電常數閘極介電質包含鋁。
- 如申請專利範圍第4項所述之半導體元件,其中該第二高介電常數閘極介電質與鋁分別位於上、下層。
- 如申請專利範圍第1項所述之半導體元件,其中該第一高介電常數閘極介電質包含鑭,且其中該第二高介電常數閘極介電質包含鋁。
- 如申請專利範圍第1項所述之半導體元件,其中該第一功函數設定金屬包含鋁、鈦鋁、鈦鋁氮化物、鉭鋁、鉭鋁氮化物、鉿矽合金、鉿氮化物、或鉭碳化物,且該第二功函數設定金屬包含鈦氮化物、鎢、鉭氮化物、釕、鉑、錸、銥、或鈀。
- 如申請專利範圍第1項所述之半導體元件,其中該第一功函數設定金屬在矽導帶邊緣設定功函數,且該第二功函數設定金屬在矽價帶邊緣設定功函數。
- 如申請專利範圍第1項所述之半導體元件,其中該PMOS區域更包含一矽鍺層,該矽鍺層位於該第二高介電常數閘極介電質下方。
- 一種製造半導體元件的方法,包含:提供一基板,該基板具有一NMOS區域與一PMOS區域;於該NMOS區域與該PMOS區域上方形成一高介電常數層;於該NMOS區域與該PMOS區域之至少一個上方,將一金屬加入該高介電常數層,其中,若是加入至該NMOS區域上方之該高介電常數層則該金屬是鑭,且若是加入至該PMOS區域上方之該高介電常數層則該金屬是鋁;於該NMOS區域與該PMOS區域上方沉積一氮化物層或碳化物層;於該氮化物層上方沉積一矽層;於該NMOS區域與該PMOS區域上方將該氮化物層與該矽層之一閘極結構圖案化;於該NMOS區域上方之該閘極結構與該PMOS區域上方之該閘極結構之間形成一層間介電質;從該NMOS區域上方之該閘極結構與該PMOS區域上方之該閘極結構移除該矽層,以在該些閘極結構留下一開口;於該NMOS區域上方之該開口內沉積一第一功函數設定金屬,且於該PMOS區域上方之該開口內沉積一第二功函數設定金屬,以部分地填充該些開口;以及沉積一填充金屬以部分地填充該些開口。
- 如申請專利範圍第10項所述之方法,其中該NMOS區域上方之該高介電常數閘極介電層包含鑭。
- 如申請專利範圍第10項所述之方法,其中該PMOS區域上方之該高介電常數閘極介電層包含鋁。
- 如申請專利範圍第10項所述之方法,其中該NMOS區域上方之該高介電常數閘極介電層包含鑭,且其中該PMOS區域上方之該高介電常數閘極介電層包含鋁。
- 如申請專利範圍第10項所述之方法,其中該第一功函數設定金屬包含鋁或鈦鋁,且該第二功函數設定金屬包含鈦氮化物。
- 如申請專利範圍第10項所述之方法,其中該第一功函數設定金屬在矽導帶邊緣設定功函數,且該第二功函數設定金屬在矽價帶邊緣設定功函數。
- 如申請專利範圍第10項所述之方法,其中該PMOS區域更包含一矽鍺層,該矽鍺層位於該高介電常數閘極介電層下方。
- 一種製造半導體元件的方法,包含:提供一基板,該基板具有一NMOS區域與一PMOS區域;於該NMOS區域與該PMOS區域上皆形成一閘極結構;於該NMOS區域上方之該閘極結構與該PMOS區域上方之該閘極結構之間形成一層間介電質;移除該NMOS區域上方之該閘極結構與該PMOS區域上方之該閘極結構,以在該層間介電質內該NMOS區域與該PMOS區域的上方皆留下一開口;沉積至少下列之一:位於該NMOS區域上方之該開口內的鑭氧化物、位於該PMOS區域上方之該開口內的鋁氧化物;於該NMOS區域與該PMOS區域上方之每一個開口內沉積一高介電常數介電質; 於該NMOS區域上方之該開口內沉積一第一功函數設定金屬,且於該PMOS區域上方之該開口內沉積一第二功函數設定金屬,以部分地填充該些開口;以及沉積一填充金屬以部分地填充該些開口。
- 如申請專利範圍第17項所述之方法,其中鑭沉積於該NMOS區域上方之該開口內。
- 如申請專利範圍第17項所述之方法,其中鋁沉積於該PMOS區域上方之該開口內。
- 如申請專利範圍第17項所述之方法,其中鑭沉積於該NMOS區域上方之該開口內,且其中該鋁沉積於該PMOS區域上方之該開口內。
- 如申請專利範圍第17項所述之方法,其中該第一功函數設定金屬包含鋁、鈦鋁、鈦鋁氮化物、鉭鋁、鉭鋁氮化物、鉿矽合金、鉿氮化物、或鉭碳化物,且該第二功函數設定金屬包含鈦氮化物、鎢、鉭氮化物、釕、鉑、錸、銥、或鈀。
- 如申請專利範圍第17項所述之方法,其中該第一功函數設定金屬在矽導帶邊緣設定功函數,且該第二功函數設定金屬在矽價帶邊緣設定功函數。
- 如申請專利範圍第17項所述之方法,其中該PMOS區域更包含一矽鍺層。
Applications Claiming Priority (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US13/327,870 US8941184B2 (en) | 2011-12-16 | 2011-12-16 | Low threshold voltage CMOS device |
Publications (1)
Publication Number | Publication Date |
---|---|
TW201342578A true TW201342578A (zh) | 2013-10-16 |
Family
ID=48609259
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW101145117A TW201342578A (zh) | 2011-12-16 | 2012-11-30 | 低起始電壓互補式金氧半導體元件 |
Country Status (3)
Country | Link |
---|---|
US (3) | US8941184B2 (zh) |
TW (1) | TW201342578A (zh) |
WO (1) | WO2013090638A1 (zh) |
Cited By (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN107039283A (zh) * | 2017-04-11 | 2017-08-11 | 中国科学院微电子研究所 | 一种基于可变功函数栅极的晶体管器件及其制备方法 |
Families Citing this family (26)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US9159626B2 (en) * | 2012-03-13 | 2015-10-13 | United Microelectronics Corp. | FinFET and fabricating method thereof |
US8753931B2 (en) * | 2012-04-05 | 2014-06-17 | Taiwan Semiconductor Manufacturing Company, Ltd. | Cost-effective gate replacement process |
US8803253B2 (en) * | 2012-09-11 | 2014-08-12 | Texas Instruments Incorporated | Replacement metal gate process for CMOS integrated circuits |
US9496143B2 (en) * | 2012-11-06 | 2016-11-15 | Globalfoundries Inc. | Metal gate structure for midgap semiconductor device and method of making same |
US9373501B2 (en) * | 2013-04-16 | 2016-06-21 | International Business Machines Corporation | Hydroxyl group termination for nucleation of a dielectric metallic oxide |
CN104425381A (zh) * | 2013-09-09 | 2015-03-18 | 中芯国际集成电路制造(上海)有限公司 | 半导体器件及其制造方法 |
US9129798B1 (en) | 2014-02-19 | 2015-09-08 | Micron Technology, Inc. | Methods of forming semiconductor structures comprising aluminum oxide |
US9196612B2 (en) | 2014-03-26 | 2015-11-24 | International Business Machines Corporation | Semiconductor device including merged-unmerged work function metal and variable fin pitch |
US10056462B2 (en) * | 2014-08-13 | 2018-08-21 | Taiwan Semiconductor Manufacturing Company Ltd. | Metal gate structure and manufacturing method thereof |
US9728462B2 (en) | 2015-03-30 | 2017-08-08 | International Business Machines Corporation | Stable multiple threshold voltage devices on replacement metal gate CMOS devices |
KR102230196B1 (ko) | 2015-04-23 | 2021-03-19 | 삼성전자주식회사 | 반도체 소자 및 그 제조방법 |
US9553092B2 (en) | 2015-06-12 | 2017-01-24 | Globalfoundries Inc. | Alternative threshold voltage scheme via direct metal gate patterning for high performance CMOS FinFETs |
US9589851B2 (en) | 2015-07-16 | 2017-03-07 | International Business Machines Corporation | Dipole-based contact structure to reduce metal-semiconductor contact resistance in MOSFETs |
US9735111B2 (en) | 2015-09-23 | 2017-08-15 | International Business Machines Corporation | Dual metal-insulator-semiconductor contact structure and formulation method |
US10170477B2 (en) * | 2015-11-06 | 2019-01-01 | International Business Machines Corporation | Forming MOSFET structures with work function modification |
WO2017111872A1 (en) * | 2015-12-24 | 2017-06-29 | Intel Corporation | Dielectric metal oxide cap for channel containing germanium |
US9679780B1 (en) | 2016-09-28 | 2017-06-13 | International Business Machines Corporation | Polysilicon residue removal in nanosheet MOSFETs |
CN108010884B (zh) * | 2016-11-01 | 2020-11-27 | 中芯国际集成电路制造(上海)有限公司 | 半导体结构及其形成方法 |
US11114347B2 (en) * | 2017-06-30 | 2021-09-07 | Taiwan Semiconductor Manufacturing Co., Ltd. | Self-protective layer formed on high-k dielectric layers with different materials |
US10522358B2 (en) * | 2017-08-31 | 2019-12-31 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFET device and methods of forming same |
US10896816B2 (en) | 2017-09-26 | 2021-01-19 | International Business Machines Corporation | Silicon residue removal in nanosheet transistors |
KR102481284B1 (ko) * | 2018-04-10 | 2022-12-27 | 삼성전자주식회사 | 반도체 장치의 제조 방법 |
US11189616B2 (en) | 2019-09-17 | 2021-11-30 | International Business Machines Corporation | Multi-threshold voltage non-planar complementary metal-oxtde-semiconductor devices |
TWI840696B (zh) * | 2020-08-27 | 2024-05-01 | 新加坡商馬維爾亞洲私人有限公司 | 用於金屬閘極電晶體之閘極堆疊 |
CN114765132A (zh) * | 2021-01-11 | 2022-07-19 | 长鑫存储技术有限公司 | 半导体结构制作方法及半导体结构 |
US11824100B2 (en) | 2021-01-22 | 2023-11-21 | Taiwan Semiconductor Manufacturing Co., Ltd. | Gate structure of semiconductor device and method of forming same |
Family Cites Families (19)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6891233B2 (en) | 2002-08-26 | 2005-05-10 | Chartered Semiconductor Manufacturing Ltd. | Methods to form dual metal gates by incorporating metals and their conductive oxides |
US6972224B2 (en) | 2003-03-27 | 2005-12-06 | Freescale Semiconductor, Inc. | Method for fabricating dual-metal gate device |
US7148548B2 (en) * | 2004-07-20 | 2006-12-12 | Intel Corporation | Semiconductor device with a high-k gate dielectric and a metal gate electrode |
US7381608B2 (en) * | 2004-12-07 | 2008-06-03 | Intel Corporation | Method for making a semiconductor device with a high-k gate dielectric and a metal gate electrode |
US7253050B2 (en) | 2004-12-20 | 2007-08-07 | Infineon Technologies Ag | Transistor device and method of manufacture thereof |
TWI267926B (en) | 2005-09-23 | 2006-12-01 | Ind Tech Res Inst | A new method for high mobility enhancement strained channel CMOS with single workfunction metal-gate |
US7378713B2 (en) | 2006-10-25 | 2008-05-27 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor devices with dual-metal gate structures and fabrication methods thereof |
US7666774B2 (en) * | 2007-01-23 | 2010-02-23 | International Business Machines Corporation | CMOS structure including dual metal containing composite gates |
US20100059823A1 (en) | 2008-09-10 | 2010-03-11 | Taiwan Semiconductor Manufacturing Company, Ltd. | Resistive device for high-k metal gate technology and method of making |
JP4837011B2 (ja) | 2008-09-18 | 2011-12-14 | 株式会社東芝 | 半導体装置、及び半導体装置の製造方法 |
US20100109044A1 (en) * | 2008-10-30 | 2010-05-06 | Tekleab Daniel G | Optimized Compressive SiGe Channel PMOS Transistor with Engineered Ge Profile and Optimized Silicon Cap Layer |
US8062966B2 (en) * | 2008-12-31 | 2011-11-22 | Texas Instruments Incorporated | Method for integration of replacement gate in CMOS flow |
US7763510B1 (en) | 2009-01-07 | 2010-07-27 | Freescale Semiconductor, Inc. | Method for PFET enhancement |
US7838908B2 (en) | 2009-01-26 | 2010-11-23 | International Business Machines Corporation | Semiconductor device having dual metal gates and method of manufacture |
US8309419B2 (en) * | 2009-02-04 | 2012-11-13 | Freescale Semiconductor, Inc. | CMOS integration with metal gate and doped high-K oxides |
DE102009015747B4 (de) | 2009-03-31 | 2013-08-08 | Globalfoundries Dresden Module One Limited Liability Company & Co. Kg | Verfahren zur Herstellung von Transistoren mit Metallgateelektrodenstrukturen und Gatedielektrikum mit großem ε und einer Zwischenätzstoppschicht |
JP5375362B2 (ja) | 2009-06-24 | 2013-12-25 | 富士通セミコンダクター株式会社 | 半導体装置の製造方法 |
DE102009031155B4 (de) * | 2009-06-30 | 2012-02-23 | GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG | Einstellen einer Schwellwertspannung für komplexe Transistoren durch Diffundieren einer Metallsorte in das Gatedielektrikum vor der Gatestrukturierung |
US8119473B2 (en) * | 2009-12-31 | 2012-02-21 | Taiwan Semiconductor Manufacturing Company, Ltd. | High temperature anneal for aluminum surface protection |
-
2011
- 2011-12-16 US US13/327,870 patent/US8941184B2/en not_active Expired - Fee Related
-
2012
- 2012-11-30 TW TW101145117A patent/TW201342578A/zh unknown
- 2012-12-13 WO PCT/US2012/069593 patent/WO2013090638A1/en active Application Filing
-
2014
- 2014-12-09 US US14/564,603 patent/US9263344B2/en not_active Expired - Fee Related
-
2016
- 2016-01-11 US US14/992,739 patent/US9455203B2/en not_active Expired - Fee Related
Cited By (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN107039283A (zh) * | 2017-04-11 | 2017-08-11 | 中国科学院微电子研究所 | 一种基于可变功函数栅极的晶体管器件及其制备方法 |
Also Published As
Publication number | Publication date |
---|---|
US20130154019A1 (en) | 2013-06-20 |
WO2013090638A1 (en) | 2013-06-20 |
US20160126145A1 (en) | 2016-05-05 |
US20150147876A1 (en) | 2015-05-28 |
US9263344B2 (en) | 2016-02-16 |
US9455203B2 (en) | 2016-09-27 |
US8941184B2 (en) | 2015-01-27 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US9455203B2 (en) | Low threshold voltage CMOS device | |
US9000531B2 (en) | Method and manufacture of transistor devices | |
US8334197B2 (en) | Method of fabricating high-k/metal gate device | |
CN105470200B (zh) | 具有金属栅极的半导体元件及其制作方法 | |
EP2257977B1 (en) | Method for manufacturing an integrated circuit having long and short channel metal gate devices | |
US8673758B2 (en) | Structure of metal gate and fabrication method thereof | |
US9018086B2 (en) | Semiconductor device having a metal gate and fabricating method thereof | |
US9721840B2 (en) | Method of forming complementary metal oxide semiconductor device with work function layer | |
CN106684041B (zh) | 半导体元件及其制作方法 | |
US20160079071A1 (en) | Manufacturing Method of Metal Oxide Semiconductor Transistor | |
CN107275210B (zh) | 半导体元件及其制作方法 | |
US20140339652A1 (en) | Semiconductor device with oxygen-containing metal gates | |
CN109994472B (zh) | 半导体元件与其制作方法 | |
TWI517379B (zh) | 金屬閘極結構及其製作方法 | |
TWI509702B (zh) | 具有金屬閘極之電晶體及其製作方法 | |
TWI446456B (zh) | 具有金屬閘極之電晶體及其製作方法 | |
TWI509667B (zh) | 金屬閘極之結構及其製作方法 | |
TWI490949B (zh) | 具有金屬閘極之電晶體及其製作方法 | |
JP2005093815A (ja) | 半導体装置の製造方法 | |
TW201442079A (zh) | 金屬閘極結構及其製作方法 | |
JP2010161400A (ja) | 半導体装置とその製造方法 |