TW201019397A - Capacitors, dielectric structures, and methods of forming dielectric structures - Google Patents

Capacitors, dielectric structures, and methods of forming dielectric structures Download PDF

Info

Publication number
TW201019397A
TW201019397A TW098132349A TW98132349A TW201019397A TW 201019397 A TW201019397 A TW 201019397A TW 098132349 A TW098132349 A TW 098132349A TW 98132349 A TW98132349 A TW 98132349A TW 201019397 A TW201019397 A TW 201019397A
Authority
TW
Taiwan
Prior art keywords
dielectric
composition
equal
phase
mixture
Prior art date
Application number
TW098132349A
Other languages
English (en)
Other versions
TWI389207B (zh
Inventor
Noel Rocklein
Chris M Carlson
Dave Peterson
Cun-Yu Yang
Praveen Vaidyanathan
Vishwanath Bhat
Original Assignee
Micron Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Micron Technology Inc filed Critical Micron Technology Inc
Publication of TW201019397A publication Critical patent/TW201019397A/zh
Application granted granted Critical
Publication of TWI389207B publication Critical patent/TWI389207B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02194Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing more than one metal element
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3141Deposition using atomic layer deposition techniques [ALD]
    • H01L21/3142Deposition using atomic layer deposition techniques [ALD] of nano-laminates, e.g. alternating layers of Al203-Hf02
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40114Multistep manufacturing processes for data storage electrodes the electrodes comprising a conductor-insulator-conductor-insulator-semiconductor structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/03Making the capacitor or connections thereto
    • H10B12/033Making the capacitor or connections thereto the capacitor extending over the transistor
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B99/00Subject matter not provided for in other groups of this subclass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02189Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing zirconium, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • H01L28/82Electrodes with an enlarged surface, e.g. formed by texturisation
    • H01L28/90Electrodes with an enlarged surface, e.g. formed by texturisation having vertical extensions
    • H01L28/91Electrodes with an enlarged surface, e.g. formed by texturisation having vertical extensions made by depositing layers, e.g. by depositing alternating conductive and insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Semiconductor Memories (AREA)

Description

201019397 六、發明說明: 【發明所屬之技術領域】 本發明係關於電容器、電介質結構及形成電介質結構之 方法。 【先前技術】 電介質材料在積體電路中具有眾多應用。舉例而言,電 介質材料可併人至電容器中,可用作場效電晶體之間極電 介質,可用作非揮發性電晶體之閘極間電介f,且可用於 使相鄰半導體組件彼此電隔離。 質材 有質 可根據電介質常數表示電介質材料之電介質特性。電介 常數W為物質之電容率與自由空間之電容率的比。其為 枓集中電通量之程度的表示。若所有其他因素保持不 ’則隨著電介質常數增大,電通量密度增大。因此,且 ^介質常數之材料之厚層可“達成與具有較低以 常數之材料之薄層相同的電通量密度。
组:::增大整合密度之持續目標及-減小個別積體電 =的相應目標。因此’對在積體電路中利用具 间電"負常數之電介質材料右 辦大㈣财興趣U在於此等材料 曰大電通量岔度以補償減小 特性。 ®償以便達成所要的操
〃有高電介質常數之材料傾向 於富經受強電場 時比具有低電介質常數之材料更容易地山、杳 電介質當赵+ U 尺谷易地朋潰。又,具有高 質常數之材料傾向於具有高 弛減緩。 € "質^刀散並使電介質鬆 143301.doc 201019397 電介質分散(隨頻率而變之電容率)對任何材料系統均重 ’因為存在在較低頻率下對電容有幫助並隨增大頻率減 广的多個機制。若電介質分散為高,則與若電介質分散為 =比’電介㈣料之回應速率更多地由頻率變化來改 電介質鬆他為用以表示在時域中對施加(或移除)電場的 電介質回應的參數(例如’儲存或自電容器釋放電荷的速 率)。右電介質鬆他緩慢,則回應時間將長。具有高電介 質常數之材料傾向於具有比具有較低電介質常數之材料更 慢的回應時間。 電介質材料之用途中之-者係在動態隨機存取記憶體 (DRAM)單位晶胞(unit cell)之電容器中。在此等應用中, 需要電介質材料將大量通量儲存於小體積中,且仍具有一 迅速回應時間(亦即,迅速儲存或釋放電荷卜如上文所論 迷’具有高電介質常數之材料可將大量通量儲存於小體積 中’但傾向於具有相對慢之回應時間。 將需要開發具有高電介質材料之所要特性且仍亦具有比 具有高電介質常數之材料更佳之回應時間的電介質結構。 此等電介質結構將因為上文所論述之原因適用於積體電路 之電容器’且亦可應用於在積體電路之其他組件中利用, 諸如,用作閘極電介質及/或用作閘極間電介質。 【實施方式】 在一些實施例中,形成改良之電介質結構,其具有高電 荷儲存容量,且仍亦具有迅速回應時間。開發改良之電介 143301.doc 201019397 知做法設法達成高麟容量或 =::中,一種™發改良之電 八中在致力於開發適用於廣泛各種應用 程中在電荷儲存與回應時間之間達成折衷。該 :】介:結構形成為沿該等結構之邊緣具有不同於沿二 、.·。構之内部的組合物,以使得在利用 : 要沿該等結構之邊緣停留。 ㈣㈣何主 ::介質結構用於電容器中時,該等電容器可根 於頻率之電容來表 X電各為該等電介質結構之電荷儲 谷、又’且電容與頻率之關係為該等電介質結構之 回應速度的量度。相對於頻率之電容可由符號「CF」來表 Ί-些實施例中’用以開發改良之電介質結構的做法 可視為開發電介質結構之⑶可調諧性。該cf可調譜性可 2電介質結構能夠針對特定極性下的特定操作參數而加以 叹叶,其可使該等電介質結構經特製以用於特定應用。 參看圖1至圖14描述實例實施例。 參看圖1,說明一半導體構造1〇之一部分。該構造1〇具 有一併入至一電容器14中之實例實施例電介質結構12。 該電容器14具有一在該電介質結構12下方的第一電容器 電極16,且具有一在該電介質結構上方之第二電容器電極 18。因此,該電介質結構12可被視為夾於該第一電容器電 極與該第二電容器電極之間。 該第一電容器電極16及該第二電容器電極18可包含任何 &適之導電性組合物或導電性組合物之組合。在一些實施 143301.doc 201019397 財,該等電容器電極可包含各種金屬(例如,鶴、欽、 鉑等)、含金屬組合物(例如’金屬矽化物、金屬氮化物等) 及導電摻雜之半導體材料(例如,導電推雜之♦、導 雜:鍺等)中之-或多者、基本上由其組成或由其組成: 該弟-電容器電極及該第二電容器電極之組成可彼此相 同’或可具有彼此不同的組成。 電介質結構12包括一相鄰於該電容器電極16之第一部分 20及一直接抵靠在該第一部分上之第二部分22。該第一: 分20為一混合相部分,且具體言之為至少兩個相之均質混 〇物,且该第二部分22為一單一相部分,且可由一具有大 於或等於25之電介質常數的組合物組成。 在一些實施例中,混合相部分2〇將為非晶形的,且單一 相部分22將為結晶形的。在此等實施例中,混合相部分 可為完全非晶形的(亦即,非結晶形的),且部分22可為完 全結晶形的(單晶形的或多晶形的)。或者,該單一相部分 參 22可比該混合相部分2〇相對更呈結晶形;即使該混合相部 分20可具有某一結晶形特性及/或該單一相部分22可具有 某非SB形特性亦為如此。在一些實施例中,混合相部分 20將為至少約90體積%非晶形的’且單一相部分22將為至 少約90體積%結晶形的。 該混合相部分之非晶形狀態可歸因於此部分之兩個或兩 個以上相之混合。具體言之,該等相中之每一者可為固有 結晶形的’但該等相之混合可導致相破壞彼此之結晶結構 且藉此使該第一部分20為非晶形的。 143301.doc 201019397 該第一部分20之該至少兩個相中之第一者可為一具有一 大於或等於25之電介質常數的金屬氧化物,而該至少兩個 相中之第二者可為一具有一小於或等於20(且在一些實施 例中,小於或等於約9)之電介質常數的金屬氧化物。在一 些實施例中,該第一部分20可由一選自由以下各者組成之 群的混合物組成:ZrA10、HfAi〇、ZrSiO、HfSiO、
ZrHfO、ZrTaO、HfTaO、ZrTiO、HfTiO、TaTiO、
HfTaTi〇& ZrTaTi〇 ;其中該等化學式指示該等混合物中 所含有之元素且並不意謂此等元素之任何特定化學計量。 所列出之混合物中的組合物之化學計量可為任何合適之化 學計量。舉例而言,ZrAIO可為Zr〇2與A】2〇3之混合物。作 為另一實例’ ZrTaO可為Zr〇2與Ta205之混合物。 在一些實施例中,該第一部分2〇可包含至少兩種金屬氧 化物之均質混合物;其中該等金屬氧化物中之一者具有一 大於或等於25之電介質常數,且另一者具有一小於或等於 之電介質常數。具有大於或等於25之電介質常數的該金 屬氧化物可被視為該第一部分20之一高k組份,而具有小 於20之電介質常數的該金屬氧化物可被視為該第一部分之 一中k組份。在一些實施例中,該中k組份將具有一約4至 約20的電介質常數’且在一些實施例中,該中k組份將具 有一小於或等於約9之電介質常數。 雖然該部分20可包含兩種以上金屬氡化物之混合物,但 在一些實施例中,該部分20將為一僅由兩種金屬氧化物組 成之均質混合物,其中該等金屬氧化物中之一者為一高k 1433〇].(]〇ς -8 - 201019397 組份且另一者為一中k組份。該中k組份與該高让組份之相 對篁可經特製以達成該電介質結構12之所要效能。舉例而 έ ’若部分20由氧化锆與氧化鋁之均質混合物組成(換言 之’由ZrAlO組成;其中該等化學式指示該混合物中所含 有之元素且並不意謂此等元素之任何特定化學計量),則 銘可以約1 〇原子%至約50原子%的濃度.呈現。 該電介質結構12之第二部分22可包含任何合適之組合 ❿ 物。在一些實施例中,該第二部分22之組合物將與該第一 部分20之一或多個高]^組份相同,且在其他實施例中,該 第二部分22之組合物將不同於該第一部分2〇之所有組份。 在一些實施例中,該第二部分22之組合物係選自由以下 各者組成之群:Zr0、HfO、TaO、TiO及SrTi0;其中該等 化學式指示組合物中所含有之元素且並不意謂此等元素之 任何特定化學計量。 電介質結構丨2中之部分20與22之相對厚度可經特製以達 參 成一在回應時間與電容之間的所要關係。在一些實施例 中,部分20可具有-㈣埃至約爾之厚度,而部分^且 有一約40埃至約120埃之厚度。因此,在一些實施例中了 部分之厚度將對應於電介質結構12之總厚度的約1〇%至 約 50% 〇 在-些實施例中,電介質結構12之混合相部分2〇可被視 為一在該電介質結構12之單-相部分22與該電容器電㈣ 之間的障壁。該部分20之組合物的特製及部分扣與部分η 之相對厚度的特製可被視為一電介質 刀 ,貝择豐(其中,此電介 143301.doc •9- 201019397 質堆疊對應於該電介質結構12)之障壁工程。該障壁工程 可用以達成含有該電介質結構12之電容器14的回應時間與 電容的所要關係。 在所示之實施例中’電介質結構12之混合相第一部分2〇 直接抵靠在底部電容器電極16上,且在電介質結構12之單 一相部分22與該上部電容器電極18之間不存在混合相部 分。若一電容器主要在電極16與18之間的特定極性下操 作,則可利用此實施例。若該電容器在電極i 6與丨8之間的 相反極性下操作,則可形成電容器以使得電介質結構12之 混合相部分在該上部電極與該電介質結構之單一相部分之 間(換言之’可形成該電介質結構12,以使得該混合相第 一部分20在該單一相第二部分22上方,而非該混合相第一 部分20在該單一相第二部分22下方的所示組態)。 所示組態意謂該電介質結構12之混合相部分2〇係在該單 一相部分22之前形成。若電介質結構12被顛倒以使得該混 合相部分20在該單一相部分22上方,則該混合相部分2〇可 在單一相部分22之後形成。 可利用任何合適之處理形成電介質結構12之部分20及 22 〇 在一些實施例中,可利用化學氣相沈積(CVD)及原子層 沈積(ALD)中之一者或兩者形成電介質結構12之單一相部 为22。在一些實施例中,該底部電容器電極可具有一起伏 構形而非所示之平坦構形,且在此等實施例中’歸因於可 利用ALD達成之增強之階梯覆蓋率,可能需要利用ald而 143301.doc 201019397 非 CVD。 在一些實施例中,可在形成混合金屬氧化物而非不同金 屬氧化物之獨立層的情況下利用ALD形成該電介質結構12 之混合相部分20。具體言之,部分20之混合金屬氧化物之 ALD可包含第一含金屬前驅體、第二含金屬前驅體及一或 多種含氧反應物之多個脈衝的利用;其中第一含金屬前驅 體及第二含金屬前驅體中之一者形成高k氧化物之金屬, 且第一含金屬前驅體及第二含金屬前驅體中之另一者形成 中k氡化物之金屬。在反應腔室中進行脈衝。在跨越一基 板之表面上形成一不完全飽和單層之情況下,該第一含金 屬前驅體可脈衝輸送至該腔室中。隨後,該第二含金屬前 驅體可脈衝輸送至該腔室中以完成該單層之飽和,且因此 形成一由兩種不同金屬之均質混合物組成之單層,該兩種 不同金屬係由該第一含金屬前驅體及該第二含金屬前驅體 提供。隨後’含氧反應物可脈衝輸送至該腔室中以將該單 層轉變為高k氧化物與中k氧化物之均質混合物。可重複該 脈衝序列多次以按所要厚度形成部分2〇。 利用對應於該第一含金屬前驅體、該第二含金屬前驅體 及接著氧化劑之脈衝次序的脈衝序列可被稱為m〗-m2-o序 歹J以札示在氧化之前提供兩種金屬(其中,%表示該第一 :金屬前驅體’ M2表示該第二含金屬前驅體,且〇表示該 氧化可利用之另一脈衝序列為Μ】-〇-Μ2-0。在此脈衝 序列中’在供應該第二含金屬前驅體之前氧化自該第一含 金屬前驅體形成的部分飽和之單層。在一些實施例中, 143301.doc -11 - 201019397 Μ〗-Μ2-0& Mi-O-MyO之兩個脈衝序列可互換地用於以所 要厚度形成電介質結構12之部分20。若兩種以上金屬將用 於该混合相部分2〇,則該等ALD脈衝序列可經修改以適應 兩種以上的含金屬前驅體。 圖1之电谷器14利用一僅含有一單一混合相部分2〇之電 介質結構。在其他實施例中,該電介質結構可包含一對混 合相部分’其經組態以使得該等電容器電極中之每一者均 藉由多相(且可能非晶形)障壁與該電介質結構之單一相(且 可能結晶形)部分間隔開。圖2展示一說明—電介質結構包 含兩個混合相部分之實例實施例的半導體構造30之一部 分。將在適當時使用類似於用於描述圖〖之構造1〇的編號 的編號描述圖2之構造30。 該構造30具有一併入至一電容器32中之實例實施例電介 質結構4 0。 該電容器32具有在該電介質結構4〇下方之第一電容器電 極16,且具有在該電介質結構上方之第二電容器電極18。 電介質結構40包括一相鄰於該電容器電極16之第一部分 42、一在該第一部分上方且直接抵靠在第—部分上的第二 部分44及一在該第二部分上方且直接抵靠在第二部分上之 第三部分46。該第一部分42及該第三部分46為至少兩個相 之均質混合物’且可為非晶形的。該第一部分及該第三部 分之組成可彼此相同,或可為彼此不同的組合物。該第二 部分4 4由具有一大於或等於2 5之電介質常數的組合物組 成,且可為結晶形的。 143301.doc •12· 201019397 電介質結構40之混合相部分42及46可包含上文關於電介 質結構12之混合相部分20所論述之混合金屬氧化物。因 此,混合相部分42及46可包含至少兩種氧化物之均質混合 物,其中該等氧化物中之一者為一高k氧化物且另一者為 一中k氧化物。在一些實施例中,混合相部分42及46可由 ' 選自由以下各者組成之群的混合物組成:ZrAlO、
HfAlO、ZrSiO、HfSiO、ZrHfO、ZrTaO、HfTaO、 ZrTiO、HfTiO、TaTiO、HfTaTiO及 ZrTaTiO ;其中該等化 ® 學式指示混合物中所含有之元素且並不意謂此等元素之任 何特定化學計量。 電介質結構40之單一相部分44可包含上文關於電介質結 構12之單一相部分22所論述的組合物中之任一者。因此, 電介質結構40之單一相部分44可由一選自由以下各者組成 之群之組合物組成:ZrO、HfO、TaO、TiO及SrTiO ;其中 該等化學式指示組合物中所含有之元素且並不意謂此等元 Φ 素之任何特定化學計量。 在一些實施例中,混合相部分42及46將為完全非晶形的 (亦即,非結晶形的)且單一相部分44將為完全結晶形的(單 ' 晶形的或多晶形的)。在其他實施例中,部分42及46中之 • 一者或兩者可具有某一結晶形特性;且部分44可具有某一 非晶形特性,但部分42及46將比部分44相對更不呈結晶 形。在一些實施例中,部分42及46將為至少約90體積。/〇非 晶形的,且部分44將為至少約90體積%結晶形的。 電介質結構40中的部分42、44及46之相對厚度可經特製 143301.doc -13- 201019397 以達成一在回應時間與電容之間的所要關係。在一些實施 例中,部分42及46可具有約10埃至約4〇埃之厚度,=部分 44具有約40埃至約120埃之厚度。該等混合相部分42及仏 可具有彼此相同之厚度,或可具有彼此不同之厚度。 在一些實施例中,電介質結構4〇之混合相部分二及邨可 被視為在該電介質結構40之單一相部分44與該等電容器電 極16及18之間的工程化障壁。部分心及“之組合物的特製 及部分42及46之厚度的特製可被視為電介質堆疊之障壁工 程。此障壁工程可用以達成含有該電介質結構4〇之電容器 32的回應時間與電容之所要關係。 可利用上文關於形成圖丨之混合相部分2〇所描述之類型 的處理而形成電介質結構40之混合相部分42及46 ;且可利 用上文關於形成圖1之單一相部分22所描述之類型的處理 而形成電介質結構40之單一相部分44。 圖1及圖2之電介質結構】2及4〇可分別使得能夠達成高電 容,同時亦使得能夠達成迅速回應時間。電介質結構之動 作的可能機制為工程化障壁材料減輕或防止電荷自電容器 電極注入至電介質結構之材料的傳導能帶或陷阱令。接近 費米能階(Fermi level)之低陷阱密度可特定地適用於改良 在約零伏特下之CF,而高於或低於費米能階之低陷阱密度 可特定地適用於+/- 1.5伏特CF及在+/- 0.75伏特CF^若陷 阱歸因於能量、接近度或密度而易於被填充,且陷阱跳躍 發生在交流調變之情況下(尤其靠近電極處),則可增強低 頻電容回應。然而,此可能不提供一高頻電容回應,且可 143301.doc -】4· 201019397 歸因於因所插入電荷所致之局部場降級而使高頻電容回應 (或電介質回應時間)降級。 圖1及圖2分別說明包含兩個部分及三個部分之電介質结 構。在一些實施例中,電介質結構可包含三個以上部分。 舉例而言,圖1之兩個部分可遍及一電介質結構交替以形 成包含四個或四個以上部分之結構。作為另一實例,多個 不同單一相部分可用於類似於圖2之電介質結構的電介質 結構中,其中所有此等單一相部分夾於一對混合相部分之 間。 類似於圖1及圖2之結構12及40的電介質結構可用於眾多 半導體應用中。舉例而言,該等電介質結構可併入至 DRAM之電容器中。圖3至圖6說明一用於製造一包含障壁 工程化電介質結構之DRAM電容器的實例實施例。 參看圖3,展示-半導體構造5()之—部分。該構造別包 含-半導體基板52,該半導體基板52具有—於其中延伸之 導電摻雜之擴散區域54。 基板52可包含(例如)以背景P型摻雜懸微摻雜之單晶 石夕,基本上由其組成或由其組成。術語「半導電基板」及 「半導體基板」意謂包含半導電材料之任何構造,該半導 電材料包括但不限於諸如半導電晶圓之塊狀半導電材料 (單獨地或以包含其上之其他材料之組合的方式)及半導電 材料層(單獨地或以包含其他材料之組合的方式)。術纽 「基板」意謂㈣支撐結構,包括但不限於上文描述之半 導電基板。 143301.doc -15· 201019397 導電摻雜之擴散區域54可為n型多數摻雜的或卩型多數摻 雜的,且可為電晶體之源極/汲極區域。此電晶體可具有 相對於圖3之橫截面在頁面外的電晶體閘極(未圖示),且 可在與區域54相對的電晶體閘極之一側閘控地 接擴散區域54與另-擴散區域(未圖示)。其他源極/汲極區 域可電連接至一位元線(未圖示),且該電晶體閘極可為字 線之部分。 導電|·生基座56自擴散區域54向上延伸,且與擴散區域 © 54電連接/基座56包含—導電材⑽。此導電材料可為任 何口適之導電性組合物或組合物之組合;且可(例如)包含 各種金屬(例如,鶴、鈦、銘等)、含金屬組合4 屬矽化物、金屬氮化物等)及導電摻雜之半導體材料(例 如’導電摻雜之石夕、導電摻雜之鍺等)中之一或多者,基 本上由其組成或由其組成。 一導電性電容器電極6G在基座56上方且與基座%電接 觸。電極60可被稱為電容器儲存節點,且可包含上文關於 圖1之電容器電極16及18所論述的組合物中之任一者。所 示電極6G為容器形狀。在其他實施例中,該電極可具有其 他形狀’包括(例如)柱型電極之柱體形狀。該電容器電極 二可被稱為第-電容器電極以將其與隨後將形成之另 容器電極(下文論述)加以區分。 電 邑緣)·生材料62橫向環繞基座56及電容器電極。兮 電絕緣性材料可包含任何合適之組合物或組合物之組^ -實施例t可包含:氧化⑦、氮化梦及各種經播雜 143301.doc • 16 - 201019397 氧化石夕(例如,爛鱗石夕玻璃、氣石夕玻璃等)令之一或多者, 基本上由其組成或由其組成。 >看圖4,在電容器電極6〇上方形成電介質材料μ。該 電介質材料64為-混合相材料,且可包含上文關於仏 電介質結構12之混合相部分2〇所論述的組合物中之任一 者。可利用上文關於圖!之電介質結構12之混合相部分 之製每所淪述的]^丨_]^2_〇及]^1_〇_]^_〇八[1)方法中之一者 《兩者而形成電介質材料64。該電介質材料64可為非晶形 W 的。 夕看圖5,在該混合相電介質材料64上方形成單一相電 介質材料66。該單一相電介質材料66可包含上文關於圖工 之電介質結構12之單-相部分22所論述的組合物中之任一 者,且可利用上文關於圖丨之部分22的形成所論述的方法 中之任一者而形成。該單一相電介質材料Μ可為結晶形 的。 φ 參看圖6,在該單一相電介質材料66上方形成第二電容 器電極68。該第二電容器電極可包含上文關於圖1之電容 器電極16及18所論述的組合物中之任一者,且可被稱為電 容器板。 該混合相電介質材料64及該單一相電介質材料“共同形 成一在該等電容器電極6〇與68之間的電介質結構。該電 介質結構70類似於圖丨之電介質結構12,且類似於上文參 看圖1所論述之特製,可經特製以在電容與回應時間之間 達成一所要平衡。 143301.doc -17· 201019397 該等電容器電極60及68連同電介質結構70形成一電容器 72。此電容器可併入至一 DRAM單位晶胞中。該DRAM單 位晶胞可為一 DRAM陣列之部分,且可為在一 DRAM陣列 之製造期間同時形成的眾多相同單位晶胞中之一者。 該電容器72利用一類似於圖1之電介質結構12的電介質 結構70(換言之,一僅具有單一混合相部分的電介質結 構)。在其他實施例中,可形成一利用一類似於圖2之結構 之電介質結構(換言之,一包含一對混合相部分之電介質 結構)的電容器。圖7展示根據電介質結構將利用一對混合 相部分之實施例的在圖5之處理階段後的處理階段中之構 造50。 圖7之構造50具有一形成於該單一相電介質材料66上方 並直接抵靠在該單一相電介質材料66上的混合相電介質材 料80。該混合相電介質材料80可包含上文關於圖1之混合 相部分20所論述的組合物中之任一者;且可利用上文參看 圖1關於混合相部分20之形成所論述的ALD製程而形成。 參看圖8,在混合相電介質材料80上方形成第二電容器 電極68。該等電介質組合物64、66及80共同形成一在該第 一電容器電極66與該第二電容器電極68之間的電介質結構 82。該第一電容器電極及該第二電容器電極連同該電介質 結構一起形成一電容器構造86。此電容器構造可併入至一 DRAM單位晶胞中。 障壁工程化電介質結構除用於電容器中外亦可用於其他 組件中。圖9及圖10分別說明利用障壁工程化電介質結構 143301.doc •18· 201019397 的場效電晶體及非揮發性電晶體。 參看圖9’此展示半導體構造100之一部分。該半導體構 造包括一半導體基板丨02及延伸至該基板中之一對源極/汲 極區域104。該等源極/汲極區域為基板102之導電摻雜區 域,且可為多數η型摻雜的或多數P型摻雜的。 一電晶體閘極堆疊106在基板1〇2上方,且在源極/汲極 .區域104之間。該閘極堆疊包括一電介質結構108、一在該 電介質結構上方的導電性閘極材料110及一在該導電性閘 ® 極材料上方的電絕緣性封蓋材料112 » —對側壁間隔片1 i 4 沿著該閘極堆疊10 6之側壁。該閘極堆疊1 〇 6及該等源極/ 汲極區域1 04係共同由一場效電晶體120包含。 該電介質結構108被展示為包含一對部分1〇9及m。該 等部分109及111中之一者為一類似於圖1之部分2〇的混合 相部分’且另一者為一類似於圖1之部分22的單—相部 分。在一些實施例十,可能需要使該混合相部分沿著該基 _ 板1〇2並直接抵靠在該基板102上,且在其他實施例中,可 旎需要使該混合相部分沿著該導電閘極材料11〇並直接抵 靠在該導電閘極材料1 1 〇上。
相部分相對更呈結晶形。在— 兴百某一結晶形特性且該單一相 性’但該單一相部分將比該混合 在—些實施例中,該混合相部分 143301.doc -19- 201019397 將為至V約90體積%非晶形的,且該單一相部分將為至少 約90體積V结晶形的。 ‘ 該電介質結構1〇8為該場效電晶體12〇之閘極電介質。具 有類似於圖1之部分20及22的混合相部分及單一相部分之 電介質結構的利用使該閘極電介質能夠經特製以用於該場 效電晶體之特定應用。 雖J 4電介質結構1 〇§被展示為包含類似於圖1之部分2〇 及22之兩個部分,但在其他實施例中,該電介質結構可包 含類似於圖2之部分42、44及46之三個部分。 _ 該場效電晶體120之導電閘極材料11〇、封蓋材料Η]及 側壁間隔片114可包含任何合適之組合物或組合物之組 合。舉例而言,該導電閘極材料可包含各種金屬、含金屬 組合物及導電摻雜之半導體材料中之一或多者;且該封蓋 材料112及該等側壁間隔片114可包含二氧化矽、氮化矽及 氮氧化矽中之一或多者。 參看圖10’此圖展示半導體構造15〇之一部分。該半導 體構造包括一半導體基板152及延伸至該基板中之一對源 ❹ 極/汲極區域154。該等源極/汲極區域為基板152之導電摻 雜之區域,且可為多數n型摻雜的或多數p型摻雜的。 一電晶體閘極堆疊156在基板152上方,且在該等源極/ 汲極區域154之間。該閘極堆疊自基板按升序包括一閘極 電介質158、一導電性電荷保持材料16〇、一電介質結構 162、一導電性控制閘極材料} 64及一電絕緣性封蓋材料 166。該閘極堆疊156及該等汲極/源極區域154係共同由一 143301.doc •20- 201019397
非揮發性電晶體18〇包含D 該電介質結構162被展示為包含三個部分_161、163及 165。部分161及165為類似於圖2之部分似私的混合相材 料,且部分163為類似於圖2之部分44的單一相材料。 該電介質結構丨62為該電晶體180之閘極間電介質。在單 —相部分之相對側具有混合相部分的電介質結構(類似於 圖2之部分42、44及46)之利用使該間極間電介質能夠經特 t以用於該電晶體之特定應用。因為該電介質結構在電荷 響保持材料16〇與控制閘極材料164之間,且歷史上該電荷保 持材料及該控制閘極材料被視為對應於兩個閘極(浮動閉 極及控制閘極)’所以該電介質結構被稱為「閘極間」結 構。在現代結構中,該電荷保持材料可為電荷捕集材料而 非對應於浮動閘極,但術語「閘極間」材料仍用以指代在 該電荷保持材料與該控制閘極材料之間提供的材料。 在一些實施例中,混合相部分161及165將為完全非晶形 ❹ W亦即,非結晶形的)且單-相部分163將為完全結晶形的 (單晶形的或多晶形的)。在其他實施例中’部分161及165 中之一者或兩者可具有某一結晶形特性;且部分163可具 有某一非晶形特性,但部分163將比部分161及165相對更 呈結晶形。在一些實施例中,部分161及165將為至少約9〇 體積%非晶形的,且部分163將為至少約9〇體積%結晶形 的。 雖然該電介質結構162被展示為包含類似於圖2之部分 42、44及46的三個部分,但在其他實施例中,該電介質結 143301.doc 21 201019397 構可包含類似於圖1之部分20及22的兩個部分。 該問極電介質158可包含任何合適之組合刀物或組合物之 組合。在-些實施例中,該閘極電介f可包含二氧化石夕。 在-些實施例中’錢極電介質可包含一類似於圖i之結 構12或圖2之結構40的電介質結構。 該電荷保持材料160可包含任何合適之組合物或组合物 之組合。在-些實施例中,該電荷保持材料可為多晶石夕。 在-些實施例中,該電荷保持材料可包含—電荷捕集电人 物。 、σ 該控制閘極材料164可包含任何合適之級合物或組合物 之組合;且可包含(例如)各種金屬、含金屬組合物及導電 摻雜之半導體材料中之一或多者。 該電絕緣性封蓋材料166可包含任何合適之組合物或組 合物之組合;且可包含(例如)二氧切、氮切及氣氧化 矽中之一或多者。 雖然電介質結構162在所示實施例中為唯—閘極間電介 質’但在其他實施例中,可存在與電荷保持材料16〇與控 制閘極材料164之間的電介質結構162結合使用的 質材料。 丨 圖1至圖10之結構及裝置可併入至諸如汽車、電腦、電 話等之電子系統中。圖U至圖14展示此等結構及裝置併入 至電子系統中的實例實施例。 圖11說明一電腦系統400之實施例。電腦系統4〇〇包括— 監視器401或其他通信輸出裝置、—鍵盤4〇2或其他通 143301.(}〇c -22· 201019397 入裝置及—一主板 4 〇 4。jr 4c >1 Λ /1 +u 主板404可载運一微處理器406或其 他資料處理單元及至少—記憶體裝置彻。記憶體裝置彻 可包含記憶體晶胞之陣列,且此陣列可與用於存取陣列中 之個別記憶體晶胞的定址電路耗接。另外,該記憶體晶胞 陣歹J可麵接至用於自該等記憶體晶胞讀取資料之讀取電 路。可將定址及讀取電路用於在記憶體裝置彻與處理器 .406之間輸送資訊。此在圖12中所示之主板彻的方塊圖中 ^說明。在此方塊圖中’較址電路說明為彻且將讀 W 取電路說明為412。 處理器裝置406可對應於一處理器模組,且與該模組一 起利用的相關聯記憶體可包含圖i至圖1〇之結構及裝置中 的一或多者。 記憶體裝置408可對應於一記憶體模組,且可包含圖β 圖10之結構及裝置中的一或多者。 圖13說明一電子系統700之高階組織的簡化方塊圖。系 ❹統700可對應於(例如)一電腦系統 '—程序控制系統或使用 處理器及相關聯記憶體的任何其他系統。電子系統7〇〇呈 有功能元件’包括一處理器7〇2、_控制單元7〇4、一記憶 體裝置單元706及一輸入/輸出(1/〇)震置7〇8(應理解,在各 種實施例中,該系統可具有複數個處理器、控制單元、笵 憶體裝置單元及/或1/〇裝置)。通常,電子系統7〇〇將具^ -原生指令集,其規定待由該處理器7〇2對資料執行之操 作及該處理器702、該記憶體裝置單元7〇6及該1/〇裝置7〇8 之間的其他互動。該控制單元704藉由連續地循環歷經使 143301.doc -23· 201019397 指令得以自記憶體裝置706取出且得以執行的—組操作來 協調該處理器702、該記憶體裝置706及該I/O裝置708之所 有操作。該記憶體裝置706可包括圖丨至圖1〇之結構及裝置 中的一或多者。 圖14為一電子系統8〇〇之簡化方塊圖。該系統8〇〇包括一 記憶體裝置802,該記憶體裝置8〇2具有記憶體晶胞之陣列 804、位址解碼器8〇6、列存取電路8〇8、行存取電路“ο、 用於控制操作之讀取/寫入控制電路812及輸入/輸出電路 814。3亥纪憶體裝置802進一步包括電力電路816及感測器 820,諸如用於判定一記憶體晶胞處於低臨限值傳導狀態 或是處於高臨限值非傳導狀態之電流感測器。所說明之電 力電路816包括電力供應電路88〇、用於提供參考電壓之電 路882、用於向第一字線提供脈衝之互連線884、用於向第 二字線提供脈衝之互連線886及用於向位元線提供脈衝之 互連線888。該系統800亦包括一處理器822,或用於記憶 體存取之記憶體控制器。 該記憶體裝置802經由佈線或金屬化線自該處理器822接 收控制信號。該記憶體裝置802用以儲存經由1/〇線存取之 資料。該處理器822及該記憶體裝置802中之至少一者可含 有圖1至圖10之結構及裝置中的一或多者。 可將各種電子系統製造於單一封裝處理單元中或甚至製 造於單一半導體晶片上,以便減少處理器與記憶體裝置之 間的通信時間。 該等電子系統可用於記憶體模組、裝置驅動器、電力模 143301.doc -24· 201019397 .,通仏數據機、處理器模組及特殊應用模組中,且可包 括多層多晶片模組。 電子系統可為寬廣範圍之系統(諸如,時鐘、電視機、 蜂巢式電話、個人電腦、汽車、工業控制系統、飛機,等 等)中之任一者。 【圖式簡單說明】 圖1為說明一包含一實例實施例電介質結構之電容器的 半導體構造之一部分的圖解橫截面圖; 圖2為說明一包含另一實例實施例電介質結構之電容器 的半導體構造之一部分的圖解橫截面圖; 圖3至圖6為說明用於形成一電容器之一實例實施例方法 之各處理階段的半導體構造之一部分的圖解橫截面圖; 圖7及圖8為說明用於形成一電容器之另一實例實施例方 法之各處理階段的半導體構造之一部分的圖解橫截面圖, 其中圖7之處理階段係在圖5之處理階段之後; 圖9為說明一包含一實例實施例電介質結構之場效電晶 體的半導體構造之一部分的圖解橫截面圖; 圖10為說明一包含一實例實施例電介質結構之非揮發性 電晶體的半拿體構造之一部分的圖解橫截面圖; 圖11為電腦實施例之圖解視圖; 圖12為展示圖11之電腦實施例之主板之特定特徵的方塊 圖; 圖13為電子系統實施例之高階方塊圖;及 圖14為記憶體裝置實施例之簡化方塊圖。 143301.doc -25- 201019397 【主要元件符號說明】 10 半導體構造 12 實例實施例電介質結^ 14 電容器 16 第一電容器電極 18 第二電容器電極 20 第一部分/混合相部分 22 第二部分/單一相部分 30 半導體構造 32 電容器 40 電介質結構 42 混合相部分 44 單一相部分 46 混合相部分 50 半導體構造 52 半導體基板 54 導電摻雜之擴散區域 56 導電性基座 58 導電材料 60 電容器電極 62 電絕緣性材料 64 混合相電介質材料 66 單一相電介質材料 68 電容器電極 143301.doc -26· 電介質結構 電容器 混合相電介質材料 電介質結構 電容器構造 半導體構造 半導體基板 源極/汲極區域 電晶體閘極堆疊 電介質結構 部分 導電閘極材料 部分 電絕緣性封蓋材料 側壁間隔片 場效電晶體 半導體構造 半導體基板 源極/沒極區域 電晶體閘極堆疊 閘極電介質 導電性電荷保持材料 混合相部分 電介質結構 -27- 201019397 163 單一相部分 164 導電性控制閘極材料 165 混合相部分 166 電絕緣性封蓋材料 180 非揮發性電晶體 400 電腦系統 401 監視器 402 鍵盤 404 主板 406 微處理器 408 記憶體裝置 410 定址電路 412 讀取電路 700 電子系統 702 處理器 704 控制單元 706 記憶體裝置單元 708 輸入/輸出(I/O)裝置 800 電子系統 802 記憶體裝置 804 記憶體晶胞之陣列 806 位址解碼器 808 列存取電路 810 行存取電路 I4330I.doc 28· 201019397 812 讀 取 /寫入控制電路 814 fm 入 /輸出電路 816 電 力 電路 820 感 測 器 822 處理 器 880 電 力 供應電路 882 用 於提供參考電壓之電路 884 用 於 向第一字線提供脈衝之互連線 886 用 於 向第二字線提供脈衝之互連線 888 用 於 向位元線提供脈衝之互連線
143301.doc -29-

Claims (1)

  1. 201019397 七、申請專利範圍: 1. 一種形成一電介質結構之方法,其包含: 形成該電介質結構之一第一部分;該第一部分包含一 含有一第一相及一第二相的均質混合物;該第一相具有 一大於或等於25之電介質常數,且該第二相具有一小於 或等於20之電介質常數;及 形成該電介質結構之一第二部分;該第二部分直接抵 靠在該第一部分上;該第二部分由一具有一大於或等於 25之電介質常數的組合物組成。 2. 如請求項1之方法’其中該第一部分在該第二部分之前 形成。 3. 如请求項丨之方法,其中該第二部分在該第一部分之前 形成。 4·如叫求項1之方法,其中該第一部分為至少9〇體積%非晶 形的,且其中該第二部分為至少9〇體積%結晶形的。 5-如請求項丨之方法,其中該第一部分之該第一相由一不 同於該第二部分之該組合物的組合物組成。 月长項1之方法,其中該第一部分之該第一相由一與 第〜。卩分之該組合物相同之組合物組成。 如π求項1之方法,其中該第二部分之該組合物係選自 下各者組成之群:ΖΓ0、Hf0、Ta〇、丁…及SrTi〇 ; 神該等化學式指示該組合物中所含有之元素且並不意 θ月此等元素之任何特定化學計量〇 长項1之方法,其中該第一部分由一選自由以下各 143301.doc 201019397 者組成之群的混合物組成:ZrAlO、HfAlO、ZrSiO、 HfSiO、ZrHfO、ZrTaO、HfTaO、ZrTiO、HfTiO、 TaTiO、HfTaTiO及ZrTaTiO ;其中該等化學式指示該混 合物中所含有之元素且並不意謂此等元素之任何特定化 學計量。 9. 一種形成一電介質結構之方法,其包含: 形成該電介質結構之一第一部分;該第一部分由一選 自由以下各者組成之群的混合物組成:ZrAlO、HfAlO、 ZrSiO、HfSiO、ZrHfO、ZrTaO、HfTaO、ZrTiO、 HfTiO、TaTiO、HfTaTiO 及 ZrTaTiO ;其中該等化學式 指示該混合物中所含有之元素且並不意謂此等元素之任 何特定化學計量;及 形成該電介質結構之一第二部分;該第二部分由一選 自由以下各者組成之群的組合物組成:ZrO、HfO、 TaO、TiO及SrTiO ;其中該等化學式指示該組合物中所 含有之元素且並不意謂此等元素之任何特定化學計量。 10. 如請求項9之方法,其中該第一部分在該第二部分之前 形成。 11. 如請求項9之方法,其中該第二部分在該第一部分之前 形成。 12. —種形成一電介質結構之方法,其包含: 形成該電介質結構之一第一部分;該第一部分包含兩 種氧化物之一均質混合物;該第一部分之該混合物的該 兩種氧化物中之一者具有一大於或等於25之電介質常 143301.doc 201019397 "亥第np分之該混合物的該兩種氧化物中 者具有一小於或等於20之電介質常數; 部分上形成該 —具有一大於 在該第一部分上方並直接抵靠在該第— 電介質結構之一第二部分;該第二部分由 或等於25之電介質常數的組合物組成;
    二=分Γ並直接抵靠在該第二部分上形成該 構之-第三部分;該第三部分包含兩種氧化物 之一均質混合物;該第三部分之該混合物的該兩種氧化 物:之一者具有一大於或等於25之電介質常數,且該第 三部分之該混合物的該兩種氧化物中之另—者具有一小 於或等於20之電介質常數;且 其中該第-部分及該第三部分比該第二部分更呈非晶 形。 13·如請求項12之方法,其中該第—部分及該第三部分之組 成彼此相同。 ⑩14.如請求項12之方法,其中該、—部分及該第三部分之組 成彼此不同。 15. —種電介質結構,其包含: -第-部分;該第一部分為至少9〇體積%非晶形的且 包含-含有一第一相及一第二相之均質混合物;該第一 相具有-大於或等於25之電介質常數,且該第二相具有 一小於或等於20之電介質常數;及 一直接抵靠在該第-部分上之第二部分;該第二部分 為至少90體積。/。結晶形的且由—具有一大於或等於25之 143301.doc 201019397 電介質常數之組合物組成。 16. 如請求項15之電介質結構,其進一步包含一直接抵靠在 該第二部分上之第三部分;該第三部分為至少90體積% 非晶形的,且包含一含有兩個相之均質混合物;該第三 部分之該兩個相中之一者具有一大於或等於25之電介質 常數,且該第三部分之該兩個相中之另一者具有一小於 或等於20之電介質常數。 17. 如請求項16之電介質結構,其中該第三部分及該第一部 分之組成彼此相同。 18. 如請求項15之電介質結構,其中該第一部分之該第二相 具有一小於或等於9之電介質常數。 19. 如請求項15之電介質結構,其中該第二部分之該組合物 係選自由以下各者組成之群:ZrO、HfO、TaO、TiO及 SrTiO ;其中該等化學式指示該組合物中所含有之元素 且並不意謂此等元素之任何特定化學計量。 20. 如請求項15之電介質結構,其中該第一部分由一選自由 以下各者組成之群的混合物組成:ZrAlO、HfAlO、 ZrSiO、HfSiO、ZrHfO、ZrTaO、HfTaO、ZrTiO、 HfTiO、TaTiO、HfTaTiO及 ZrTaTiO ;其中該等化學式 指示該混合物中所含有之元素且並不意謂此等元素之任 何特定化學計量。 21. —種電容器,其包含: 一第一電容器電極; 一第二電容器電極;及 143301.doc 201019397 一在該第一電容器電極與該第二電容器電極之間的電 介質材料;該電介質材料包含: 一直接抵靠在該第一電容器電極上之第一部分;該 第一部分包含一含有一第一相及一第二相之均質混合 物;該第一相具有一大於或等於25之電介質常數,且該 第二相具有一小於或等於20之電介質常數;及 一直接抵靠在該第一部分上之第二部分;該第二部 分由一具有一大於或等於25之電介質常數之組合物組 成,該第二部分比該第一部分更呈結晶形。 22. 如請求項21之電容器,其包含直接抵靠在該電介質材料 之該第二部分上的該第二電容電極。 23. 如請求項21之電容器,其中該第二部分之該組合物係選 自由以下各者組成之群:ZrO、HfO、TaO、TiO及 SrTiO ;其中該等化學式指示該組合物中所含有之元素 且並不意謂此等元素之任何特定化學計量。 24. 如請求項21之電容器,其中該第一部分由一選自由以下 各者組成之群的混合物組成:ZrAlO、HfAlO、ZrSiO、 HfSiO、ZrHfO、ZrTaO、HfTaO、ZrTiO、HfTiO、 TaTiO、HfTaTiO及ZrTaTiO ;其中該等化學式指示該混 合物中所含有之元素且並不意謂此等元素之任何特定化 學計量。 25. 如請求項21之電容器,其包含直接抵靠在該電介質材料 之該第二部分上的該電介質材料之一第三部分,且包含 直接抵靠在該電介質材料之該第三部分上的該第二電容 143301.doc 201019397 之一均 —者具有_ 器電極;該電介質材料之該第三部分包含兩 質混合物,其中該第三部分之該兩個相中 …— 大於或等於25之電介質常數,且該第三部分之該兩:相 中之另一者具有一小於或等於2〇之電介質常數,該第三 部分比該第二部分更不呈結晶形。 26.如請求項25之電容器,其中該第三部分及該第一部分之 組成彼此相同。 27.如請求項25之電容器,其中該第三部分及該第一部分之 組成彼此不同。 143301.doc
TW098132349A 2008-10-15 2009-09-24 電容器、電介質結構及形成電介質結構之方法 TWI389207B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US12/251,733 US7820506B2 (en) 2008-10-15 2008-10-15 Capacitors, dielectric structures, and methods of forming dielectric structures

Publications (2)

Publication Number Publication Date
TW201019397A true TW201019397A (en) 2010-05-16
TWI389207B TWI389207B (zh) 2013-03-11

Family

ID=42098111

Family Applications (1)

Application Number Title Priority Date Filing Date
TW098132349A TWI389207B (zh) 2008-10-15 2009-09-24 電容器、電介質結構及形成電介質結構之方法

Country Status (6)

Country Link
US (3) US7820506B2 (zh)
EP (1) EP2335276B1 (zh)
KR (1) KR101234910B1 (zh)
CN (1) CN102187440B (zh)
TW (1) TWI389207B (zh)
WO (1) WO2010044965A1 (zh)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7820506B2 (en) 2008-10-15 2010-10-26 Micron Technology, Inc. Capacitors, dielectric structures, and methods of forming dielectric structures
CN102365750B (zh) * 2009-03-27 2014-03-12 惠普开发有限公司 具有本征二极管的可切换结
JP4988902B2 (ja) 2009-07-31 2012-08-01 株式会社日立国際電気 半導体デバイスの製造方法及び基板処理装置
TWI392759B (zh) * 2009-09-28 2013-04-11 Univ Nat Taiwan 透明導電薄膜及其形成方法
US8940388B2 (en) 2011-03-02 2015-01-27 Micron Technology, Inc. Insulative elements
JP6135413B2 (ja) * 2013-09-09 2017-05-31 富士通株式会社 電子機器及びプログラム
KR20210012808A (ko) 2019-07-26 2021-02-03 삼성전자주식회사 2종 물질 산화막의 형성 방법, 반도체 소자의 제조 방법, 유전막 형성 방법, 및 반도체 소자
KR20210033346A (ko) 2019-09-18 2021-03-26 삼성전자주식회사 전자 소자 및 그 제조방법
TWI726591B (zh) * 2020-01-22 2021-05-01 世界先進積體電路股份有限公司 半導體裝置及其製作方法
KR20220038918A (ko) 2020-09-21 2022-03-29 삼성전자주식회사 커패시터 및 이를 포함하는 디램 소자
CN114864425A (zh) * 2021-01-20 2022-08-05 长鑫存储技术有限公司 电容结构的制备方法、电容结构及存储器
EP4060718B1 (en) * 2021-01-20 2023-12-06 Changxin Memory Technologies, Inc. Capacitor structure preparation method, capacitor structure, and memory

Family Cites Families (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6340621B1 (en) * 1996-10-30 2002-01-22 The Research Foundation Of State University Of New York Thin film capacitor and method of manufacture
US5978207A (en) * 1996-10-30 1999-11-02 The Research Foundation Of The State University Of New York Thin film capacitor
KR100275121B1 (ko) * 1997-12-30 2001-01-15 김영환 강유전체 캐패시터 제조방법
US6943392B2 (en) * 1999-08-30 2005-09-13 Micron Technology, Inc. Capacitors having a capacitor dielectric layer comprising a metal oxide having multiple different metals bonded with oxygen
US6780704B1 (en) * 1999-12-03 2004-08-24 Asm International Nv Conformal thin films over textured capacitor electrodes
US6437392B1 (en) 1999-12-08 2002-08-20 Agere Systems Optoelectronics Guardian Corp. Article comprising a dielectric material of ZR-Ge-Ti-O or Hf-Ge-Ti-O and method of making the same
US7005695B1 (en) * 2000-02-23 2006-02-28 Micron Technology, Inc. Integrated circuitry including a capacitor with an amorphous and a crystalline high K capacitor dielectric region
KR100678626B1 (ko) * 2004-01-29 2007-02-05 삼성전자주식회사 미세 전자 소자의 다층 유전체막 및 그 제조 방법
JP2002314072A (ja) * 2001-04-19 2002-10-25 Nec Corp 高誘電体薄膜を備えた半導体装置及びその製造方法並びに誘電体膜の成膜装置
US7011978B2 (en) * 2001-08-17 2006-03-14 Micron Technology, Inc. Methods of forming capacitor constructions comprising perovskite-type dielectric materials with different amount of crystallinity regions
JP4290421B2 (ja) * 2002-12-27 2009-07-08 Necエレクトロニクス株式会社 半導体装置及びその製造方法
US6780708B1 (en) * 2003-03-05 2004-08-24 Advanced Micro Devices, Inc. Method of forming core and periphery gates including two critical masking steps to form a hard mask in a core region that includes a critical dimension less than achievable at a resolution limit of lithography
KR100607178B1 (ko) * 2004-01-14 2006-08-01 삼성전자주식회사 불균일하게 분포된 결정 영역을 갖는 유전막을 포함하는캐패시터 및 그 제조 방법
US20050252449A1 (en) * 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US7388248B2 (en) * 2004-09-01 2008-06-17 Micron Technology, Inc. Dielectric relaxation memory
KR100728962B1 (ko) * 2004-11-08 2007-06-15 주식회사 하이닉스반도체 지르코늄산화막을 갖는 반도체소자의 캐패시터 및 그 제조방법
KR100716652B1 (ko) * 2005-04-30 2007-05-09 주식회사 하이닉스반도체 나노컴포지트 유전막을 갖는 캐패시터 및 그의 제조 방법
US7375002B2 (en) * 2005-06-28 2008-05-20 Freescale Semiconductor, Inc. MIM capacitor in a semiconductor device and method therefor
LU91186B1 (en) 2005-07-28 2007-01-29 Wurth Paul Sa Device and method for enhancing zinc yield from bottom dross
KR100649755B1 (ko) 2005-11-07 2006-11-27 삼성전기주식회사 박막 커패시터 내장된 인쇄회로기판 및 그 제조방법
KR100722989B1 (ko) * 2005-11-10 2007-05-30 주식회사 하이닉스반도체 캐패시터 및 그 제조 방법
KR100670747B1 (ko) * 2005-11-28 2007-01-17 주식회사 하이닉스반도체 반도체소자의 캐패시터 제조 방법
EP1796162A3 (en) * 2005-12-06 2010-06-02 Canon Kabushiki Kaisha Circuit element having capacitor and field effect transistor comprising nanowires
JP2007266474A (ja) * 2006-03-29 2007-10-11 Hitachi Ltd 半導体記憶装置
KR100717770B1 (ko) * 2006-04-24 2007-05-11 주식회사 하이닉스반도체 지르코늄산화막을 포함하는 적층구조의 유전막을 구비한플래시메모리소자 및 그의 제조 방법
JP4215068B2 (ja) * 2006-04-26 2009-01-28 エプソンイメージングデバイス株式会社 電気光学装置および電子機器
FR2907592B1 (fr) * 2006-10-19 2008-12-26 Commissariat Energie Atomique Condensateur a films minces a stabilite elevee et procede de fabrication
US20080116543A1 (en) * 2006-11-17 2008-05-22 Shrinivas Govindarajan Semiconductor devices and methods of manufacture thereof
KR100849854B1 (ko) * 2007-02-23 2008-08-01 삼성전자주식회사 반도체 소자 및 그 제조 방법
JP2008227217A (ja) 2007-03-14 2008-09-25 Seiko Epson Corp 強誘電体キャパシタの製造方法
KR100881730B1 (ko) * 2007-03-16 2009-02-06 주식회사 하이닉스반도체 캐패시터 및 그 제조 방법
US7820506B2 (en) 2008-10-15 2010-10-26 Micron Technology, Inc. Capacitors, dielectric structures, and methods of forming dielectric structures

Also Published As

Publication number Publication date
CN102187440B (zh) 2014-05-28
KR101234910B1 (ko) 2013-02-19
EP2335276A4 (en) 2013-01-02
US8187933B2 (en) 2012-05-29
US20110020999A1 (en) 2011-01-27
US7820506B2 (en) 2010-10-26
US20100090309A1 (en) 2010-04-15
US8603877B2 (en) 2013-12-10
CN102187440A (zh) 2011-09-14
US20120220098A1 (en) 2012-08-30
EP2335276A1 (en) 2011-06-22
TWI389207B (zh) 2013-03-11
KR20110066181A (ko) 2011-06-16
WO2010044965A1 (en) 2010-04-22
EP2335276B1 (en) 2015-02-25

Similar Documents

Publication Publication Date Title
TW201019397A (en) Capacitors, dielectric structures, and methods of forming dielectric structures
CN109196654B (zh) 铁电装置及形成铁电装置的方法
TWI426532B (zh) 電容器及形成電容器之方法
US9178006B2 (en) Methods to improve electrical performance of ZrO2 based high-K dielectric materials for DRAM applications
US20050285103A1 (en) Methods of forming semiconductor constructions comprising cerium oxide and titanium oxide
WO2015164775A1 (en) Field effect transistor constructions and methods of programming field effect transistors to one of at least three different programmed states
US8710564B2 (en) Semiconductor device including insulating layer of cubic system or tetragonal system
US8722504B2 (en) Interfacial layer for DRAM capacitor
US9871044B2 (en) Enhanced charge storage materials, related semiconductor memory cells and semiconductor devices, and related systems and methods
US9129857B2 (en) Semiconductor device including a first core pattern under a second core pattern
TWI700714B (zh) 鐵電組件及形成鐵電組件之方法
US8258064B2 (en) Methods of forming a metal silicate layer and methods of fabricating a semiconductor device including the metal silicate layer
US20230163189A1 (en) Transistors and Memory Arrays
Li et al. Understanding the effect of oxygen content on ferroelectric properties of Al-doped HfO thin films
KR20090032971A (ko) 등축정계 또는 정방정계의 절연층을 가지는 반도체 소자
Bhat et al. Capacitors including conductive TiO x N x