TW201005568A - Multi-layer/multi-input/multi-output (MLMIMO) models and method for using - Google Patents

Multi-layer/multi-input/multi-output (MLMIMO) models and method for using Download PDF

Info

Publication number
TW201005568A
TW201005568A TW098110693A TW98110693A TW201005568A TW 201005568 A TW201005568 A TW 201005568A TW 098110693 A TW098110693 A TW 098110693A TW 98110693 A TW98110693 A TW 98110693A TW 201005568 A TW201005568 A TW 201005568A
Authority
TW
Taiwan
Prior art keywords
data
layer
input
output
substrate
Prior art date
Application number
TW098110693A
Other languages
English (en)
Other versions
TWI409658B (zh
Inventor
Merritt Funk
Radha Sundararajan
Hyung-Joo Lee
Daniel J Prager
Asao Yamashita
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of TW201005568A publication Critical patent/TW201005568A/zh
Application granted granted Critical
Publication of TWI409658B publication Critical patent/TWI409658B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Drying Of Semiconductors (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)

Description

201005568 六、發明說明: 【發明所屬之技術領域】 本發明係關於基板處理,尤有關於使用最佳化之多層/多輸入/ 夕輸出(MLMINO)模型、程序、及子系統來改良基板處理。 【先前技術】 ,蝕刻處理行為本質上為非線性’且步驟與步驟間(層間)或當許 多製程(I虫刻/化學氣相沉積/離子植入)匯集時會產生交互作用。利 用基於東厅、威力科創股份有限公司(TEL)的物理模型化之製程交 互作用之知識,腔室及基座製程、及來自製程精化及調整的英制 ΤΓ1)貢料及量測、關鍵尺寸(CD ’ CriticalDimension)之控制、 側,角(SWA,SidewallAngle)、深度、膜厚度、過蝴制 =hmg)、底切(undercuts)、表面清理及損傷控制可使用入 遞迴計算及最佳化。現今的低成本產品ί 用,材石夕ibulk-—技術。當電晶體持續 ,漸變得關鍵(超淺源極/汲極延伸)。當絕緣層上覆 的以 si icon-on-msulator)膜縮小,閘極及/或間隙壁 膜的厚度(定義SOI)的較小變化可影塑電曰㈣予: 未被控制時,移關__序 Φ 現今的尚性能微處理器使用局部 SOI ^ partially depleted silic〇n-〇n.insulat〇^^ 電壓)。當閘極及/或間隙壁減少的 2 =,值 度的大百分比(1〇%)時,局部空乏及/或間隙壁厚 未 覆石夕膜厚度減少而降低。以較薄二會隨著絕緣層上 或間隙壁側壁厚度控制變得更力=鍵、·彖層上復頻而言,閉極及/ 201005568 【發明内容】 本發明可提供使用多層/多輸入/多輸出(MLMIM⑺處理順序 MLMIMO以及評估程式庫以即時處理基板的設備與方法,其可控 制閘極及/或間隙壁厚度、可控制閘極及/或間隙壁均勻度、及可消 除對於電晶體結構的損傷。 本發明的其他實施樣態可從隨後的說明以及從隨附的圖式而 明白。 【實施方式】 〇 本發明提供處理基板之設備及方法,基板其上具有大量之半 ,體裝置及甚至更大量的電晶體閘極及/或間隙壁(spacer)結構。在 =多實施例中,提供用以產生及/或使用評估程式庫的 S又備及方法,以施行可包含一或更多量測程序、一或更多沉積程 序、一或更多部分蝕刻(Partial etch)程序、一或更多完全蝕刻(多晶 石夕敍刻Poly Etch)程序之MLMIMO處理順序,及/或用以譃切、 MLMIM0模型及關聯處理順序的設備及方法。' 可將一或更多評估特徵部設置在基板上之不同位置,並可將 其使用於評估及/或確認MIJVHMO模型及關聯處理順序。基板可 具有與其關聯之基板資料,而基板資料可包含即時及歷史資料。 ❹ 此外基板可具有與其關聯之其他資料,而這些其他資料可包含 閘予结構_、所f地點(required sites)數量、訪問地點(v—ed si㈣ 數量、關於其巾-或更多地點之可靠及/或顺㈣、地點排序資 料、傳輸順序資料、或·里相關資料、或評估/確認相關資料、或 任何其等之組合。與MLMIMO相關之基板相關聯之資料可包含傳 序資此Ϊ輸順序資料可用於制定何時傳輸基板及傳輸至 何處,且傳輸順序可使用操作狀態資料來改變。 时拉型可基於應用需求而細分成有限粒度(granuiari坟) 之膜層。各膜層可為以材料變換或尺寸層邊界 質材料:膜層可輸層之結合,例如金屬間極:;二= 間隙壁 >儿積及覆蓋金屬間極層之膜層的餘刻。 4 k 201005568 膜層可利用將用於分隔各步驟之時間或終點資料(EpD,脇 PointData)來映射至姓刻步驟。此外,連續即時控制器可以來自量 測資料、感,器、及蝕刻模型的結合之及時更新來運作。 在右干實施例中’二次規劃(QP,qua(katiep]:Qgramming)可用 於-或更多模型。例如’二次賴可跡巾央侧偏差(CEB, Center Etch Bias)模型,如下所示: CEB = 0.480832332 * DI (CD) -1.1216477 * PhotoSWA + 0.173238994 * W(l) + 0.004487532 Ml)2 _ 〇 〇4 M2)2 〇 99 + 1.3 * w(4) +81.935 ❹ 其中w(i)為部分蝕刻時間、w(2)為部分步驟〇2流量、及w(3) 為蝕刻步驟〇2流量、w(4)為部分蝕刻步驟之邊緣基板溫度。 當使用二次規劃時,可決定目標函數及限制,如下所示:
ObjectiveFunction = ~ CEB 7 JatgetCSWAB-CSWAB 2 t aig etCEB 1 t&xgetCSWAB ) % ^argetECDB-ECDB^2^ 丄〆argetESWAB-ESWAB、 rngetECDB (—~~)〜} 其中[ν^,Μ;2;Η;3,>ι;4=[0.6,0.1,0.2,0.1] 〇 而不等式限制如下所示: 5<w(1)<50 5 < u(2) < 20 參 ⑶幺l〇 45<w(4)<65 在若干實施例中’吾人可施行實驗設計(D0E,Design of Experiment)來模型化各可能控制節點之處理增益及各膜層之輸入 及輸出之交互作用,及層與層之處理控制迴路之交互作用及增 盈。例如相關增盈陣列(RGA,Relative Gain Array)之測定各控制節 點與輸出之間的交互作用的方法,可被用來評估及最佳化模型穩 定性。此資訊亦可促使非交互作用之單獨回饋迴路的建立。 例如’可使用MATLAB來計算一或更多相關增益陣列 (RGA)( Skogestad and Postlethwaite, 2005),其中及〇4 = σ ® (cr1)3"而(¾ 5 201005568 is:_lement_by_eiement)的乘法運算。此外,可使用下 (1) 選擇最接近1之RGA元素,及 (2) 避免對負RGA元素進行配對。 U析▲置,表示為:輸人·輸出變數對之間之單迴 二相同輸入·輪出對在多變數控制之某種理= ;)之相關行為度量的比值之陣列(就所有可能之輸入_輸出對二化 入麵侧料算斷目標組(或目雜⑴之最佳輸 i數為例如時間、氣體流量、及層溫度之處理 ^的I:圍。湘MLMIMQ,吾人可細加權組料最佳化器, =定日^時⑽於_處理計算具有最域而排定輸出之優先 ^ Ϊ權可被使用於應用方程式至已知目標及增益常數之 時有以處罰。目標可為中心目標或界限目標(大 於給定值-例如SWA)。 \ i u 入 可採取夕重迴路的形式,各目標輪出之—回鎮係基於實 之綱誤差而計算_誤差。_ MLMIMO,需要計算 並與_量_匹配以啦實際誤差。可使用例 曰 σ 隹矛夕動平均法(EWMA ’ Exponentially Weighted Moving 广黎),卡,波器(Kalmanfil㈣之回馈濾波法來過渡雜訊 控制器之輸出可包含適合度(g〇f ’ g〇〇dness〇ffit),而 此〇^可隨後被用作•級(cascading)層控制器的輸入。 b 了被劃分成—或更多上邊緣區域、—或更多中央區域、 及一或更多下邊緣區域。 處理步驟時,層控制器可包含不同時間的更新,如此 二二Γΐ據過去的計算、計算的誤差、隨後被併入最近更新 内之機”材料狀態的改變而產生新的更新。 ^干貫施例中’接收的基板可具有藉由先前之處理或外部 201005568 系統而沉積於其上之圊案化光阻層,且處理順序可包含 •-或更多傳輸程序、-或更多量測程序、—或更多部分侧程序、 或更夕元王姓刻私序、一或更多資料評估程序、及_或更多模 型化程序。例如,傳輪順序可基於下列而建立:需要部分處 理的基板數目、需要完全蝕刻處理的基板數目、可用處理元件的 數目、及需要評估的基板數目、可用之評估元件的數 一或更多傳輸子系統的負载資料。 關於 當特徵部尺寸縮小至65 nm節點以下時,精確的處理及 測資料變得更重要且更難獲得。MLMIM〇模型及相關處理順序可 ❹ 用來更精確處理及/或量測此等超小裝置及特徵部。來自 程序的資料可與警告(warning)及/或控制限制進行比較,者違反執 行規則(mn-mle)時,可產生表示處理問題的警報r &
時執行校正程序。 7 i且j I 圖1顯示根據本發明之實施例之處理系統之例示方塊圖。在 處理系’統100包含微影子系統110、曝光機子系統 、侧子糸統130、沉積子系統140、檢測子系統15〇、量測子 糸統160、傳輸子系統17〇、製造執行系統_s,職碗伽 execUt1〇nSystem)i80、系統控制器19〇、及記憶/資料庫丨%。 ❹ 二系 =(110、120、13〇、14〇、15〇、16〇、及 17〇)被顯示在例示實 轭例中,但此並非本發明所需。在若干實 12〇; 130 ^40.15〇 . 16〇 , A 17〇)^.ΐ 1〇Γί S , 2二或更多子系統⑽、120、130、140、150、160、及π〇)可 U 了或更乡可胁MLMIMQ獅及侧處_序之處理元件。 系二器可利用資料傳輪子系統191 _合至微影子 Γ^ίη機t系、統120、_子系統130、沉積子系統140、 二、、先〇、I測子系統160、及傳輸子系統17〇。系統控制 二田甘可利用貪料傳輸子系統181而耦合至180。或者,可 系絲配置*例如’蝕刻子系統130、沉積子系統140、量測子 有ί八子/'統17G之—部分可為可自東京威力科創股份 有限厶司(TEL)所購得之TactrasTM System的部分。 201005568 微影子系統110可包含一或更多傳輸/儲存元件112、一 或更多控制器114、及—或更多評估元件m。 二3及傳輪/儲存兀件112可輕合至其_一或更多處理元件 的輕人In、值多雜讀115,且可進行與傳輸子系統170 且系、統170可進行與微影子系、統110的輕合in, 、隹-:5夕基板105可在傳輸子系統170與微影子系統110之間 傳輸111 °例如’傳輸子纽170 合至其中一 ^ 儲存元件112、其中一或更多處理元件113、 中戈一更 〇 ί ί件其t或更多控制器114可鮮至其中一或 二===其中—_處理元件113,其中 汾佈’微影子系統m可在—或更多基板上施行 程ϊϊϋ測程序、檢測程序、對準程序、及/或儲存 或程序。例如’可使用一或更多微影相關處理來 材料=Ϊ ”,或抗反射塗層(ARC,anti__咖e coating) 測、及/或檢測其中一或更多圖案化遮罩層。
G 更機ί系統120可包含一或更多傳輸/儲存元件122、-或 m ^凡件123、—或更多控制器124、及一或更多評估元件 元件傳^儲存元件122可輕合至其中—或更多處理 統170的k ϋ更多評估元件125,且可進行與傳輸子系 的柄合121 Λ 子系統170可進行與曝光機子系統120 系統120之f基板1〇5可在傳輸子系統170與曝光機子 及/或其中!^傳存凡件122、其中一或更多處理元件123、 合至Α中—赤审几件125。其中一或更多控制器124可耦 123 :及夕f輪/儲存元件122、其中一或更多處理元件 或一或更多評估元件125。 在右干實婦彳中’曝光機子系統12()可絲施行濕式及/或乾 201005568 喊其蝴子中,曝光機餘統12G可时施行深 系外綠(EUV,extreme ultraviolet)曝光程序。 ^刻子系統130可包含—或更多傳輸/儲存元件132、一或更 多控制器134、及—或更多評估元件L 一中或更夕傳輸/儲存兀件132可耦合至其中一戋更多處 朱 133及/或其中—或更多評估元们35,且可‘與 ί搞ί 專輸子系統170可進行與钮刻子系統、130的搞合131, 且或更多基板105可在傳輸子系統170與蝕刻季 °w〇 5 170 齡讀132、其中—或更多處理元件133、及/或i中一 二d5;3中一或更多控制器134可耦合至其中一或 評估元件135。例如,其中—或更多處理元件B3可用^ 二虫刻、灰化、及清理程序,或電漿或非纖^ if夕;可,用評估程序及/或檢測程序來量測及,或檢測基板的- 層。侧子系統130可設置成如圖2a_2f _ 夕考=積τ系統140可包含一或更多傳輸/儲存元件142、一或更 ❹ 或更多控制器144、及—或更多評估元件ϋ 1’43及傳輸/储存凡件142可搞合至其中一或更多處理元件 更多評估元件145 ’且可進行與傳輸子系統… 曰一二/i。傳輸子糸統170可進行與沉積子系統14〇的耦合141, 精105可在傳輸子系統17。與沉積子系統14。之間 多傳於=傳气141。例如,傳輪子系統170可轉合至其中-或更 件142、其卜或更多處理元件⑷、及/或其中^ 件1Γ其中—或更多控制器144可柄合至其中—或 2,/储存凡件142、其中一或更多處理元件143、及 元件145。例如,其中一或更多處理元件143可用來 ,PhysicalvaP〇rdep〇sition)ii^ > "L貝(D,Chemicai vapor deposition)程序、離子物理氣相沉積 9 201005568 (iPVD,ionized physical vapor deposition)程序、原子層(ALD,at〇mic layer deposition)沉積程序、電漿增強原子層沉積㈣八⑶,糾狀· enhanced atomic layer deposition)程序、及/或電漿增強化學氣相沉 積(PECVD,plasma enhanced chemical vapor depositi〇n)程序。可使 用評估程序及/或檢測程序來量測及/或檢測基板的一或更多表面。 檢測子系統150可包含一或更多傳輸/儲存元件152、— ^處理元件⑸、-或更?控制器丨54、及—或更多評估元件155。 其中-或更多傳輸/儲存元件152可耗合至其中一或更多處理元件 細元件155 ’且可進行與傳輸子系統 勺耦口 151。傳輸子系統170可進行與檢測子系統15〇的輕合⑸,
C 多f板刚可在傳輸子系統17G與沉積子系統140之間 傳輸151。例如,傳輸子系統m可輕合至 儲存元件152、其中—或更多處理元件⑸、及/或1中 Ϊ 件155。其中—或更多控制器154可耦合至其中' 更儲存元件152、其中一或更多處理 中戈 —或更多評估元件155。 久具Τ 多产m f、统160可包含一或更多傳輸/儲存元件162、—或更 2里兀件163、一或更多控制器164、及一或 : f63中^^傳輸/儲存7^牛162可耗合至其中-或更多處理元件 γ或,、中一或更多評估元件165,且
C 多傳輸/儲存元件162、財傳^合至其中—或更 更多傳輸/儲存元件⑹、、^更164仰合至其中-或 163,—或更多處理开株」子糸、统 包含一或更多處理元件 光學量測財™程樣程序,即時 —或更多—爾。 10 201005568 地點、標的地點、覆蓋(overlay)地點,對準地點、量測地點、確認 地點、檢測地點、或損傷_評估(damage-assessment)地點、或任何 ,其等之結合。例如,一或更多「模範基板(golden substrates)」 或參考晶片可被儲存並用來石隹認其中一或更多處理元件163、及/ 或其中一或更多評估元件165的性能表現。 在若干實施例中’量測子系統160可包含整合光學數位輪靡 測定(iODP,integrated Optical Digital Profilometry)元件(未顯示), 而此整合光學數位輪廓測定元件/系統可從Timbre Technologies Inc.(TEL公司)購得。或者,吾人可使用其他量測系統。例如, 技術可用以獲付包含臨界尺寸(CD,critical dimension)資料、閘極 〇 結構資料、及厚度資料的即時資料,而iODP資料的波長範圍可從 小於約200 nm至大於約900 nm。例示的i〇DP元件可包含0DP 剖線儀(Profiler)程式庫元件、剖線儀應用伺服器(pAS,Pr〇mer Application Server)元件、以及0DP剖線儀軟體元件。〇Dp剖線儀 程式庫元件可包含光學圖譜的應用特定資料庫元件,以及其所對 應的半導體輪廓、臨界尺寸、以及膜厚度。PAS元件可包含至少 一與光學硬體及電腦網路連接的電腦。;pAS元件可用以提供資料 通訊、ODP程式庫操作、量測處理、結果產生、結果分析、及結 果輸出。ODP剖線儀軟體元件可包含安裝在pAS元件上的軟體了 此軟體用以管理量測處方、0DP剖線儀程式庫元件、〇Dp剖線儀 Ϊ料^DP剖線儀結果搜尋7匹配、〇DP剖線儀結果計算/分析、 資料通§fl、及對於各種量測元件與電腦網路的pAS介面。 量測子系統160可使用偏光反射量測法(polarizing reflectometry)、橢圓偏光量測卿)、反射 ^他光學制猶,_職準的裝置 .及基錢多層膜厚度。此整合量測處 Π ΐϊΐΪ統之整合群組中的整合處理一般被加以執 ΐ系统之次料免除為了執行分析或長時間等待來自外
ίΐίίίΪΐ-ϋ ^ °i〇DP 輪扉及L界尺寸里測的既存薄膜量測系統一併使用,並 11 201005568 ί行整合,續供㈣處理❹卿 制口 了藉由應用Maxwe11方程式並且使用數值分折枯;r敍\/ M_d〗方㈣,紐生顧㈣祕。㈣難刀析技*解答 m 板、及/或延輸件:t對準基板、儲存基 或者,吾人辦丄;:===4- =〇模型、胤顧〇相關處理順序、運序逆:= > t^^fai(cUrrenttim^ ISm ' 裝載、傳=地==靠資料、或其任何組合,而 在若干實施例中,傳輸子系丨 時要傳輸基板及傳輸至何處。在其他實施==== 來取何時要傳輸基板及倾至何處。或者, ⑽e;of i= 在-或更多子系統中,將第:數ΐ之 凡件時’可使用-或更多傳輸里 及162^戈傳輸子系統170,將-些基板儲存及/或延^ 152、 此外,一或更多子系統⑴0、120、130、140、150、湖 下料時:麵咖序、曝光機相關程序、 ==、:Γ關程序、評估相關程序、靖目關:序 ^ 了, 關私序、傳輸程序、清理相關程序、重作相 “ί之2 序、氮化相關程序、或外部處理元件、或任 ”吾十可建於子系統⑴0、120、130、140、150、160、乃 〇)的插作狀‘%'貧料,而這些資料可被MLMIM〇相關處理順序所 201005568 使用及/或更新。此外,吾人可建立關於傳輪/儲存元件(ll2、i22、 132、142、152、及 162)、處理元件(113、123、133、142、153、 及163)、及評估元件(115、125、135、145、155、及165)的操作 狀態資料,而這些資料可被MLMIM〇相關處理程序所更新。例 如,關於處理元件的操作狀態資料可包含:可用率(availabmty)資 料、關於處理元件的匹配資料、關於某些處理步驟及/或地點的預 汁處理時間、良率資料、關於處理元件的可靠資料及/或風險資料、 或關^一或更多MLMIMO相關處理程序的可靠資料及/或風險資 料。藉由即時詢問一或更多的處理元件及/或一或更多的子系統, 吾人可獲得更新的操作狀態。藉由即時詢問一或更多的傳輸元件 Ο 及/或一或更多的運送子系統,吾人可獲得更新的裝載資料。 其中一或更多的控制器(114、124、134、144、154、及164) I使用資料傳輸子系統191而耦合至系統控制器19〇及/或彼此耦 合。或者,吾人可使用其他耦合組態。控制器可以串聯及/或並聯 方式進行耦合,並且可具有一或更多的輸入口及/或一或更多的輸 出口。例如,控制器可包含具有一或更多核心處理元件的微處理 器。 此外’子系統(110、120、130、140、150、160、以及 170)可 使用網内、網際、有線(Wired)、及/或無線(wireless)連接,進行彼 此叙合且搞合至其他裝置。當需要時,控制器(114、124、134、144、 攀及190)可麵合至外部裝置。 當執行即時MLMIMO相關程序時,可使用其中一或更多的控
制器(114、124、134、144、154、164、及 190)。控制器可自 MLMIMO 模型接收即時資料以更新子系統、處理元件、處理、處方、輪廓、 影像、圖案、模擬、順序資料、及/或模型資料。其中一或更多的 控制器(114、124、134、144、154、164、及190)可用以與製造執 行系統180或其他系統(未顯示)交換一或更多半導體設備通訊協 又才示準(SECS ’ Semiconductor Equipment Commimication Standard) §fl息、讀取及/或移除資訊、前饋、及/或回饋資訊、及/或送出作為 SECS訊息的資訊。其中一或更多格式化訊息可在控制器之間交 13 201005568 ° ^ ^ ^ 或程序。例如卷板及/或批次_使用的模型及/ 更新時,現時的二,,序可在現時的佈局(layout)被檢查前 在=二使用更新的模型及/或程序來檢查。當益法 3現時的佈局被處理前施行更新時,現時的饰局 田^
=及/或程序來檢查。此外,格式化訊息可使用在以下情t I 時、當阻抗模型改變時、當處理順序改g、·= 口又计規則改變日^、或當佈局改變時。 田 hi若干實施例中,應8180可用以即時監測某些子系統及/或 哪此ί Ϊ 級的介人(int_㈣及/或霸法則可用以判定 F二处里被皿測以及哪些貢料可被使用。例如,工廠級 法則可用以判定在mlmjmo相關誤差情況發生時如何管 j料。MES 18G亦可提供模型資料、處理順序龍、及/或基板 負料。 此外’當需要時,控制器(114、124、134、144、154、164、 及|90)可包含記憶體(未顯示)。例如,此記憶體(未顯示)可用於 存貧訊以及待被控制器所執行的指令,並且可用於儲存暫時變數 (temporary variables)或處理系統100中之各種電腦/處理器在執 指令時的其他中間資訊。其中一或更多的控制器(]14、124、134、 144、154、164、及190),或其他系統元件可包含用以從電腦可讀 取媒體讀取資料及/或指令的裝置’並且可包含用以對雷胎去 媒體編寫資料及/或指令的裝置。 μ 處理系統100可因應處理系統中用以執行儲存於記慎體及/或 容納於訊息之一或更多指令之一或更多順序的電腦/處理器,而I 行本發明一部分或所有的處理步驟。此種指令可從另—電腦、電 腦可讀取媒體、或網路連接加以接收。 在若干實施例中,吾人可使用來自Tokyo Electron Limited(TEL)的系統元件設置一整合系統,且可包含外部子系統及 /或工具。例如,量測元件可被設置包含臨界尺寸掃目苗式電子顯微 鏡(CDSEM,CD-Scanning Electron Microscopy)系統、穿透式電子 14 201005568 顯微鏡(ΤΕΜ,Transmission Electr〇n Micr〇sc〇py)系統、聚焦離子束 (Fro ’ focused urn beam)系統、光學數位輪廓測定(〇Dp,〇ptical DigitalProfllometry)系統、原子力顯微鏡(AFM,At〇micF〇rce
Microscopy)系統、或另τ光學量測系統。這些子系統及/或處理元 件可具有不同的介面需求,而這些控制器可被設置成符合這些不 同的介面需求。 (110、120、130、 φ 134 > 144 ' 154 > 140、150、160、及 no)及/或控制器(114、124、 164、及190) ’可包含實驗設計(DOE,Design of 其中一或更多的子系統(110、120、13〇、140、⑼、16〇、及 170)可包含控制應用、圖形使用者介面(GUI,Gr_ca][User Interface)應用、及/或資料庫應用。此外,其中一或更多的子系統
Experiment)應用、先進製程控制(Apc,Advancedpr〇cessC〇ntr〇1) 應用、故障制與分類(FDC,Fault Detection and Classification)應
來自MLMIMO模型程序的輸出資料及/或訊息可用於隨後的 程^中’以對處辦確度以及精密度進行最佳化。吾人可將資料 即柃地傳遞至MLMIMO相關程序以作為即時可變參數,置換現時 亚簡化DOE表。即時資料可與程式庫式系統、或迴歸式系 統、或其任何、组合-併使用,以對碰趟购相關寇和隹杆暑往仆。
從π杏》L 〇 . h g界卿工王俏關麥歎組而反覆地產生,以 湖錄組的收斂值’此處理相關參數組用以產生相較 ;1測出之MLMIMO麵蝴簡接肺配禮撼夕
15 201005568 冬 4 系統(MLS ’ machine learning system)。例如,在產生 相關程式庫資料之前,可使用已知的輸入與輸出資料來養成 (trained)此MLS ’且可以MLMIMO相關程式庫資料之子集合 (subset)來養成此MLS。 、八口 、MLMIMO模型可包含每當匹配前後關係(_加)相衝突時, 可被執行之介入及/或判斷法則。介入及/或判斷法則及/或限制可根 據歷史程序、根據客戶的經驗、或處理知識而建立, 腦獲得。這些規則可用於故障偵測與分類(FDC)程序,以判定如何 回應警報(alarm)狀態、誤差(err〇樣態、故障(脇雜離、及/或邀 6 這些酬域礎的FDC程序可按'優先順序i 理及/或分減卩早、制“性能、預測簡性保養排程 (preventative mamtenance schedules)、減少保養停工時間、以及 長系統中雜性零件的使用壽命。吾人 故障的=依據警報/故障而採取的措施可以前後關= (context-based),且遣些刚後關係資料可經 、 方、腔,、識別號碼、裝載口號碼、卡二處 $制工=她〇1灿)識別碼、處理工作(pr〇⑽灿)識別碼f序列 5虎碼及/或貧料類型而加以特定。 不成功的程序或處理順序在超出限制 ❹ 功的程序在接近限制時,會產生警告訊息。關:it的= l例如量測程序失效時,mlm服0相關程序會i 除基板之其中一或更多地點的資料。 θ 模型可用以產生、修改、及/或評估在不同時間及/ 或地,、.占的孤立及/或巢狀(讀d)結構 附近的閘堆疊尺寸及基板厚度資料 對製私處方及/或處理吟間進行更新及/戋最俨化。 紙_〇模型可使用終點翻(BPD,end-P〇intdetection)資 16 201005568 資料改善準確度。當_ Ep ^ EPD時間資料以及處理速率資料來估計侧ίϊί mt^muomrn, J rgolden,,)4il ^ ^ 魯 nm > ^nm〇ullh Ϊ 中執行化學氧化物移^rc〇R , Γί_ . , a .佚礼^ 叫」處理至 射懷賴制,締 ^ ^ ΐ8〇^: 圖。圖2A-2F顯示根據本發明之實施例之侧子系統之例示方塊 子系子系統篇顯示於圖2Α中,硫例示之_ 待ΐίΓΪΪ 1 ί浆處理室21G ;基板載台22G,於其上固定 例·Γ,五T板225 ’耽體注入系統240;以及真空幫浦系統257。 21 n〇 4f 口 b可使用基座229,將基板載台220搞合至電漿處理室 工作件且理室210隔開。基板225可例如為半導體基板、
It Γ ”以促進電裝產生於鄰近基板225之表面的處理區 S 」此处的電漿係經由受熱電子與可游離氣體之間的碰撞 ^f 氣體注人彡統24G通人可_的氣體錢體混合物, 的二%L '二堡力。理想上’電漿可用以產生特定於預定材料處理 而,並且協助將材料沉積於基板225或從基板225的曝露表 ==系=刪255可轉制_浦系譲以 17 201005568 例如,基板225可經由自動傳輸系統,透過狹缝閥門⑼况 valve)(未顯示)以及腔室饋通(feed_thr〇ugh)(未顯示),而被傳入及傳 出電漿處理室210’此處的基板被裝設在基板載台22〇内的基板升 降銷(未顯示)所支撐,並且藉由裝設在其内的裝置進行機械式傳 輸。在從傳輸系統收到基板225之後,此基板會下降至基板載台 220的上表面。 例如,基板225可經由靜電夾持系統(未顯示)而固定於基板載 口 220。再者’基板載台220可更包含溫度控制系統228。此外, 氣體可經由雙(中央/邊緣)背側氣體系統226而輸送至基板的背 侧,以改善基板225與基板載台220之間的氣隙熱傳導。當基板 的額外溫度控制被要求升高或降低溫度時,吾人可利用雙(中央/ ,緣)背側氣體系統。例如,在因為自電漿輸送至基板225之熱通 1與經由基板載台220之傳導而自基板225所移除之熱通量之間 的平衡而達到超過穩態溫度的溫度時,基板的溫度^制係有用 的。在其他實施例中,可包含例如電阻加熱元件的加熱元件或熱_ 電加熱器/冷卻器。 ^如圖2A所示’基板載台220包含下電極221,透過此電極, 無線射頻(RF ’ Radio Frequency)功率可被耦合至處理區域245中的 電漿。例如,下電極221可經由從RF產生器23〇通過阻抗匹配網 路232至下電極221的RF功率傳輸,以Rp電壓進行電性偏壓。 RF偏壓可用以加熱電子而形成並維持電漿。典型用於rp偏壓的 〇 頻率可從1 MHz分佈至100 MHz,並且較佳為13 56 MHz。 或者,吾人可以多重頻率將無線射頻功率施加至下電極221。 再者,阻抗匹配網路232藉由將反射功率降至最低,而用以將傳 輸至處理室2财之電漿的RP功率提升至最人^利用H 匹配網路饰局及自動控制方法。 繼續麥照圖2A,處理氣體可透過氣體注入系統24()而被引導 至處理區域245的-或更多區域。處理氣體可例如包含氣體的混 合物,例如用於氧化物蝕刻應用的氬、Cf4、及〇2,或氬、C4f8 及 〇2 ’ 或其他化學品’例如 〇2/C〇/Ar/C4F8、〇2/c〇/Ar/C5F8、 18 201005568 〇2/CO/Ar/C4F6 ' 〇2/Ar/C4F6、N2/H2、HBr。氣體注入系統 24〇 用以將引導至基板225的污染物減少或降至最低,且可包含 ,入充氣腔241以及多孔喷淋頭氣體注入板242。例如,吾人可^ 氣體輸送系統(未顯示)供應處理氣體。氣體注入系統24〇 |對處理 區域245的不同區域提供不同的流率。或者,氣體注入系統 可對處理區域245的不同區域提供不同的處理氣體。 例如,真空幫浦系統257可包含:渦輪分子式真空幫浦(TMp, turbo_molecularpump)258,其泵送(pumping)速度可上至每秒5〇〇〇 公升(以上);閘閥259,用以控制腔室壓力。在習知用於乾式電漿 钮刻處理的電漿處理裝置中,一般係使用每秒1⑻〇至⑻公升 ❹的T^P。對於低壓處理(典型上小於5〇 tnTorr)而言,TMP係有用 的。當處於較南壓力時,TMP的泵送速度會急遽下降。對於高壓 處理(即大於lOOmTorr)而言,吾人可使用機械增壓幫浦以及乾式 粗抽幫浦。再者,用以監測腔室壓力的裝置(未顯示)可被耦合至處 理室210。此壓力量測裝置可例如為市售從mkS Instfuments,
Inc.(Andover, ΜΑ)所講得的Type 628B Baratron絕對電容測壓計。 如圖2A所示,蝕刻子系統2〇〇A可包含:一或更多的感測器 250 ’麵合至電漿處理室210以獲得性能資料;以及控制器255, 耦合至感測器250以接收性能資料。感測器250可包含電漿處理 藝至21〇的内在感測器以及電浆處理室210的外在感測器。内在感 測器可包含附屬於電漿處理室210之功能的感測器,這些感測器 可進行例如以下的量測:氦背側氣體壓力、氦背側流量、靜電夾 持(ESC,electrostatic clamping)電壓、靜電夾持電流、基板載台220 的溫度(或下電極(LEL,lower electrode)的溫度)、冷卻液溫度、上 電極(UEL,upper electrode)的溫度、前饋RF功率、反射RF功率、 RF 自感直流偏壓(self-induced DC bias)、RF 學間(peak-to-peak)電 壓、室壁溫度、處理氣體流率、處理氣體分壓、腔室壓力、電容 器設定(即C1與C2位置)、聚焦環厚度、Rp小時、聚焦環的RF 小時、以及其任何統計值。又,外在感測器可包含如圖2A所示之 一或更多光學裝置234,其用以監測自處理區域245中之電漿所發 19 201005568 出的光;及/或包含如圖2A所示之一或更多電力量測裝置,豆 用以監測電漿處理室21〇的電力系統。光學裝置234^包人,ς 感測益’此光學感測杰可被使用作為終點偵測器(EpD,End
Detector)並且提供終點偵測資料。例如,吾人可使用光學發射光譜 (OES ’ Optical Emissions Spectroscopy)感測哭。 °曰 電力量測裝置236可包含電流及/或電壓探針、電力 譜分析器。例如,電力量測裝置236可包含rp阻抗分析器= 電力信號的制,例如電壓或電流的_執卿met⑽)°, 使用傅立葉級數表不法(F〇urier series representati〇n)(假設 號)將信號轉換成頻域。然後,傅立葉頻譜(或用於時變信號的^ 可被監測與分析,以描繪電漿狀態的特徵。在替 ^ 二^ 236可包含寬頻帶RF天線,其對於替中電 理室210外部的Rp場係有用的。 电 ,制H 255包含微處理器、記憶體、以及數位1/() 口(可能包 idA/D轉換器)’其可產生足以傳遞與啟動通二刻子 =統2=輸^的控制電壓以及來自綱子系統的監測器輸 =如圖2A所示’控制器255可被輕合至第一处產生器23〇、 氣以配2、氣體注入系統240、真空幫浦系統257、背侧 ίίΪ 、溫度控制系統228、光學裝置234、電力量測 程切50,並與其交換資訊。儲存於記憶體中的 件S; 製程處方而用以與上述蝕刻子系統2〇。的元 Μ之 ^^㈣統麵可類似於圖 加電漿密度及/或改善“處、^均^述的^以外丄為了潛在增 式或電氣式旋轉磁心统26〇 ,可更已含固定式、或機械 系統,以。此外,控制器255可被耦合至磁場 為熟習本項技藝者Ϊ斤與場強度。旋轉磁場系統的設計與實施 或圖=2=1=^子系統罵可類似於該 •X且』更包含上電極27〇,RF功率可從处產 20 201005568 = 用的阻抗匹配網路274而輕合至上電極。對於上 r對於下=可從約αι廳分佈至約。、此 約漏腿此外,㈣施;率^足約〇」廠分佈至 耖阢邴絪改97Λ 制 可被耦合至W產生器272以及阻 抗匹配網路274,以控制對於上電極27〇的财 的設計與實施為熟習本技藝者所熟知。H 氣體分配系統240可彼此搞合。 奸上電極270與 以j ^之實施例中,侧子系統2_可類似於圖从 以及圖2B之實知例,並且可更包含感應線圈28〇,即功 :二選用的阻抗匹配網路284而叙合至感應線 感應線圈280透過介電窗(未顯示)而感應麵合至電 i〇MHz分佈至約⑽赚。同樣地,對於下電極221 ^率可從約G.1廳分佈至約⑽顺。此外,有槽法拉第屏 罩(slotted Faraday sheld)(未顯示)可用以降低感應線圈28〇與電 之間的電容耦合。此外,控制器255可被耦合至处產生器282 以及阻抗匹配網路284,以控制對於感應線圈28〇的功率二加。 在替代貫施例(未顯示)中,「螺形(Spiral)」線圈或「薄片形 (pancake)」線圈構造可用於感應線圈。感應搞合式電裝(lcp , inductively coupled plasma)源或變壓器 _ 合電漿(TCp,transf〇血沈 coupled plasma)源之設計與實施為熟習本技藝者所熟知。 在圖2E所示之實施例中,蝕刻子系統2〇〇E可例如類似於圖 2A、2B、2C、以及2D之實施例’並且可更包含第二即產生器 235 ’其用以透過另一個選用阻抗匹配網路237,將拙功率轉合 至基板載台220。對於基板載台220,第一 RF產生器230或第 產生裔235或兩者之RF功率的典型施加頻率可從約〇. 1 mhz 分佈至約200 MHz。第二RF產生器235的RF頻率可相對高於第 一 RF產生器230的RF頻率。再者,從第一 Rjp產生器23〇通往 基板載台220的RF功率可經過振幅調變(amplitude modulated), 從第二RF產生器235通往基板载台220的RJF功率可經過振幅調 21 201005568 變,或兩RF功率皆可經過振幅調變。理想上,吾人 周變。此外’控制器255可絲= 弟一 RF產生态235以及阻抗匹配網路237,以控制對於基板 科與實施為熟 在圖2F所示之實施例中,蝕刻子系統2〇〇ρ可類似於圖2八 以及2Ε之實施例,並且可更包含表面波電聚(swp,犯池㈣就 phsma)源285。SWP源285可包含開槽天線,例如徑向線開槽天 線(RLSA,radial line slot antenna),經由微波產生器286透過選用 的阻抗匹配網路287,微波功率被搞合至開槽天線。
圖3A-3F顯示根據本發明之實施例之韻刻子系統的額外實施 例。圖3A-3F顯示例示的蝕刻子系統3〇〇A_3〇〇F ,這些子系統係 類似於圖2A-2F所示之例示蝕刻子系統2〇〇A_2〇〇F,^蝕亥仔系 統300A-300F包含至少一直流電極3〇5以及至少一直流電;原3〇6。 ^在進行圖案化蝕刻時,通常會利用乾式電漿蝕刻處理,並且 藉由將例如無線射頻(RJF)的電磁(EM ’ electro-magnetic)能量耦合至 處理氣體以加熱電子,並引起後續處理氣體之原子及/或分子^分 的游離化與解離,以從處理氣體形成電漿。此外,負、高電壓的 直流(DC)電源可被耦合至電漿處理系統,以產生高能(彈道土 (ballistic))電子束,此電子束在一部分处週期(即耦合处功率的 正半週期)的期間照射基板表面。吾人已觀察到彈道電子束可例如 藉由改善(,蝕刻)下層薄膜與遮罩層之間的蝕刻選擇性、減少例如 電子遮掩損傷(electron shading damage)等等的電荷(Charging)損 傷,而增進乾式電漿蝕刻處理的性能。關於產生彈道電子束的額 外細節被揭露於申請中之美國專利申請案第11/156,559號,標題 為「Plasmaprocessing apparatus andmeth〇d」並且公開為美國專利 申請第2006/0037701A1號;其整體内容藉由參考文獻方式合併於 此。一般而言,如圖3A-3F所示,吾人可在各種形式的電漿處理 系統内實施彈道電子束。 直流電極305可包含含矽材料及/或經摻雜的含矽材料。直流 22 201005568 含可變直流電供應器。此外,直流電源306可包含 電源306可更包含用以執行監測、調整、 + *電源06之極性、電流、電壓、及/或開啟/關閉狀態至 ί二ϋ 一的系統。一旦形成電漿之後,直流電源306可促進彈 人(de的开1)。電力滤波器可用以使处功率與直流電源306 例如,藉由直流電源306施加至直流電極3〇5 伏特(V)分佈至約麵v。理想上,直流電邑= t有專於或大於約觸V的值,*更為理想係,直流電璧的絕 對值可具有等於或大於約5〇〇V的值。此外,理想上直流電壓可
=負極性。再者,理想上直流電壓為具有大於自偏壓(祕 電壓之絕對值的負電壓。 ’ .在替代實施例中,吾人可利用化學氧化物移除(C0R,Chemical Oxide Removai)子系統(未顯示)來移除或削減氧化的多晶矽材料。 此外,吾人可利用COR子系統來移除或削減氧化物遮罩層。例如, COR子系統可包含用以在基板上化學處理例如氧化物表面層之曝 露表面層之化學處理模組(未顯示),藉以曝露表面上的處理化學品 吸附影響絲層德學改變。此外,CqR子线可包侧以熱處 理基板的熱處理模組(未顯示)’藉以升高基板溫度而使基板上化學 改變之曝露表面層釋放吸附之物(或蒸發)。 圖4 s兒明根據本發明之實施例之負通道場效電晶體㈤ρΕτ, Negative ChannelField Effect Transistor)結構與正通道場效電晶體 (pFET ’ Positive Channel Field Effect Transistor)結構之例示圖式。 圖4顯示nFET結構之一例示閘堆疊4〇〇a及pFET結構之一例示 閘堆疊400b。nFET結構之一例示閘堆疊4〇〇a可包含:塊材矽層 405a、埋置的氧化物層410a、絕緣層上覆矽(S0I,silic〇n_〇n_insulatOT) 層415a、閘氧化物層420a、第一多晶矽閘極結構43〇a、摻雜之多 晶矽層(poly-SI)440a、氮化物覆蓋層445a、氧化物層450a、及底 部抗反射塗佈(BARC ’ bottom antireflective coating)層 455a。第一 多晶石夕閘極結構430a可具有與其關聯的第一側壁角43ia及第一 23 201005568
底部關鍵尺寸(CD) 432a,且此第-底部CD 432a可與間長产 及/或閘寬度參數相關連。或者’第—閘極結構可為不同,^ 數目可為不同,及可使用其他材料。师了結構之—例示閘堆 400b可包含:塊材矽層405b、埋置的氧化物層41〇b、絕緣層I 矽(SOI,silicon鲁insulator)層 415b、閘氧化物層 4施、第二 ^ 矽閘極結構430b、氮化物覆蓋層445b、摻雜之多晶矽層44%、I 化物層450b、及底部抗反射塗佈(BARC)層455b。第二多晶矽門 極結構430b可具有與其關聯的第二側壁角43比及第二底部關 尺寸(CD) 432a’且此第一底部CD 432b可與閘長度參數及/或閘寬 度參數相關連。或者,第一閘極結構可為不同,膜層的數目可為 不同,及可使用其他材料。在若干實施例中,吾人可建立關於 MLMIMO程序的前處理資料,而在MLMIM〇程序施行之前',例 示閘堆疊可被量測。在其他實施例中,吾人可使用一或更多圖案 化遮罩層來建立關於MLMIMO程序的前處理資料,而在閘堆聶雜 作前,這些一或更多圖案化遮罩層可被量測。 側壁角(431a及431b)可在自約80度至約90度之間變化,而 底部CD(432a及432b)可在約25 nm及60 nm之間變化。閘氧化物 層(450a及450b)的1度可在約25 nm及60 nm之間變化,氮化物 覆蓋層(445a及445b)的厚度可在約lnm& 1〇11111之間變化。閘極 結構(400a及400b)的南度可在約125 nm及160 nm之間變化。
圖5顯示根據本發明之實施例之例示多層/多輸入/多輸出 (MLMIMO)模型最佳化及控制方法的簡化方塊圖。在例示之 MLMMIMO模型方法中,顯示了圖案化光阻(PR)堆疊1之部分的 例示圖像及處理後之閘堆疊5的例示圖像。PR堆疊1之「光阻」 層可包含一或更多卩尺層€02及一或更多?尺層側壁角(8\\^)3。 PR堆疊1可使用第一參數組4來特徵化,而第一參數組4可包含: 中央及邊緣光阻(PRC/E)資料項目、中央及邊緣厚度(Thick C/E)資 料項目、CD中央資料項目、CD邊緣資料項目、SWA中央資料項 目、及SWA邊緣資料項目。或者,吾人可使用不同的參數組。閘 堆疊5之「多晶矽(Poly)」層可包含一或更多多晶矽層CD 6及一 24 201005568 .或,多多晶f層侧壁角SWA7。閘堆疊5可使用第二參數組8來 特欲化’而第二參數組8可包含:中央及邊緣「多晶石夕」(Pot • 資料項目、中央及邊緣BARC(BARC C/E)資料項目、CD中央資料 項目、CD邊緣資料項目、sm中央資料項目、及SWA邊緣資料 項目。或者’吾人可使用不同的參數組。 魯 卜在例示方法中,弟一整合I測(IM,integratedmetr〇i〇gy)機台 (第- ODP-IM)控制器/模組1 〇可躺合至一或更多侧控制器/模組 20,且一或更多蝕刻機台控制器/模型2〇可耦合至一或更多第二量 測機台(第二0DP-IM)控制器/模型3 〇。第一量測機台(第一 〇Dp_IM) 控制器/模組10可接收CD資料u及SWA資料12並可提供前饋 (feed forward)資料15。第二量測機台(第一 〇Dp_IM)控制器/模組 30可傳送CD資料31及SWA資料32並可提供回饋(feedback)資 料35。在若干實施例中,晶圓間前饋(W2WFF,wafer_t〇_wafer、 feed-forward)資料15可與第一㈤p_IM控制器/模組1〇相關聯, 且晶圓間回饋(W2WFB,wafer-to-wafer feed-back)資料35可與第 一 ODP-IM控制器/模組3〇相關聯。此外,可使用% 一或更多|虫 刻控制器/柄型20,以晶圓間(W2W)為基礎來控制閘堆疊輪廊,及 以晶圓内(WiW,Within-Wafer)為基礎來控制閉堆疊輪廓。 伽發送至可用來計算在基板中心處及邊緣處的 心1、套二t計算元件4C>°f—計算元件4G可絲計算基板中 =一,緣的SWA偏差。吾人可提供第一目標參數組41至第一計 亡$ 40,並提供第二濾波輸出71至第一計算元件4〇。來自第 模輸出資料項目42可被提供至-或更多一 結或ϋ^ΜΐΜ〇模型最佳化器5〇可被設有一或更多限制 二^ 中顯不’關參數51可包含步驟基礎(卿-based)
ί 其中—或更多MLMIM0模型最佳化ϋ 50可J f夕乡數組21,這些處方參數組可被傳送至其中—或 更夕蝕刻機台控制器/模組20。 飞 25 201005568 資料項5 或更夕侧機台控制器/模組20來計算預測之 =一些預測之資料項目可包含:—或更多預測之^刻 f夕顆、^^夕預測之SWA偏差、關於—或更多餘刻處方之-或 或更多續方之一或更多預測 叶Ϊ ^或更/第夕二〇DP_IM控制器/模組30可提供一或更多實 ίίΐ中/Γ或更多件6G ’而其中—或更多實際輸出33 T”二中更夕之一預測之資料項目27相比對。來自其中— 比較兀件60之其中一或更多誤差值65可被 多 EWMA濾波器70。 A更夕 ❹ ❹ 5楚二EWMA渡波11 %可提供—或更多第—濾波輸出71 至ί"Γ计舁兀件40,且一或更多EWMA濾波器70可提供一或更 多Ϊ二濾波輸出72至一或更多加權控制器/模型80。各EWMA濾 波器70可過濾並提供關於單一參數或誤差值之回館資料。戋者’: 各EWMA濾波器70可過濾並提供關於多參數或誤差值之回饋資 料。一或更多加權控制器/模型8〇可接收一或更多目標資料項目 45及來自一或更多MLMIMO模型最佳化器50之一或更多回饋資 料,目55。此外,一或更多加權控制器/模型8〇可提供一或更多' 動怨改變之加權輸入81至一或更多MLMIM〇模型最佳化器5〇。 基於回饋誤差而使用動態加權的概念,係為了迫使最佳化器在執 行時間内以達到控制系統之手動調整之最重要CVs自動化的較佳 控制為目的,而對加權排定優先順序(重新平衡)。 圖6例示根據本發明之實施例之多層處理順序之簡化方塊 圖。圖6顯示多層處理順序及數個關鍵量測的簡化圖式,這些關 鍵量測為監測及控制以下程序所需:前_量測程序、部分_蚀刻 (P-E ’ Partial-Etch)程序、最終-餘刻(f_e,Final-Etch)程序、及後_ 量測程序。例如,閘CD控制已發展至包含例如CD及侧壁角(SWA) 之多重輸入參數(分別以cRm&eR顯示),且隨著半 導體裝置持續縮小而變的較小。 隨著裝置&小’製程裕度(process window)變得較小,而且以 26 201005568 前認^互相獨立之例如SWA、膜厚度、及CD之變化,現在也合 父互^用,而這些交互作用需要多變數處理控制方法及模^也吾曰 階模型姻多變數控制技術,且在製程發 關Γ物理學及化學,並可對在多射=嚣 數之間的複雜交互作用提供有價值的洞 二關—或更多控制變數(cv,eGlltlOlied—) I、或更夕罝測參數(CD、SWA、深度等等)相關聯。例如,某 $ 3變數可用於,持基板均勻度及線密度敏感性。钱刻輪廊及、 ❹ 旦克$的?,需要調整超過—個的處方參數(步驟時間、氣體流 D聖力等等),其被稱為關於中央及邊緣輪廓控制的操作變數 (MV,manipulated variables)。為了滿足輪廊及均句度控制β系統 需要一多層/多輪入/?輸出(MLMIM〇)方法 、 =乍g變數(DV,胸U1W VariaWes)、及操作變^^的 當=LMIMO模型及相關聯之閉迴路侧處理控制器開始發 —y,σ人可施行進入(incoming)資料的分析。可使用包含厚度、 領fnominaDCD、及側鋪等__化光轉徵部之形狀的第 數組來檢測來自微影機台之輪廓差異的來源。此外,其他束 參 數組可包含:光學資料、反射性資料、透射資下 伏層資料等等。 wrt卜 在若干貫轭例中,光阻厚度(高度)TPR可為足夠厚以提供所需 要之耐侧性’且^夠薄以提供良好的影像品f。⑶擺動曲線 (swmg curve)的影響亦會使光阻厚度的操作控制限制變窄。此 部的高度可取決於未圖案化光阻厚度減去來自顯影劑 j失(darkloss)及低階曝光強度之侵餘。吾人 ^的調絲改正平触轉度差異。吾人可使膽合之 口來監測圖案化光阻厚度丁收及BARC厚度TB。
後微影顯影觀測CD(DICD)控制可用來碟保後侧最終觀 OXH⑶)控_結果,且典型上以局部、跨場、及全^的方H 27 201005568 監測。在若干實例中,局部及跨場的CD變化可由遮罩及曝光效 應所支配,而全區的CD變化可由曝光機(scanner)、光阻塗佈顯影 機(track)、及蝕刻機台所造成。吾人可實施用以產生均勻劑量地圖 (d〇se maP)及後曝光烘烤(PEB ’ Post Exposure Bake)之週期性機台 最佳化’而確保低的橫跨基板DICD變化。此外,可利用逐場(fidd by field)劑量調整地圖來改正後蝕刻(:1)均勻度,而可利用多區 域PEB補償調整來最佳化晶圓内及晶圓間的CD均勻性之程度控 制。此外,光阻SWA可影響FiCD,而SWA差異可歸因於曝光& 台聚焦變化。 戍 圖7例示根據本發明之實施例之關於發展多層/多輸入/多輸出 (MDVIIMO)模型之程序之例示流程圖。在例示實施例中,程序7⑻ 顯示具有數個步驟。或者,可使用不同數量之替代步驟。 在步驟710中,可將一或更多多層處理順序識別為多層/多輸 入/多輸出模型分析程序的候選程序。在若干實施例中,可建立一 或更多MLMIMO模型來產生一或更多多層閘極結構(圖4之4〇加 及 400b)。 在步驟715中,吾人可測定第一輸出控制變數(c 的範圍。其卜或更多CV可由終端使用者或客戶指 疋。适些CV可包含與一或更多多層閘極結構(圖4之4〇〇a及4〇〇 ❹ 相關聯之-或更多_建尺寸(CDS)及/或—或更多側壁角。在若 施旦施行最終侧順序且包含一或更多部分_(PE)程序i -或更夕最終侧(FE)程序。例如’可施行最終侧順序 部分’而可將不同之最賴剩序施行於pFOT #置、nFET裝置、三間極裝置、及FinFET裝置。 在步驟720十,吾人可測定與使用一或更多候選處方之 MLMIM0相關聯之操作變數(Mv)的第一候選組。罐 内^作變數(WiW-MV),而這些WiW-MV可包含當基板正接二曰 可的「快速」Mv。mv可包含娜^變i (W2W-MV),這些W2W_MV可包含當晶陳次正接受處 加以控_慢速」MV。可針對候選處方中之各步驟而檢測_ 28 201005568 的範圍。 在步驟725中,吾人可施行實驗設計(D〇E)程序來分析 MLMIMO模型。利用物理分析及工程經驗,可施行實驗設計 來建立可連結MV與各CV的統計模型。如果實驗數目增加 獲得更精準賴型,但會有辦的材料及時間支出。因此 及,效性會限制D0E基板的數目。為了儘可能減少d〇e數 亦,防止不精準’妥善設計的DQE是關鍵重點。關於這些 的最關鍵因素是預測模型的格式。吾人可選擇-或更多模型種 類,可提供CV及/或MV的範圍,及可使用例如JMp®(來 軟^崎軟體來建立其卜或衫doe表(圖 0E資料組,包含:第一操作變數組 Π 及Mv_23),其可關聯於第二軸!程序.
?v〇6T /i^^(CV"01 ' CV'°2 ' CV'03' Cv-04 ' cv-05 ^ ί J 設腔室^=析程序巾’可使用其他猜及cv。處理模型假 ° "y- ^悲在基板與基板之間及批次與批次之間是穩定的。 產生施例/,顯示在圖%中的1虫刻子系統職可用以 此外,在第一部分侧㈣程序及第-最 ioo ^ 程序期間’上電源272的電力可自約100瓦變化至约 700瓦’而下電源23〇的電力 至力 分蝕刻步驟期間,可使用CF 將 ^、、'勺70瓦。在部 , HBr/02tt2〇 ^D〇Et; 率等等料以瞭Ϊ各種處理參數(氣體流量、壓力又、溫度曰曰、功 在部分_處理I 造成較大的_偏差。 _開始。在最終闕(多晶石_ 轉印至多曰紡7 , / a到)期間,處理光阻輪廓(SWA)被 流量可自;“ sc二:至約=:(,多 、seem而在部分蝕刻處理期間, 29 201005568 〇2 /,η_里可自約3 seem變化至約2〇 seem。此外,在部分射丨丨及吾 終蝕刻(多晶矽蝕刻)處理期間,腔室壓力可自約5 變化至約 40 mTorr,而在部分蝕刻及最終蚀刻(多晶矽蝕 ' 及邊緣溫度可自約攝氏45度變化至約攝氏66度。 中央 CF 間,⑶4解離並產生%自由基及氟原子。 〇22解離面上沉齡合物’且料助料向性触刻。 t 電極ΐ率保持低’使得離子不會貢獻物理的PR濺 (由原子物甬種曰及氣)具有寬的角度分佈,所以部分蚀刻速率 =^通置造成)在特徵部的「頂部」較在特徵部的「底 壤=政部的頂部接收相較於特徵部的底部更多的中性原子°」 3致刻步驟_ PR線路逐漸變得尖細。在這些二期 Ϊ隨ΐο ίΐίΓ損失/CD偏差),及側壁_偏差)變尖t 刻逮率,而因ΐ導而增加,其直接導致較高的叫虫 :率。因為以::板分:決於上電= 離子縣特徵部底部及特徵部頂部^當非生,^以大部分的 f。因為pr韻刻實質上係等向性_,gD里損H^pr的側 電極功率。然而,特徵 、失亚不疋取決於下 頂部係被離子轟擊。特徵部^這此露於電漿的特徵部 極功率的增加而增加。“之虫刻逮率隨著下電 隨著下電極功率增成pr㈣逐漸變尖細。_偏差 在完全_(多晶彻胸_,™職)2化學品, 30 201005568 時完整的在側壁;而自底部移除鈍化臈,同 增加,=子虫步驟期間,原子氧濃度隨壓力增加而 ❹ 因此,在多 F Ε 序的處理時間可自約3〇秒變化至約6〇秒,而 F_E ^序的處理時間可自約30秒變化至約300秒。 而 之後,^ ’丨^^r建立一或更多d〇e表所需之钱刻程序 相關==非_型。在若干模型中’可刪除與其具有極小 陣^資料來產生一或更多線性增益矩 /Uy : 其中 dMVj Mv…丨 dCV^ Μ4ν\ cv“叫 i=l,2, 增益(開 及 j=1,2,·....,n。符號(5CV^^)mv表示偏 1 二=个伸所屬孫作變數,除了娜保持不變,而此項為^與 /曰^開迴路增益。此外,符號可解釋為閉迴路』 盈,/、表示當所有控制迴路為關閉時,^與巧的影響。 、如果吾等開始於非正方矩陣,一個目標為排除某些Mv或 以產生正方矩陣。許多時候及最好,MV比cv多,所以吾等4 31 201005568 非正方矩陣,吾等使用非正方相關增益陣列(RGA,Relative Gain Arrays)。例如, NRGA = G®(G+f 擬逆(pseudo-inverse)矩陣’ G+,被用來取代正常逆矩陣,γ。 NRGA提供數個用來選擇正方系統的準則,但其準則在某些非正 方系統中並非總是存在,所以應考慮子系統的正方配對的所有組 合。關於一個子系統與其他RGA的比較’可使用配對規則為 準。這產生了隨後可與最佳正方矩陣相比較的子組合。…不 在步驟740中,可使用其中一或更多線性增益矩陣(G)來計算 一或更多相關增益陣列(RGA)。例如關於正方陣列, RGA = G®(G"l)T 0 在步驟745中,為了研究MV的最佳組合’ RGA分析可被用 來選擇量測模型參數,且可使用RGA中的成對規則來測定關於各 CV的最佳MV。例如,可選擇RGA元素使得其總和最接近丨。 此外,可避免對負元素進行配對。此外,吾人可使用RGA分析來 測定候選模型的數目及用來確認最佳實例解決方案。 在步驟745中,如果CV多於MV,可利用RGA分析來選擇 最能操控的CV(CV對於MV的敏感性分析)。 在步驟750中,吾人可測定系統穩定度及狀況。例如,
Niederlinski穩定定理陳述由對角配對所產生之閉迴路系統在下 條件下不穩定: Θ nst = ^(G)<〇 tlsu /=1 其中G為增益矩陣而g"為增益矩陣的對角元素。可使用下列 等式來測定增益矩陣(G)的狀況:
G:USVT 其中G、U、S、及V為使用奇異值分解(SVD,singular va]ue decomposition)所測定之矩陣。此外,可使用s矩陣中之較大值對 於較小值的比值來測定條件數(CN,conditi〇nnumber)。關於 32 201005568
Niederlinski定理的額外訊息可在Jean-Pierre Corriou所著,名為 ' 「Process Control: Theory and Applications」之書籍 • 1852337761)中尋得,其整體内容合併於此。例如,當CN大於5〇 時’系統接近奇異(singular) ’且將具有不良的控制性能。 在步驟755中,吾人可使用實際的設備及/或性能限制來將 MLMIMO模型最佳化。在若干實施例中,量測位置可被檢測並選 擇以使性能最佳化,前及/或後量測程序可被建立以使性能最佳 化’多腔至順序可被檢測以使生產率最佳化。藉由調整濾、 波器,回饋可被最佳化。可測定關於MV的時間常數,而其更新“ 頻率可基於批次間(L2L)、晶圓間(W2W)、晶圓内(Wiw)、及處理 ❹步驟值。此外,吾人可檢測處理中心點、CV中心點、及MV中心 點以使性能最佳化。可使用歷史資料來施行模擬。 基板可包含一或更多膜層,這些膜層可包含:半導體材料、 破材料、介電材料、玻璃材料、陶莞材料、金屬 遮罩材料、或平坦化材料、或其等之組合。 材科 、在其他實施例令,吾人可使用確認的MLMIMO模型來處理一 =多基板。當制確認的MLM動模型時,可在基板(「模範 曰:圓(职==1」)上產生—或更多的確認的結構。當檢測基板 i參ί構可選自於數個位於基板上的確認結構。在檢測 ❿μ。if=可從測試參考結構加以獲得。最佳估計結構與相 ^佳估計資料可選自於包含顧結構以及相關資料的 佳估吾人可f算出測試參考結構與ώ自程式庫之最 準或多差值’這些差值可與匹配準則、產生 ί 配準則,測試參考結構可被_為^^〇1夺式 二而識別為參考「模範」^ 產生準則,測試參考結構可被識別為 基板。當使用*產可將現階段基板識別為確認的參考 試參考t符更ί的產品需求,測 巧雉<的釔構,而基板可被識別為確認的產 33 201005568 品基板。若不符合其中一或更多的準則或產品需求時,五人 施校正措施。吾人可使用測試參考結構資料以及最佳估;、择二 料,建立關於測試參考結構的MLMIMO相關可靠資料丄/1風^ 資料。 取 當MLMIMO相關結構被產生及/或檢測時,吾人可使用 度及/或公差限制。當這些限制不適當時,吾人可勃 皮 或者,吾人可執行其他的程序、可使用其他的地點、或 他的基板。當使用精化程序時,此精化程序可利用:雙線性精^匕 (bilinear refinement)、Lagrange 精化、三次曲線條樣精化(Cubi月c Spline refinement)、Aitken 精化、加權平均精化(wdghtedave
refinement)、複二次曲線精化㈣lti_quadratic她打⑽㈣、雙三次 曲線精化(bi-cubic refmement)、Turran 精化、子波精化(wavd: refinement)、Bessel精化、Everett精化、有限差分精化 (finite-difference refinement) ^ Gauss ^ Hermite > Newton 均差精化(Newton’divided difference refinement)、密切精化 (osculat^ig refinement)、或Thide精化演算法、或其組合。 次、,、在若干實施例中,評估程式庫資料可包含:適合度 ί Ϊ、產1^:則資料、量測資料、觀測資料、確認資料、映像 貝料、可罪貧料、準確度資料、處理資料、或均勻性資 任何组合。 、 〜'
士圖9例示根據本發明之實施例之使用MLMIM◦之程序的執行 Ξΐϊ圖在ί ’可使用數個基板,且可識別候選之 ^ 在貝枓鬼本期間,可將與一或更多CV相關聯之變化 而所策集之資料可用於模擬。此模擬可執行與生產中所 便用之閘極蝕刻處理相同的順序。 3 91〇中,—或更多基板可在整合之量測腔室中被量測, 八關幹擾動變數D®之第一數值①。此外,吾人可接收並 二一他感測器資料。IM資料可包含來自各進入(incoming)之基 立ϋ案化遮罩層中之多重地點之CD及SWA資料。吾人可建 立細作變數MV⑽之第二數值㈣。 34 201005568 ^若干實施例中,可藉由使用IM機台而量測關於 ίί f料可包含在横跨基板之多重^上之^ 貝科CD貝料、SWA貧料、及BARC膜厚产眘粗加丄 、# 擇可代表基板中央之8_1()個中央地點,及可J可代表二2 基板之各區域之相同數目的地點,以對所有區域給 /曰鮮2加t應選擇格拇(grating)密度及電晶體型式,以便求 某些ϊί為電_結構可具有可相__麵控制需求的 κι 以是_別’並可具有在部分_㈣程序期間 因為作機構而調整量測的關聯DV。swa可以是主要的調節子] 其可在角度變得小於9〇度時增加敏感性。此外,可使 ::間CD,因其對於最終〇)有最精準的關聯。因為中間c 句了上及下CD量測的差異,而以簡明的方式表現出最佳效果。 塞霞^ ί第二修改因素可岐橫跨基板及晶®間的BARC厚度 ,=。因為在BARC蝕刻期間,光阻會持續被蝕刻,所以如果barc ❹ =度不巧,其會影響CD。較薄的barc可帶來較短的颠刻時 i、i,f厚的BARC可帶來較長的飯刻時間,且較長的触刻時間 的CD。因此’不均勻的BARC會直接造成中央對邊 、- 差異的增加,這在部分及完全蝕刻期間會需要模型化來护r 制。 工 IM資料可在發展程序之後獲得,且IM資料可使用 系中之IM單位、同蝕刻子系統中iIM單位、或同獨立電腦之 IM單位而獲得。 此外,感測器及狀態資料可被使用於指示預測電漿腔室狀態 的DV 例如’當批次(基板)未使用調節(conditioning)基板來處理 日^ ’腔至狀恶可能被漂移(drift)所影響。促成腔室狀態前饋肩之 變化可包含例如下列事件:腔室清理、零件更換、化學品更換、 閒置時間、調節基板、腔室暫停、手動調整、晶圓基板材料更換、 35 201005568 及產品密度變化。 在步驟915中,可過濾及/或認可所接收的資料。例如,可使 用盒鬚(box and whisker)演算法來過濾所量測之DV,該盒鬚演算 法排除靜態上不屬於相同群體的地點,而可將剩餘之地點平均以 代表基板的實體區域。 在步驟920中,吾人可計算出其中一或更多cV,且可測定出 CD、SWA、均勻度值、及/或輪麻改變。在若干實施例中,控制變 數之第三數值(η)可使用下述來建立: CV(n)-fn{MV(l),……MV(m-l), MV(m), DV(1), ....DV(I-l), DV(I)} + offsetn 其中’卜m、n為大於2的整數。 n 例如,當四個CV、六個MV、及四個DV已如圖10所示被 確認’則具有較高階及交互作用項的四個非線性模型可定義如下: CV(1) - fi{MV(l), MV(2), MV(3), MV(4), MV(5), MV(6), DV(1), DV(2),DV(3), DV(4)} + offset! ’ CV(2) = f2{MV(l), MV(2), MV(3), MV(4), MV(5), MV(6), DV(1), DV(2), DV(3), DV(4)} + 〇ffset2 CV(3) = f3{MV(l), MV(2), MV(3), MV(4), MV(5), MV(6), DV(1), DV(2), DV(3), DV(4)} + offset3 CV(4) = f4{MV(l), MV(2), MV(3), MV(4), MV(5), MV(6), DV(1),
DV(2), DV(3), DV(4)} + 〇fiset4 ’ O 在步驟925中,可使用二次目標函數來計算最適化製程設定, 且目標偏差CVs可定義如下: t⑻={DV(I) - target CV⑻} 當n==4及1=4時,可獲得下述等式: t(l) = {DV(1) - target CV(1)} t(2) = {DV(2) - target CV(2)} t(3) = {DV(3) - target CV(3)} t(4) = {DV(4) - target CV(4)}. 可用於非線性規劃(nonlinear programming)之二次目標函數可 36 201005568 利用這些模型及目標項目定義如下:
Kn) 1 min W(1),W(2),MK(»-1),W(”) ㈣ / 且當n-4可獲得如下之簡化等式: -(@^£7(2) -)2^3 數學式1 min {fiiil^CF(l) MV{\),MV(2),A/K(n-\),UV(n\^^ ) VU + | …\心/、7 ί(4)]
Lf^} 數學式la 數學式2 a<MV(l)<b c < MV(2) < d e < MV(3) < f g < MV(4) < h 円及Ϊ 為取決於設備限制的常數。量測之CD及SWA可被 ^佳化器^元用來計算應,而最佳化器可使用非線性賴,以 數學式2最小化數學式丨a來測定蝕刻處方。,
最佳化工具箱(toolbox)來作此模擬。 _ LAB 夕在步,93〇中,吾人可使用由最佳化器所建立的其中一或更 多MV來定義製程處方,且可使用關於MV的新值來調整製程處 方。非線性最佳化可被用來處理與蝕刻處理相關聯之非線性關係 及限制,以藉由在每一操作之後調整處方而最大化多步驟蝕刻處 理的性能。 1^[資料可被前饋至最佳化器以計算操作變數(MV)的值。與各 控制變數(CV)相關聯之非線性模型方程式可使用各cv之目標 值。二次目標函數可利用加權係數來將目標函數中的各CV項目 ,定優先順序,且藉由使用非線性規劃之MV之限制來最小化或 最大化目標函數,MLMIMO中之最佳化器可用來測定蝕刻處方。 37 201005568 夕在步驟935中,吾人可使用經調整之處方來處理其中一或更 多基板。例如,經調整之處方可包含來自最佳化器之最適 、欠在步驟940中,吾人可獲得關於其中一或更多處理基板之量 測資料。例如,可在基板上之一或更多地點施行量測。在蝕刻處 理之每一者之後,可使用IM機台量測輸出cv。 在步驟945中,可過濾或證實所獲得之資料為合格。 在步驟950中,吾人可計算製程誤差。例如,可計算關於各 CV之誤差(實際輸出減去模型輸出)。 在步驟955中,吾人可計算回饋資料項目。例如,使用指數 =權移動平均法(E\VMA)m誤差可被帛來更新MLMlM〇模 虫IV插槽·。 ' 〇 在步驟960中,吾人可更新新的模型補償。這些補償 ^共給最佳化器單元以絲補償下—操作的擾動。此補償一直用 到1更新出來。此程序可施行至處理完最後的基板。 昧,當f求新的及/或額外之量測資料、觀測資料、及/或評估資料 子,可從基板上之一或更多地點獲得額外的MLMIM〇資料。例 二職性之格柵、週雛之陣列、及/或其他週期 性心構^置測結構,可在一或更多地點被量測。 h在fr干實施例中,歷史及/或即時資料可包含關於一或更多基 〇 ΐ僳· 圖像、基板相闕像、處軸咖像、損傷估計 同二考像、#測圖像、預測圖像、風險圖像、觀測圖像、 圖像、坪估圖像、微粒圖像、及/或可靠圖像。此外,若 =ΜΟ程序可基板圖像,這些基板圖像可: 或更多厚度圖像…或更多閘極相關^象、 關鍵尺寸(cd)圖像、一或更彡⑶輪細像、一或更多 „;或更目或更多結構相關圖像、—或更多侧壁角圖像、 次,夕不同見度圖像'或其等之結合。 板的β板圖像時,可不計算及/或需要關於整個基 曰叙、-板圖像可包含關於一或更多地點、—或更多晶片/ 曰曰’或更夕不同輯、及/或-或更多不同形狀區域的資料。 38 201005568 晶片/曰&繫、止商-η/士於位在基板其中—或更多區域中的 β曰片/曰曰粒,t造商可谷_不準確的處理及/估 二 相斐圖像中的數值不接近限制時,當圖像二良 數值接近限制時,可靠值會較低。此外 Η的 粒及’或不同的基板區域而加權(weighted]。例:,較:: 可靠權數(wdg⑽可被分配至與盆中m戈“的 地點相關聯的準確度計算及/或準確度先别所使用之評估 ❹ 鲁 心此!L與—或更多之處理相關聯的處理結果、量測、觀測、 =:::===子板的可靠觀圖i。 因此^上、十、:戶斤有此種修改應被包含在本發明的範嘴内。 、止、y你述明不應被視為限制本發明,並且,本發明之構 可對本發明 視為‘式限制本發明 月的軏圍係由隨附的請求項所界定。 * 【圖式簡單說明】 式,==::二=示=_示意圖 縣發明之實補之處理純之_方塊圖; 圖; 顯示根據本發明之實施例之姓刻子系統之例示方塊 方塊圖 =3A-3F顯不根據本發明之實施例之額外触刻子系統之例示 39 201005568 (pFET,Positive Channel Field Effect Transistor)結構之例示圖式. 圖5顯示根據本發明之實施例之例示多層/多輸入/多輸出 (MLMINO)模型最佳化及控制方法的簡化方塊圖; 圖6例示根據本發明之實施例之多層處理順序之簡化方塊圖; 圖7例示根據本發明之實施例之關於發展多層/多輸入/多蚣’ (MLM論)_之辦⑽; 夕輪出 圖8例示根據本發明之實施例之例示實驗設計(DOE)表; 圖9例示根據本發明之實施例之使用MLMIM〇之程序的執_ 時間流程圖;及 丁 圖10例示與圖9之執行時間流程圖相關聯之例示模型。 【主要元件符號說明】 1 圖案化光阻(PR)堆疊 2 PR層關鍵尺寸 3 PR層側壁角 4 第一參數組 5 閘堆疊 6 多晶石夕層關鍵尺寸 7 多多晶石夕層側壁角 8 第二參數組 I 〇第一整合量測機台控制器 II CD資料 12 SWA資料 15 前饋資料 16 資料項目 20 蝕刻機台控制器/模組 21 處方參數組 25 控制元件 27 預測之資料項目 30第二量測機台控制器/模組 201005568
31 CD資料 32 SWA資料 33 實際輸出 35 回饋資料 40 第一計算元件 41 第一目標參數組 42 輸出貧料項目 45 目標資料項目 50 MLMIMO模型最佳化器 51 限制參數 55 回饋資料項目 60 比較元件 65 誤差值 70 EWMA濾波器 71 第一濾、波輸出 72 第二濾波輸出 80 加權控制器/模組 81 動態改變之加權輸入 100 處理系統 105 基板 110 微影子系統 111 摩馬合/傳輸 112 傳輸/儲存元件 113 處理元件 114 控制器 115 評估元件 120 曝光機子系統 121 麵合/傳輸 122 傳輸/儲存元件 123 處理兀件 41 201005568 124控制器 125 評估元件 130蝕刻子系統 131耦合/傳輸 132傳輸/儲存元件 133 處理元件 134控制器 135 評估元件 140 沉積子系統 141耦合/傳輸 142傳輸/儲存元件 143 處理元件 144控制器 145 評估元件 150檢測子系統 151耦合/傳輸 152傳輸/儲存元件 153 處理元件 154控制器 155 評估元件 160 量測子系統 161耦合/傳輸 162傳輸/儲存元件 163 處理元件 164控制器 165 評估元件 170傳輸子系統 Π4傳輸元件 175傳輸軌道 176傳輸軌道 201005568 177傳輸軌道 180製造執行系統 181資料傳輸子系統 190系統控制器 191資料傳輸子系統 195記憶/資料庫 200A蝕刻子系統 200B蝕刻子系統 200C蝕刻子系統 200D蝕刻子系統 0 200E蝕刻子系統 200F 蝕刻子系統 210電漿處理室 220基板載台 221 下電極 225 基板 226背側氣體輸送系統 228溫度控制系統 229基座 230無線射頻產生器 ® 232阻抗匹配網路 234光學裝置 235第二無線射頻產生器 236電力量測裝置 237 阻抗匹配網路 240 氣體注入系統 241 氣體注入充氣腔 242多孔噴淋頭氣體注入板 245 處理區域 250感測器 43 201005568 255 控制器 257 真空幫浦系統 258 渦輪分子式真空幫浦 259 閘閥 260 磁場糸統 270 上電極 272 無線射頻產生器 274 阻抗匹配網路 280 感應線圈 282 無線射頻產生器 284 阻抗匹配網路 285 表面波電漿源 286 微波產生器 287 阻抗匹配網路 300A #刻子系統 300B 1虫刻子系統 300C #刻子系統 300D 蝕刻子系統 300E 蝕刻子系統 300F 名虫刻子系統 305 直流電極 306 直流電源 400a nFET結構之閘堆疊 400b pFET結構之閘堆疊 405a 塊材矽層 405b 塊材矽層 410a 氧化物層 410b 氧化物層 415a 絕緣層上覆矽層 415b 絕緣層上覆矽層
44 201005568 420a閘氧化物層 420b閘氧化物層 430a第一多晶矽閘極結構 430b第二多晶矽閘極結構 431a 第一側壁角 431b第二側壁角 432a第一底部關鍵尺寸
432b第二底部關鍵尺寸 440a摻雜之多晶石夕層 445a氮化物覆蓋層 445b氮化物覆蓋層 450a氧化物層 45〇b氧化物層 455a底部抗反射塗佈層 455b底部抗反射塗佈層 700程序 出(MLMIMO)模型分析之多 710識別關於多層/多輪入/多輸 層處理順序 715測定將被控制的輸出變數(cv) 720測定操作變的候選變數 725施行實驗設計(D0E)程序以分析MLMIM〇模型 730產生具有二次項及交互作用項的非線性模型 735使巧DOE資料來定義穩態線性增益矩陣 74Θ計算相關增益陣列(rga) 745使用RGA中的成對規則來研究mv之最佳組合 乃0使用Niededinski穩定定理及奇異值分解(SVD)來研究 系統穩定性及調節性 755 900 使用貫際之設備/性能限制來最佳化MLMIMO模型 程序 910量測進入之晶圓 45 201005568 915濾波及/或認可資料 920計算蝕刻中央偏差、中央SWA偏差、邊緣蝕刻偏差、 邊緣SWA偏差 925計算最佳化製程設定 930調整處方 935處理晶圓 940獲得量測資料 945過濾/認可資料 950計算製程誤差 955施行EWMA加權誤差計算 960更新新的模型補償 © ❹ 46

Claims (1)

  1. 201005568 七、申請專利範圍: 1·一種建立多層/多輸入/多輸出(MLMJMO, Multi-Layer/Multi-Input/MultU〇utput)模型的方法,包含以下步驟: 決定一第一多層處理順序,以在一或更多多層閘極結構中產 生一或更多最終多晶矽-閘極結構,其中該第一多層處理順序包 含:一或更多第一量測程序、一或更多部分蝕刻(PE , Partial_Etch) 程序、一或更多完全蝕刻(F-E,Final-Etch)程序、及一或更多第二 量測程序,其中最終多晶矽閘極結構包含至少一側壁角(SWA, sidewall angle)及至少一閘極寬度,該SWA係介於約85度及約92 度之間,而該閘極寬度介於約2〇奈米及約50奈米之間; © 選擇一用以模擬該第一多層處理順序的第一多層/多輸入/多 輸出(MLMIMO)模型’該第一 mlmIMO包含複數個控制變數 (CV ’ Controlled Variable)、複數個操作變數(MV,Manipulated Variable)、及複數個擾動變數(DV,Disturba〇ceValiable); 決定與該第一 MLMIM0相關聯的一第一 dV組,其中’該第 一量測程序其中一者以上係用以提供一或更多該D V,一或更多該 DV係藉由先前之程序或膜層加以決定; 決定與該第一 MLMIM0相關聯的一第一 Cv組及與該cv相 關聯的範圍,其中該CV包含與該最終多晶矽閘極結才集相關聯的 _ 一或更多關鍵尺寸(CD,critical dimension),及與該最終多晶矽閘 極結構相關聯的一或更多SWA,其中,該第二量測程序其中—者 以上係用以提供其中一或更多該第一 Cv組; 使用一或更多候選處方,以建立與該第一 相關聯的 二第了MV組,其令該第一MV組包含用以在一基板被處理時進 行改變的一或更多晶圓内操作變數(WiW_MV,Within_Wafci> Manipulated Variable),及用以在該基板已被處理後進行改變的— 或更多晶圓間操作變數(W2W-MV ’ WafemfcfManipuhted Variable); 上分析該MLMIM0模型,其中選擇了一或更多統計模型,且 於该CV及該MV提供一或更多範圍,且施行一或更多統計分析 47 201005568 程序以建立實驗設計(DOE,Design of Experiments)資料,其中該 統計模型係用以使一或更多MV與一或更多CV相關聯; 藉由使用一最小平方技術及統計軟體,以建立具有二次及交 互作用項的一或更多非線性模型; 使用該DOE資料以產生一或更多穩態線性增益矩陣(G),其 中各增益矩陣包含一第一數目之MV及一第二數目之CV ; 使用一或更多該穩態線性增益矩陣(G),以計算一或更多相關 增益陣列(RGA,Relative Gain Arrays),其中 i?= G ® (G^f 而 ® 表示 元素對元素(element-by-element)的乘法運算; 使用一或更多配對規則以最佳化一或更多MV組;
    決定關於該MLMIM0的一或更多穩定度條件;及 使用與用以施行該第一多層處理順序之一第一處理機台組相 關聯的性能參數來最佳化該MLMIM0。 2.如申請專利範圍第1項之建立多層/多輸入/多輸出 (MLMIM0,Multi-Layer/Multi-Input/Multi-Output)模型的方法,其 中該第一量測程序包含: dCVl 增益(開迴路) 增益(閉迴路) dMV 二 dCVi" ^MV]
    其中卜n…..,n&j=l,2,….·,n ’且其中〇CT'/谢匕一為",與 之間的一開迴路增益,而(3(^/3嫌;)(;^為一閉迴路增益。 3. 如申請專利範圍第1項之建立多層/多輸入/多輸出 (MLMIM0,Multi-Layer/Multi-Input/Multi-Output)模型的方法,其 中該一或更多穩定度條件係使用一 Niederlinski穩定定理加以決 定,其中: ru" /=1 其中G為一增益矩陣而A為該增益矩陣的對角元素。 4. 如申凊專利範圍第1項之建立多層/多輸入/多輪出 48 201005568 (MLMIMO,Multi-Layer/Multi-Input/Multi-Output)模型的方法,其 中可使用下列等式來決定增益矩陣(G)的條件: G=USVT 其中G、U、S、及V為使用奇異值分解(SVD,singular value decomposition)所決定之矩陣。 5.如申请專利範圍弟1項之建立多層/多輸入/多輸出 (MLMIMO,Multi-Layer/Multi-Input/Multi-Output)模型的方法,其 中該第一量測程序包含: /' 曰使用一第一量測子系統,以獲得關於一第一評估基板的第一 量測資料,該第一量測資料包含關於與該最終多晶矽閘極結構相 關聯之一光阻層中之一第一光阻特徵部之整合量測(IM,Int ted Metrology)資料。 6. 如申請專利範圍第i項之建立多層/多輸入/多輪出 (MLMIM0,Multi-Layer/Multi-Input/Multi-Output)模型的方法,其 中該^-量測資料包含:厚度資料、上⑶資料、下CD資料、側 、或關於-中央區域中之—或更多第—光阻特徵部及關 方;一邊緣區域中之一或更多第二光阻特徵部之光學資料。 7. 如申請專利範圍第1項之建立多層/多輸入/多輸出 ^通MO,Multi-Layei她Iti-Input/Multi-Output)模型的方法,更
    包含: 描^用搞S至帛—韻刻子系統之一傳輸子系統,將一第-基 =專輸至該第-侧子祕中之—第—侧腔室,其中該傳輸系 、糸,=止—氧化層在該傳輪步驟期間形成於該第-基板上Ϊ 其4 ir第一柯程序期間,建立—第一基板載台溫度,該第一 度及攝氏7g度之間,且其中該第- 在一第一 F_E程序期間,建立一第二基板載台溫 49 201005568 8.如申請專利範圍第7項之建立多層/多輸入/多輸出 (MLMIMO,Multi-Layer/Multi-Input/Multi-Output)模^ 的方法,更 包δ . 在該第一 Ρ-Ε程序期間及該第一 F_E程序期間,於 MHz至約200 MHz之一第一頻率範圍及自約1〇〇瓦至約7⑻瓦 一第一功率範圍内操作一第一無線射頻(RF,radi〇frequen ' 其中該第一 RF源係耦合至位於該第一蝕刻腔室中之—上電極 1及 在δ玄第一 P-E程序期間及該第一 F-E程序期間,於自約^工 MHz至約100 MHZ之一第二頻率範圍及自約1〇瓦至約η。瓦的
    一第二功率範圍内操作一第二RF源,其中該第二财源係耦合至 位於該第一餘刻腔室中之一下電極。 口 9·如申請專利範圍第7項之建立多層/多輸入/多輸出 (MLMIM0 ’ Multi-Layer/Multi-Input/Multi-Output)模型的方法,更 包含: 在该弟一 P-E程序期間,提供一第一處理氣體進入該第一|虫 刻腔至内,其中該第一處理氣體包含CF4及02,一 CF4流速在約 10 seem及約50 seem之間變化,且一第一 〇2流速在約3 sccm及 約20 seem之間變化’其中該第一蝕刻子系統包含用以在該第一 P-E程序期間提供該第一處理氣體至該第一蚀刻腔室之一氣體供 應系統;及
    在該第一 F-E程序期間’提供一第二處理氣體進入該第一蝕 刻腔室内,其中該第二處理氣體包含HBr及02,一 HBr流速在約 20 seem及約600 seem之間變化,且一第一 〇2流速在約2 seem及 約10 seem之間變化,其中該氣體供應系統係用以在該第一 F-E 程序期間提供該第二處理氣體至該第一蝕刻腔室。 10.如申請專利範圍第7項之建立多層/多輸入/多輸出 (MLMIMO,Multi-Layer/Multi-Input/Multi-Output)模型的方法,更 包含: 在該第一 P-E程序期間,將該第一蝕刻腔室中的一第一壓力 控制在約5 mTorr及約40 mTorr之間;及 50 201005568 在该第一 F-E程序期間,將該第一姓刻腔室中的—第二壓力 控制在約5 mTorr及約40 mTorr之間,其中該第一姓刻子系統包 含用以控制該第一餘刻腔室中之該第一壓力及該第二壓力^一真 空幫浦糸統。 11. 如申請專利範圍第1項之建立多層/多輸入/多輸出 (MLMIMO ’ Multi-Layer/Multi-Input/Multi-Output)模型的方法’其 中該DOE資料包含來自一電漿處理腔室之内在感測器及來自該電 漿處理腔室之外在感測器之性能資料,其中該性能資料包含:氦 背側氣體壓力資料、氦背侧流量資料、靜電夾持(ESC,eleetTQstaUe damping)電壓資料、ESC電流資料、基板載台溫度資料、冷卻劑 ❹溫度資料、上電極(UEL,upper electrode)溫度資料、前饋即功率 資料、反射RF功率資料、RJF自感(self-induced)直流偏壓資料、 RF峰間(peak-to-peak)電壓資料、室壁溫度資料、處理氣體流率資 料處理氣體分壓貧料、腔室壓力資料、匹配網路資料、聚焦環 厚度資料、RF小時資料、或聚焦環的rf小時資料、或其任'何組 ^ ° '、 、、 12. 如申請專利範圍第1項之建立多層/多輸入/多輪出 (MLMIMO ’ Multi-Layer/Multi-Input/Multi-Output)模型的方法,其 中該D0E資料包含··性能光學資料、終點偵測器(EPD,EndPok't Detector)資料、電流及/或電壓探針資料、電力表資料、頻譜分析 儀資料、RF匹配分析儀資料、時域(time domain)資料、或&域 (frequency domain)資料、或其任何組合。 、 13. 如申請專利範圍第1項之建立多層/多輸入/多輸出 (MLMIMO , Multi-Layer/Multi-Input/Multi-Output)模型的方法,其 中該多層閘極結構包含:pFET結構、nFET結構、三閘極社 及FinFET結構。 、° 14· 一種使用多層/多輸入/多輸出(MLMIMO)模型在複數個基 板上產生閘極結構的方法,該方法包含以下步驟: a)接收一第一基板組及相關基板資料,該基板資料包 歷史資料;' 51 201005568 b) 使用與在一或更多進入(incoming)之基板上之一圖案化光阻 層相關聯之即時整合量測(IM ’ integrated metrology)資料來建立一 第一數目(1)之擾動變數DV(1) ’其中該即時IM資料包含:關鍵尺 寸(CD)資料、侧壁角(SWA)資料、厚度資料、光阻資料、barc 資料、晶圓基板資料、及來自各進入基板上之該圖案化光阻層中 之多重地點之繞射信號資料,其中1為大於2之第一整數;曰 c) 建立一第二數目(m)之操作變數MV(m),其中m為大於2 之第二整數; 、 d) 建立一第三數目(n)之控制變數,其中n為大於2之第三整 數且CV⑻定義如下: — CV(n) = fn{MV(1),……MV(m-1), MV(m), DV(1), ....DV(I-1) 〇V(l)) © + offsets, , ^ e) 使用二次目標函數來計算最適化製程設定,且目標偏差 定義如下: t(n) = {DV(n)-目標 cv(n)}; f) 計算複數個操作變數MV(1); g) 使用在非線性規劃期間所建立之一或更多該計算出之操作 變數MV⑴來定義一調整的製程處方; h) 使用該調整的製程處方來處理一或更多該第一基板組; 0獲得關於其中一或更多該處理基板之額外量測資料,其中獲 得及過濾新的控制變數(CV)資料; 又 〇 j) 使用量測之控制變數資料與預測之控制變數資料之間的差 異來計算一或更多製程誤差; k) 計算回饋資料項,其中係使用一指數加權移動平均 (EWMA ’ exponentially weighted moving averages)滤波器而以誤差 來更新該〇炎; l) 在一最佳化器單元中更新該模型;及 m) 使用在該第一基板組中之各基板來重複步驟a) — 1)。 15.如申請專利範圍第14項之使用多層/多輸入/多輸出 (MLMIMO)模型在複數個基板上產生閘極結構的方法,其中該複 52 201005568 ===== 储蛾収義如下之二—數施行非 ((2) )2w2... rifc^CF")S—, + (iMz^)2w} t(n) jS t{n -1) 其中%為加權係數,且該操作變數MV。)具有上及下閥值 Cthresholdvalue:),其定義如下列之不等式限制7 lower __ threshold __ value(l)< MV(l)< upper __ threshold _ value(l) l議 lower — threshold 一 valuemMvmupper — thresh〇M 一 W7/從⑺係使用複數個設備限制加以決定。 — 15. 如申請專利細第M項之使用多層 复數個基板上產生問極結構的方法其中該加 榷%係基方;各CV項之回饋誤差而進行動態更新。 16. 如申請專利範圍第14項之使用多 或更多操作變數超出一可允_ ” 定出-或更多控制變數目度㈣一^ 17. 如申請專利範圍第14項之使用 (^^觸)_在複數錄板上產生祕輸出 整之製程處方係使用處理狀態資料加以定義。方法’其中㈣ 18. 如申请專利範圍第μ項之使 (MLMIMO)模型在複數個基板上產5極^=入/多輸出η 整之製程處方係使用腔室狀態資料;Wx定義。、方法’其中㈣ 八、圖式: 53
TW098110693A 2008-03-31 2009-03-31 多層/多輸入/多輸出模型及其使用方法 TWI409658B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US12/059,624 US7967995B2 (en) 2008-03-31 2008-03-31 Multi-layer/multi-input/multi-output (MLMIMO) models and method for using

Publications (2)

Publication Number Publication Date
TW201005568A true TW201005568A (en) 2010-02-01
TWI409658B TWI409658B (zh) 2013-09-21

Family

ID=41115535

Family Applications (1)

Application Number Title Priority Date Filing Date
TW098110693A TWI409658B (zh) 2008-03-31 2009-03-31 多層/多輸入/多輸出模型及其使用方法

Country Status (5)

Country Link
US (1) US7967995B2 (zh)
JP (2) JP2009246368A (zh)
KR (1) KR101568879B1 (zh)
CN (1) CN101551834B (zh)
TW (1) TWI409658B (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI553728B (zh) * 2014-07-30 2016-10-11 環球晶圓股份有限公司 邊緣氧化層剝除裝置及晶圓邊緣氧化層的剝除方法
TWI754195B (zh) * 2018-12-27 2022-02-01 大陸商上海商湯智能科技有限公司 圖像處理方法及其裝置、電子設備及電腦可讀儲存媒體
TWI783038B (zh) * 2017-09-14 2022-11-11 南韓商三星電子股份有限公司 用於氣體流動模擬的計算系統及方法

Families Citing this family (48)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7967995B2 (en) * 2008-03-31 2011-06-28 Tokyo Electron Limited Multi-layer/multi-input/multi-output (MLMIMO) models and method for using
US8019458B2 (en) * 2008-08-06 2011-09-13 Tokyo Electron Limited Creating multi-layer/multi-input/multi-output (MLMIMO) models for metal-gate structures
US8233568B2 (en) * 2008-09-05 2012-07-31 Rajendra Kumar Adaptive receiver for high-order modulated signals over fading channels
NL2003919A (en) * 2008-12-24 2010-06-28 Asml Netherlands Bv An optimization method and a lithographic cell.
US8538572B2 (en) * 2009-06-30 2013-09-17 Lam Research Corporation Methods for constructing an optimal endpoint algorithm
US8618807B2 (en) * 2009-06-30 2013-12-31 Lam Research Corporation Arrangement for identifying uncontrolled events at the process module level and methods thereof
US8295966B2 (en) * 2009-06-30 2012-10-23 Lam Research Corporation Methods and apparatus to predict etch rate uniformity for qualification of a plasma chamber
US8473089B2 (en) * 2009-06-30 2013-06-25 Lam Research Corporation Methods and apparatus for predictive preventive maintenance of processing chambers
US8983631B2 (en) * 2009-06-30 2015-03-17 Lam Research Corporation Arrangement for identifying uncontrolled events at the process module level and methods thereof
US8271121B2 (en) * 2009-06-30 2012-09-18 Lam Research Corporation Methods and arrangements for in-situ process monitoring and control for plasma processing tools
US8108805B2 (en) * 2010-03-26 2012-01-31 Tokyo Electron Limited Simplified micro-bridging and roughness analysis
US8846451B2 (en) * 2010-07-30 2014-09-30 Applied Materials, Inc. Methods for depositing metal in high aspect ratio features
US8407632B2 (en) * 2010-09-14 2013-03-26 International Business Machines Corporation Detecting dose and focus variations during photolithography
US8489218B2 (en) * 2010-10-15 2013-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Chamber match using important variables filtered by dynamic multivariate analysis
CN102468198B (zh) * 2010-11-01 2014-07-16 北京北方微电子基地设备工艺研究中心有限责任公司 刻蚀残留的检测方法和系统、谱线模型的建立方法和系统
US8809199B2 (en) * 2011-02-12 2014-08-19 Tokyo Electron Limited Method of etching features in silicon nitride films
US8501499B2 (en) 2011-03-28 2013-08-06 Tokyo Electron Limited Adaptive recipe selector
US8532796B2 (en) * 2011-03-31 2013-09-10 Tokyo Electron Limited Contact processing using multi-input/multi-output (MIMO) models
US8664125B2 (en) * 2011-12-23 2014-03-04 Tokyo Electron Limited Highly selective spacer etch process with reduced sidewall spacer slimming
US20130193513A1 (en) * 2012-02-01 2013-08-01 International Business Machines Corporation Multi-Gate Field Effect Transistor with a Tapered Gate Profile
US8968588B2 (en) 2012-03-30 2015-03-03 Tokyo Electron Limited Low electron temperature microwave surface-wave plasma (SWP) processing method and apparatus
US9301383B2 (en) 2012-03-30 2016-03-29 Tokyo Electron Limited Low electron temperature, edge-density enhanced, surface wave plasma (SWP) processing method and apparatus
DE102013106083B4 (de) * 2013-06-12 2022-02-10 Infineon Technologies Ag Verfahren und Vorrichtung zum Bestimmen eines Parameters eines Modells einer technischen Einrichtung
CN103354212B (zh) * 2013-06-25 2016-04-06 上海华力微电子有限公司 测算接触孔与多晶硅栅极对准偏差值的方法
CN103346100B (zh) * 2013-06-27 2016-04-20 上海华力微电子有限公司 检测接触孔与多晶硅栅极对准度的方法
JP6239294B2 (ja) * 2013-07-18 2017-11-29 株式会社日立ハイテクノロジーズ プラズマ処理装置及びプラズマ処理装置の運転方法
US10386829B2 (en) 2015-09-18 2019-08-20 Kla-Tencor Corporation Systems and methods for controlling an etch process
JP6623943B2 (ja) * 2016-06-14 2019-12-25 東京エレクトロン株式会社 半導体装置の製造方法、熱処理装置及び記憶媒体。
US10269545B2 (en) * 2016-08-03 2019-04-23 Lam Research Corporation Methods for monitoring plasma processing systems for advanced process and tool control
KR102159894B1 (ko) * 2016-11-30 2020-09-24 도쿄엘렉트론가부시키가이샤 플라스마 처리 장치
KR20190048491A (ko) * 2017-10-31 2019-05-09 삼성전자주식회사 식각 효과 예측 방법 및 입력 파라미터 결정 방법
US10388652B2 (en) 2017-11-14 2019-08-20 Globalfoundries Inc. Intergrated circuit structure including single diffusion break abutting end isolation region, and methods of forming same
US10157796B1 (en) 2017-11-14 2018-12-18 Globalfoundries Inc. Forming of marking trenches in structure for multiple patterning lithography
US10090382B1 (en) 2017-11-14 2018-10-02 Globalfoundries Inc. Integrated circuit structure including single diffusion break and end isolation region, and methods of forming same
US10403548B2 (en) 2017-11-14 2019-09-03 Globalfoundries Inc. Forming single diffusion break and end isolation region after metal gate replacement, and related structure
US10337852B1 (en) * 2017-12-18 2019-07-02 Kla-Tencor Corporation Method for measuring positions of structures on a substrate and computer program product for determining positions of structures on a substrate
US10572697B2 (en) 2018-04-06 2020-02-25 Lam Research Corporation Method of etch model calibration using optical scatterometry
US11921433B2 (en) 2018-04-10 2024-03-05 Lam Research Corporation Optical metrology in machine learning to characterize features
US11624981B2 (en) 2018-04-10 2023-04-11 Lam Research Corporation Resist and etch modeling
CN112543892A (zh) 2018-07-26 2021-03-23 Asml荷兰有限公司 针对模拟系统的用于确定晶片的层的蚀刻轮廓的方法
WO2020105517A1 (ja) * 2018-11-21 2020-05-28 東京エレクトロン株式会社 基板処理の条件設定支援方法、基板処理システム、記憶媒体及び学習モデル
TWI704494B (zh) * 2018-12-28 2020-09-11 技嘉科技股份有限公司 處理器的效能優化方法以及使用其的主機板
WO2021102223A1 (en) * 2019-11-20 2021-05-27 Nanotronics Imaging, Inc. Securing industrial production from sophisticated attacks
CN110849478A (zh) * 2019-11-28 2020-02-28 陕西师范大学 一种阿基米德螺线的圆二色性光谱的调节装置
EP3839632A1 (en) * 2019-12-20 2021-06-23 ASML Netherlands B.V. Method for determining a measurement recipe and associated apparatuses
CN113302722B (zh) 2019-12-23 2023-12-08 株式会社日立高新技术 等离子处理方法以及等离子处理中使用的波长选择方法
JP7520455B2 (ja) * 2020-07-22 2024-07-23 株式会社ディスコ ウェーハの加工方法
CN114063479B (zh) * 2021-11-12 2024-01-23 华科电子股份有限公司 应用于蚀刻机的多路输出模块的射频电源控制方法及系统

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS58219601A (ja) * 1982-06-14 1983-12-21 Hitachi Ltd ドライエツチング制御方式
US5402367A (en) * 1993-07-19 1995-03-28 Texas Instruments, Incorporated Apparatus and method for model based process control
JP3226069B2 (ja) * 1993-10-04 2001-11-05 キヤノン株式会社 半導体積層構造および半導体光素子
US5354417A (en) * 1993-10-13 1994-10-11 Applied Materials, Inc. Etching MoSi2 using SF6, HBr and O2
US5710653A (en) * 1995-03-06 1998-01-20 Fiber Optic Network Solutions Corp. Linear multi-output optical transmitter system
JPH08250384A (ja) * 1995-03-14 1996-09-27 Hitachi Ltd 製造装置及び製造条件制御方法
US5665203A (en) * 1995-04-28 1997-09-09 International Business Machines Corporation Silicon etching method
JP3551560B2 (ja) * 1995-07-18 2004-08-11 ソニー株式会社 Mosトランジスタのゲート電極加工方法
US5796074A (en) * 1995-11-28 1998-08-18 Applied Materials, Inc. Wafer heater assembly
US6221746B1 (en) * 1998-12-30 2001-04-24 United Microelectronics Corp. Method for forming a poly gate structure
EP1202144A1 (en) * 2000-10-25 2002-05-02 Semiconductor300 GmbH & Co KG Method for controlling a process line in semiconductor device manufacturing
JP3708031B2 (ja) * 2001-06-29 2005-10-19 株式会社日立製作所 プラズマ処理装置および処理方法
US6708075B2 (en) * 2001-11-16 2004-03-16 Advanced Micro Devices Method and apparatus for utilizing integrated metrology data as feed-forward data
JP3799314B2 (ja) * 2002-09-27 2006-07-19 株式会社日立ハイテクノロジーズ エッチング処理装置およびエッチング処理方法
US6901576B2 (en) * 2002-11-20 2005-05-31 International Business Machines Corporation Phase-width balanced alternating phase shift mask design
JP2007502547A (ja) * 2003-05-30 2007-02-08 東京エレクトロン株式会社 High−k誘電材料をエッチングする方法とシステム。
JP4220335B2 (ja) * 2003-08-29 2009-02-04 株式会社日立ハイテクノロジーズ 立体形状測定装置
US7158851B2 (en) * 2003-06-30 2007-01-02 Tokyo Electron Limited Feedforward, feedback wafer to wafer control method for an etch process
US7247912B2 (en) * 2004-01-05 2007-07-24 International Business Machines Corporation Structures and methods for making strained MOSFETs
US7740737B2 (en) * 2004-06-21 2010-06-22 Tokyo Electron Limited Plasma processing apparatus and method
US7292906B2 (en) 2004-07-14 2007-11-06 Tokyo Electron Limited Formula-based run-to-run control
US7209798B2 (en) * 2004-09-20 2007-04-24 Tokyo Electron Limited Iso/nested cascading trim control with model feedback updates
US7430672B2 (en) * 2004-10-27 2008-09-30 Intel Corporation Method and apparatus to monitor power consumption of processor
JP4675266B2 (ja) * 2006-03-16 2011-04-20 東京エレクトロン株式会社 基板処理装置の処理結果の予測方法及び予測装置
US7916284B2 (en) * 2006-07-18 2011-03-29 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
WO2008011440A2 (en) * 2006-07-20 2008-01-24 Sandisk Corporation Floating gate memory with compensating for coupling during programming
JP5023614B2 (ja) * 2006-08-24 2012-09-12 パナソニック株式会社 半導体チップの製造方法及び半導体ウエハの処理方法
US7888267B2 (en) * 2008-02-01 2011-02-15 Tokyo Electron Limited Method for etching silicon-containing ARC layer with reduced CD bias
US7967995B2 (en) * 2008-03-31 2011-06-28 Tokyo Electron Limited Multi-layer/multi-input/multi-output (MLMIMO) models and method for using
US7894927B2 (en) * 2008-08-06 2011-02-22 Tokyo Electron Limited Using Multi-Layer/Multi-Input/Multi-Output (MLMIMO) models for metal-gate structures
US8019458B2 (en) * 2008-08-06 2011-09-13 Tokyo Electron Limited Creating multi-layer/multi-input/multi-output (MLMIMO) models for metal-gate structures
US20100081285A1 (en) * 2008-09-30 2010-04-01 Tokyo Electron Limited Apparatus and Method for Improving Photoresist Properties
US8183062B2 (en) * 2009-02-24 2012-05-22 Tokyo Electron Limited Creating metal gate structures using Lithography-Etch-Lithography-Etch (LELE) processing sequences

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI553728B (zh) * 2014-07-30 2016-10-11 環球晶圓股份有限公司 邊緣氧化層剝除裝置及晶圓邊緣氧化層的剝除方法
TWI783038B (zh) * 2017-09-14 2022-11-11 南韓商三星電子股份有限公司 用於氣體流動模擬的計算系統及方法
TWI754195B (zh) * 2018-12-27 2022-02-01 大陸商上海商湯智能科技有限公司 圖像處理方法及其裝置、電子設備及電腦可讀儲存媒體

Also Published As

Publication number Publication date
CN101551834B (zh) 2012-08-22
JP5636486B2 (ja) 2014-12-03
JP2009246368A (ja) 2009-10-22
CN101551834A (zh) 2009-10-07
US20090242513A1 (en) 2009-10-01
KR20090104770A (ko) 2009-10-06
JP2014027301A (ja) 2014-02-06
US7967995B2 (en) 2011-06-28
KR101568879B1 (ko) 2015-11-12
TWI409658B (zh) 2013-09-21

Similar Documents

Publication Publication Date Title
TW201005568A (en) Multi-layer/multi-input/multi-output (MLMIMO) models and method for using
TWI417754B (zh) 利用多層多輸入多輸出模型以產生金屬閘極結構之方法
TWI459168B (zh) 可調適之處方選擇器
US7939450B2 (en) Method and apparatus for spacer-optimization (S-O)
TWI428982B (zh) 可切換中性射束源
US7713758B2 (en) Method and apparatus for optimizing a gate channel
TWI409657B (zh) 閘極最佳化評估程式庫之產生方法與儲存媒體
US7765077B2 (en) Method and apparatus for creating a Spacer-Optimization (S-O) library
US8019458B2 (en) Creating multi-layer/multi-input/multi-output (MLMIMO) models for metal-gate structures
US8532796B2 (en) Contact processing using multi-input/multi-output (MIMO) models
US20100081285A1 (en) Apparatus and Method for Improving Photoresist Properties
WO2005103997A2 (en) Method and system for run-to-run control

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees