TW200901293A - Improved method for producing a copper layer on a substrate in a flat panel display manufacturing process - Google Patents

Improved method for producing a copper layer on a substrate in a flat panel display manufacturing process Download PDF

Info

Publication number
TW200901293A
TW200901293A TW097110501A TW97110501A TW200901293A TW 200901293 A TW200901293 A TW 200901293A TW 097110501 A TW097110501 A TW 097110501A TW 97110501 A TW97110501 A TW 97110501A TW 200901293 A TW200901293 A TW 200901293A
Authority
TW
Taiwan
Prior art keywords
copper
layer
substrate
solution
liter
Prior art date
Application number
TW097110501A
Other languages
English (en)
Inventor
Akinobu Nasu
Shyuan-Fang Chen
Wen-Jin Li
Yi-Tsung Chen
Original Assignee
Air Liquide
Ind Tech Res Inst
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Air Liquide, Ind Tech Res Inst filed Critical Air Liquide
Publication of TW200901293A publication Critical patent/TW200901293A/zh

Links

Classifications

    • GPHYSICS
    • G02OPTICS
    • G02FOPTICAL DEVICES OR ARRANGEMENTS FOR THE CONTROL OF LIGHT BY MODIFICATION OF THE OPTICAL PROPERTIES OF THE MEDIA OF THE ELEMENTS INVOLVED THEREIN; NON-LINEAR OPTICS; FREQUENCY-CHANGING OF LIGHT; OPTICAL LOGIC ELEMENTS; OPTICAL ANALOGUE/DIGITAL CONVERTERS
    • G02F1/00Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics
    • G02F1/01Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour 
    • G02F1/13Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour  based on liquid crystals, e.g. single liquid crystal display cells
    • G02F1/133Constructional arrangements; Operation of liquid crystal cells; Circuit arrangements
    • G02F1/1333Constructional arrangements; Manufacturing methods
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K3/00Apparatus or processes for manufacturing printed circuits
    • H05K3/10Apparatus or processes for manufacturing printed circuits in which conductive material is applied to the insulating support in such a manner as to form the desired conductive pattern
    • H05K3/18Apparatus or processes for manufacturing printed circuits in which conductive material is applied to the insulating support in such a manner as to form the desired conductive pattern using precipitation techniques to apply the conductive material
    • H05K3/181Apparatus or processes for manufacturing printed circuits in which conductive material is applied to the insulating support in such a manner as to form the desired conductive pattern using precipitation techniques to apply the conductive material by electroless plating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1633Process of electroless plating
    • C23C18/1646Characteristics of the product obtained
    • C23C18/165Multilayered product
    • C23C18/1651Two or more layers only obtained by electroless plating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1633Process of electroless plating
    • C23C18/1689After-treatment
    • C23C18/1692Heat-treatment
    • C23C18/1696Control of atmosphere
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/18Pretreatment of the material to be coated
    • C23C18/1803Pretreatment of the material to be coated of metallic material surfaces or of a non-specific material surfaces
    • C23C18/1824Pretreatment of the material to be coated of metallic material surfaces or of a non-specific material surfaces by chemical pretreatment
    • C23C18/1837Multistep pretreatment
    • C23C18/1841Multistep pretreatment with use of metal first
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/18Pretreatment of the material to be coated
    • C23C18/20Pretreatment of the material to be coated of organic surfaces, e.g. resins
    • C23C18/28Sensitising or activating
    • C23C18/30Activating or accelerating or sensitising with palladium or other noble metal
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/31Coating with metals
    • C23C18/38Coating with copper
    • C23C18/40Coating with copper using reducing agents
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K3/00Apparatus or processes for manufacturing printed circuits
    • H05K3/22Secondary treatment of printed circuits
    • H05K3/24Reinforcing the conductive pattern
    • H05K3/244Finish plating of conductors, especially of copper conductors, e.g. for pads or lands
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K2201/00Indexing scheme relating to printed circuits covered by H05K1/00
    • H05K2201/03Conductive materials
    • H05K2201/0332Structure of the conductor
    • H05K2201/0335Layered conductors or foils
    • H05K2201/0344Electroless sublayer, e.g. Ni, Co, Cd or Ag; Transferred electroless sublayer
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K2203/00Indexing scheme relating to apparatus or processes for manufacturing printed circuits covered by H05K3/00
    • H05K2203/07Treatments involving liquids, e.g. plating, rinsing
    • H05K2203/0703Plating
    • H05K2203/0716Metallic plating catalysts, e.g. for direct electroplating of through holes; Sensitising or activating metallic plating catalysts
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K2203/00Indexing scheme relating to apparatus or processes for manufacturing printed circuits covered by H05K3/00
    • H05K2203/07Treatments involving liquids, e.g. plating, rinsing
    • H05K2203/0703Plating
    • H05K2203/072Electroless plating, e.g. finish plating or initial plating

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Nonlinear Science (AREA)
  • Mathematical Physics (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Optics & Photonics (AREA)
  • Chemically Coating (AREA)
  • Manufacturing Of Printed Wiring (AREA)
  • Devices For Indicating Variable Information By Combining Individual Elements (AREA)
  • Liquid Crystal (AREA)

Description

200901293 九、發明說明: 【相關申請案之交互參照】 此申請案聲明2007年4月4曰提出申請之美國暫時性 專利申請案第iO/PlO’OW號之優先權,兹將該案以引用方 式納入本文中。 背景 【發明所屬之技術領域】 卜 本發明一般係關於TFT-LCD平板顯示器製造之領域。 I 更特定言之,本發明係關於使銅内聯層沉積於基板上之方 法。 【先前技術】 眾多触刻法被用以製造電晶體。但是,在大部分的情 況中多數的銅物種為非揮發性及/或蝕刻氣體和副產物^ 腐蝕性,因此銅之乾蝕刻並不有效。在半導體工業中,已 發展金屬镶敌法’其中,先製得通孔,之後將銅藉合併的 乾(喷濺)和濕法(電鍍)以填入孔中。在平板顯示器工業中, 將銅之使用視為如同在半導體工業中降低訊號延遲一般, 但金屬鑲嵌法因為比目前的佈線法需要更多的步驟,且其 於大基板(如,就G5 TFT_LCD面板而言,工」米d 8米) 的效能不均,所以不認為其為適當者。預期使用此方法會 提高一些技術困難度及提高產製成本。另_方面,亦研究 銅之濕蝕刻。然而,由於濕蝕刻不具異向性,而是等向性, 所以更難控制銅内聯的形狀。 無極銅鍍覆在PCB(印刷電路板)工業中具有長久歷 6 200901293 史,且鑛覆技術本身已趨成熟。此鍵覆以相 方法溫度提供保角的高品質銅。商業方式可:成本和低 溶液可用於銅内聯。最常用的銅溶液含得的許多銅 劑及/或乙二胺四醋酸(或”EDTA”)作為=林:咖 健康和安全(EHS)基礎上,因為已 ^境、 致癌物質,所以應儘量減少其使用的產…林為人類的 建議加熱含有此產品之、、容液…欲使其更有效, iM、 之/奋液,以增進鋼的錢覆速率。但是, 常溫已極易揮發,對其 提高。 町對於人類的風險更為 EDTA也是因為^同的原因而令人顧慮的 =形成安,錯合物’其難以用廢料處理裝置處理。因為 、使用大1的氧化劑來分解此安定的錯合物,而使得此 品之使用受到限制。然而,EDTA用☆ tft_lcd產製需 要更大量的氧化劑’其會使得此方法的花費過高。 欠由^所*的内聯之厚度均勻性欠佳、與基層的黏著性 — 门表面粗輪度及/或缺乏鍍覆再製性,所以這些可 藉商業方式取得的銅溶液無法滿足TFT-LCD應用。 因此’對於用於TFT_LCD銅内聯的銅鍍覆溶液存在著 對環 if $ Μ γ η程度高於現今存在者且沒有目前於相同應用 之溶液所具有的缺點之需求。 【發明内容】 此處描述在平板TFT-LCD製造環境中用以製造經銅鍍 覆之基板之新穎方法。 個具體實例中’在製造用於產製平板顯示器之經銅 7 ‘200901293 鍍覆之基板之方法中,包含提供基板,和在部分基板上提 i、催化作用層。之後,將基板之經催化的部分曝於此銅溶 液’藉此’以無極方式將銅層施用於此部分。此銅溶液包 δ CuS〇4 5H2〇作為銅來源;錯合劑,其可包括酒石酸鉀 納4H2〇或彳乎檬酸二鈉2只2〇 ;還原劑,其可包括乙盤酸鹽、 乙醛酸或磷酸鈉Η";作為安定劑的硫有機化合物;和用
以於室溫將銅溶液之pH調整至介於9和ΐ2·5之間的pH 調整劑。 本發明的其他具體實例可包括但不限於下列特徵中之 一或多者: —催化作用層於約 達約5秒鐘至約3分鐘 15°C和約35°C之間的溫度下經處理 之間的時間長度;
…-藉由在包含介於約!體積%至約3體積%的氮(餘者 是氮)的環境下加熱此基板而使經贿覆的基板退火; -在銅層上提供限制氧化作用的覆層,其中此覆層是 财或NixP層(其中X是選自w、me的财火金屬), 矛此覆層厚度介於約100奈米和約3〇〇奈米之間; -在基層上提供催化性銀薄層以提供催化作用層,此 係错由將基層曝於々卵3於NH40H溶液中之混合物而達 成,其中此混合物包含約(M克/ 认队 兄/升至約丨〇克/升AgN03 方;約0.01%至約1% NH4〇h溶 .^ <甲更佳地,此混合物包 3約1克/升至約5克/升认& gN〇3於約0.1%至約〇.5% nh4〇h溶液中; 以提供催化作用層,此 一在基層上提供催化性鈀薄層 8 200901293 係藉由使得基層曝於(NHshPdCl2於NhOH溶液中之混合 物而達成’其中此混合物包含約〇. 1克/升至約1 〇克/ 升(NH3)4PdCl2於約〇.〇1%至約1〇/〇 NH4OH溶液中,且更佳 地此混合物包含約〇·3克/升至約〇.7克/升(NH3)4pdci2 於約0.01%至約1〇/0]^114011溶液中; —此銅鍍覆溶液進一步包含介於約〇1克/升至約1〇 克/升之間的NiS04 6H20 ; —將催化作用層曝於包含於溶液中約〇. 〇丨%至約5 %之 、 間(更佳係介於約〇.1%至約2%之間)的乙醛酸鹽或次膦酸 鹽之混合物而處理該層; 一使得俄化作用層曝於包含於溶液中約〇1克/升至 約5克/升之間(介於約〇5克/升至約3克/升之間更佳) 的一甲胺基爛燒(DMAB)之混合物而處理該層; —銅溶液包含約2克/升至約15克/升之間的CuS04 5H20 ; l —銅溶液包含約40克/升至約丨00克/升之間(更佳 係65克/升至約85克/升之間)的酒石酸鉀鈉4Η2〇 ; —銅溶液包含約1 〇克/升至約100克/升之間(更佳 係50克/升至約90克/升之間)的檸檬酸三鈉2Η2〇 ; 一銅溶液包含約0 〇1體積%至約5體積%之間的乙醛 酸鹽或乙醛酸; 一銅溶液包含約5克/升至約50克/升之間的膦酸 鈉; 一安定劑包含約lppb和約l〇〇ppb之間的硫脲; 9 200901293 15°C和約35°C之間)提供 —實質上於室溫下(較佳係約 銅層而未加熱銅溶液;和 銅層係由不含福馬林或乙二胺四醋酸(EDTa)的鋼溶 液提供。
則述者已更廣泛地略述本發明之特徵和技術優點,以 便此更瞭解下文所述之本發明的詳細描述。下文中將描述 構成本發明之申請專利範圍之標的之本發明的其他特徵和 優點。嫻於此技術者應瞭解的是,所揭示的觀點和特定具 體實例易於利用作為修飾或設計用以實施本發明之相同目 的之其他構造之基礎。嫻於此技術者亦應瞭解這樣的對等 架構不背離所附申請專利範圍中所示之本發明的精神和範 圍。 為進一步瞭解本發明的本質和目的,應參考下列詳細 描述和附圖,附圖中,類似的元件被標以相同或類似的參 考編號且其中: 【實施方式】 較佳具體實例敘述 通常’本發明係關於製造用於製造平板顯示裝置之經 銅鍍覆之基板之方法,其中此方法包含提供基板,和在部 分基板上提供催化作用層。之後,將基板之至少經催化的 部分曝於此銅溶液,藉此將銅層無極地施用於此部分。此 銅溶液包含CuS〇4 5H2〇作為銅來源;錯合劑,其可包括 酒石酸卸納4H2〇或棒樣酸三納2H2〇 ;還原劑,其可包括 乙醒·酸鹽、乙路酸或填酸納HW ;作為安定劑的硫有機化 200901293 合物;和用以於室溫將溶液之pH調整至介於9和i2 5之 間的pH調整劑。 -些具體實例中,催化步驟係在⑽至饥的室溫範 圍内進行。一個具體實例中,在催化作用之前,可用於 NH4〇H溶液巾之AgNC>3在基層上製造催化性銀薄層(其中 基層可為NiP或NiXP層,其中X是耐火金屬,如,w、 Mo、Re)沉積在基板上。例如,使用〇.】克/升至1 〇克/ 升AgN〇3於0.01%至1%丽⑽溶液中;更佳係使用1克 /升至5克/升AgN〇3於〇.1%至約〇 5%nh4〇h溶液中。 另一具體實例中,可用(NHAPdc^於Nh4〇h溶液中 在NiP或NiXP基層上形成催化性鈀層。例如,〇1克/升 至1克/升(NH3)4PdCl2於0.01%至1% NH4OH溶液中,更 佳係使用0.3克/升至〇_7克/升(NIi3)4Pdcl2於〇.1〇/〇至 〇·5% ΝΗΘΗ溶液中。每一催化步驟可進行適當時間,如, 5秒鐘至3分鐘。之後,其以DIW(去離子水)沖洗。 一些具體實例中’可藉由使催化作用層曝於處理用的 溶液中而處理催化作用層。此步驟通常於丨5至3 5 〇c的 室溫範圍内進行,且進行的時間長度約10秒鐘和約5分 鐘之間。根據一個具體實例,可以使用包含〇 〇1()/。至5。/。(更 佳係0.1%至2%)的乙醛酸鹽或次膦酸鹽之溶液作為處理用 的溶液。根據另一具體實例,可以使用包含〇.丨克/升至 5克/升(更佳係0.5克/升至3克/升)的DMAB(二曱胺 基棚烷)溶液作為處理用的溶液。 一些具體實例中,銅鍍覆溶液包含介於2克/升至15 11 * 200901293 克/升之間的CuS04 5H20作為銅來源。此溶液亦可包含 錯合劑,如,酒石酸或彳寧檬酸化合物。例如,此溶液可含 有40克/升至100克/升之間的酒石酸鉀鈉4H2〇或10 克/升至1〇〇克/升之間的檸檬酸三鈉2H20。 一些具體實例中,銅溶液亦可包含選自由乙醛酸鹽或 次膦酸鹽化合物所組成之群組之還原劑。例如,此溶液可 以含有介於0.01 %至5 %之間的乙醛酸或介於5克/升至 5〇克/升之間的次膦酸鈉H20。 f
一些具體實例中’可將鎳化合物加至溶液中以促進銅 鍍覆。例如’此溶液可以含有介於〇1克/升至克/升 之間的NiS〇4 6ΗζΟ。此溶液亦可含有作為安定劑的硫有機 化合物。例如’此溶液可含有約i ppb和約丨〇〇 ppb之間 的硫脲。此溶液的PH可藉由使用鹼性溶液(Na〇H或Nh4〇h) 調整至9至12·5的範圍内。 當銅溶液施用於經催化層的至少一部分時,其可以無 極施用因未使用電極,鍍覆藉接觸而發生。此鍍覆時間通 常由所需的厚度來決定’且時間通常在]分鐘至6〇分鐘 的範圍内,更佳係3分鐘至3G分鐘以得到幾百奈米厚的 銅層。之後,基板可以DIW沖洗以移除過量的銅溶液。 一些具體實例中,施以銅層之後,可將基板退火處理。 在已進行銅鍍覆步驟之後,此樣品可以習用方式加教,例 如,於4〇〇°C下加熱1小時或於】⑽下加熱3小時。此 退火可以在包含1_1〇〇/0氫、钤去a备
風餘者疋氮的環境中於大氣壓戍 真空壓力下進行。 A 12 200901293 一些具體實例中’可以在銅層上施以覆層以避免銅層 的任何化學反應(即,氧化反應)。根據一具體實例,1 〇奈 米至300奈米的NiP或Nixp (其中χ是耐火金屬,如,w、 Mo、Re)可以無極地施用於銅層上。 一些具體實例中,製得銅鍍覆溶液並於接近室溫(如, 介於約15°C和約35°C之間)的溫度條件下提供銅層。
一些具體實例中,銅鍍覆溶液不含有福馬林,其為一 種已知的致癌物質並已知其具有環境、健康和安全問題。 類似地,銅鍍覆溶液不含有乙二胺四醋酸(edta),其為另 一常用來作為錯合劑的物質並具有多種安全顧慮。 現參考ϋ 1 ’纟為根據本發明之方法的具體實例,下 文將描述其於製造平板顯示裝置時用以在基板上提供銅 層。-般而言,圖1顯示平板製法中的數個步驟且參考字 Ma)-(h)代表這些步驟的—個依時間前後排列的序列。 、在一個具體實例中’提供基板1,之後於其上提供NiP 或ΚιΡΧ的基層基本上較基層^薄的催化作用層3係提 供於基層2頂部。此催化作用層3可於之後經選擇性地處 理作用。之後,銅層4可 J 乂無極地沉積在催化作用層3上。 基本上,此銅層係藉由使至少—部分經催化的層與㈣覆 溶液接觸而提供。如根據嫻於此技術者已知之方法,將光 阻圖案5沉積在銅層4上,以綠出溝槽7和8的輪廟。亦 根據嫻於此技術者已知的方法,之後,藉濕姓刻溶液移除 位於溝槽7和8下方的層2、3和4。之後,將層5和6的 叫餘。P刀/♦解,以於基板i上提供内聯執跡(分別是〜、、 13 200901293 4a和2b、3b和4b)的二組積層物。之後,此基板i和軌跡 可藉熱源9進行熱處理。之後,内聯執跡可以保護層】〇 和11覆蓋。 實施例 下列非限制實施例進一步說明本發明之具體實例。但 不欲以實施例含括所有者且不欲以實施例限制此處所描述 的本發明之範圍。 實施例1 : 在銅鍍覆之前,使用習知的無極鍍覆法,將50奈米Nip 層鍍覆於基板上。之後,將此樣品浸在AgNo3溶液(1 ·5克 /升AgN〇3於0·3%Νη4〇η中)中3〇秒鐘,之後以去離子 水(DIW)沖洗並浸在包含下列者的銅鍍覆溶液中: a) CuS04 5Η20 : 7_5 克 / 升 b) C4H4KNa06 5Η2〇 : 85 克 / 升 c) NiS04 6H20 : 1 克 / 升; d) 乙醛酸:0.8重量% ;和 e) 硫脲:5 ppb。 以NaOH將溶液的PH調整至12且此溶液維持於室溫。 之後,樣品於iVN2環境(1%_99%)下,於15(rc退火3 小時。在NiP層上之經鍍覆的銅與基板具有良好的黏著。 藉原子力顯微鏡(AFM)進行之表面分析指出粗糙度小。藉 歐傑電子光譜儀(AES)進行之深度起伏分析顯示僅少量雜 質存在於銅層中。 實施例2 : 14 200901293 使用包含60克/升C4H4KNa06 5H20且具有PH 11 ·5 的銅溶液,所有的其他條件與實施例1中相同。在NiP層 上之經鍍覆的銅展示與基板具有良好的黏著。藉AFM進 打之表面分析顯示小的粗糙度。藉AES進行之深度起伏分 析顯示僅少量雜質存在於銅層中。 實施例3 : 以與實施例1中相同的條件進行銅鍍覆步驟,但乙醛 酸濃度為〇_2%。在NiP層上之經鍍覆的銅展示與基板具有 良好的黏著。藉AFM進行之表面分析顯示小的粗糙度。 藉AES進行之深度起伏分析顯示僅少量雜質存在於銅層 中。還原劑(乙醛酸)濃度降低使得鍍覆速率較低。 實施例4 : 以與實施例1中相同的條件進行銅鍍覆步驟,但溶液 的pH維持於11.0且其乙醛酸濃度為18%。(較高乙醛酸 濃度增進了鑛覆速率)。在NiP層上之經鍍覆的銅與基板具 有良好的黏著。藉AFM進行之表面分析顯示小的粗糙度。 藉AES進行之深度起伏分析顯示僅少量雜質存在於銅層 中。 實施例5 : 以與實施例1中相同的條件進行銅鍍覆步驟,但在銅 鍍覆步驟之前,以50奈米NiXP (χ是w、M〇或代替 NiP鍍覆於基板上。在NiXP層上之經鍍覆的銅展示與基 板具有良好的黏著。藉AFM進行之表面分析顯示小的粗 糙度。藉AES進行之深度起伏分析顯示僅少量雜質存在於 15 200901293 銅層中。 實施例6 : 在銅鍍覆之前,使用習知的無極鍍覆法,將50奈米NiP 層鏟覆在基板上。之後將此樣品浸在(NH3)4PdCl2溶液(0.6 克/升(NH3)4PdCl2於0.2%NH4〇H中)中2分鐘,之後浸在 包含下列者的銅鍍覆溶液中: a) CuS04 5H20 : 6.0 克 / 升 b) Na3C6H507 2H20 . 15.3 克 / 升 c) NiS〇4 6H20. 0.5 克 / 升;和 d) NaH2P02 H20 : 28.6 克 / 升。 添加H3B〇3和NaOH緩衝液以將溶液的PH調整至9.5 並維持於65°C。 將銅鍍覆於NiP層上,且此銅層具有與實施例1至5 相同的良好性質。 比較實施例係於與實施例1中相同的條件下,以下列 差異進行: 比較實施例1 : 在無先則NiP或ΝιΧΡ沉積的層上,銅試驗性地鍍覆 在基板上。檢視銅鍍覆,發現均勻度欠佳及/或與基板之 黏著欠佳。 比較實施例2 : 未進行催化步驟,將銅鍍覆在基板上。未觀察到銅沉 積。
以類似的方式,當催化步驟以AgN〇3及/或nH4〇H 16 200901293 濃度在AgN〇3為(Μ克/升至1〇克/升及贿邮為〇 〇ι% 至1%的範圍外進行時,銅層沉積的再製性係無法令人滿 意。 比較實施例3 : 在乙醛酸濃度低於0.01%時,使銅試驗性地鍍覆在基 板上。所觀察到的鍍覆速率過低或者銅未能沉積。反之, 當乙醛酸濃度提高至高於5%時,鍍覆速率維持良好,但 溶液變得不安定且迅速分解。 比較實施例4 : 沒有硫有機化合物被加至銅溶液中。在鋼沉積作用上 或基板上之銅層的均勻度或黏著性沒有任何顯著的變化。 但是,銅溶液變得更不安定並於短時間内分解。反之,當 硫有機化合物的濃度高於!〇〇 ppb時,鍍覆速率變得過低, 以致無法得到適當的銅層厚度。 比較實施例5 : 將銅溶液加熱高於35X:的溫度。鍍覆速率仍然夠好, 但丨谷液變得不安定且迅速分解。 比較實施例6 : C4H4KNa06 5H20的濃度維持低於4〇克/升,但是之 後所形成的銅溶液非常迅速地不規則地產生預料之外的沉 焱物。反之,當產物濃度維持高於丨〇〇克/升時,鍍覆再 製性變更差。 比較實施例7 : 將銅溶液的pH調整至低於9。未觀察到銅鍍覆情況。 17 200901293 =:,當PH維持高於!2.5,鐘覆速率變高,但觀察 1現銅層之黏著欠佳且溶液的儲存壽命較短。 ,已經顯示和描述本發明的具體實例,因此,綱於此技 術者可於不違背此發明之精神 L ^ 邗和教不的情況下作出修飾。 此處所描述的具體實例僅為例 Q |』不且不具限制性。許多組 物和方法的改變和修改係可能的 此的且在本發明之範圍内。據 此’保護的枕圍不限於此處所描汗从b ^ W钿述的具體實例,而是僅受
限於下列的申請專利範圍,豆笳 固八軌圍應包括申請專利範圍之 標的物的所有對等物。 【圖式簡單說明】 圖1所示者為根據本發明 个S Θ之用U在基板上提供銅層的 一個具體實例之圖示。 【主要元件符號說明】 1 基板 2 基層 3 催化作用層 4 鋪層 5,6 光阻圖案 2a,3 a,4a 内聯軌跡 2b,3b,4b 7,8 溝槽 9 熱源 10,11 保護層 18

Claims (1)

  1. 200901293 十、申請專利範®: 1.種於基板上製造銅層以用於製造平板顯示裝置之 方法,其係藉由在基板上無極沉積銅内聯層,其包含: a) 提供基板; b) 在至少—部分基板上提供催化作用層;和 令經催化的部分曝於銅溶液且因而形成經鋼鍍覆的 基板’藉此在基板之經催化的部分的至少一部分上提供銅 層’其中鋼溶液包含: 1) CuS045H20作為銅來源; 2) 錯合劑,其包含酒石酸鉀納4H2〇或檸檬酸三納 2H20 ; 乙搭酸或磷酸納 3)還原劑,其包含選自乙醛酸鹽、 仏0中之至少一者; 4) 安定劑,其包含硫有機化合物;和 5) 用於室溫將銅溶液之PH調整至介於”。12 5之 間的pH調整劑。
    之方法,其進一步包含在 1其中調節作用係於約j 5 且其中調節作用的時間約 2·根據申請專利範圍第!項 提供銅層之前,調節催化作用層 °C和約35°C之間的溫度下進行, 5秒鐘至約3分鐘之間。 域據申請專利範圍第i項之方法,其進—步 經銅鍍覆的基板退火’其中退火包含在包含介於約丄 %和約3體積%的氫(餘者是氮)的環境下加熱此基板。 4_根據申請專利範圍第1項之方法,其進-步包人在 19 200901293 % 銅層上提供限制氧化作用的覆層,其中: ^此覆層是NiP或NiXP層,其中X是選自由w、M〇 矛Re組成之群组的耐火金屬;和 b)此覆層厚度為約10奈米和約300奈米之間。 曰5.根據申請專利範圍第1項之方法,其進一步包含在 提供催化作用層之前,在基板上提供基層,其中基層包含 •或阳乂卩層且X是選自由w、Mo和Re組成之群組的 耐火金屬。 Γ . .根據申請專利範圍第5項之方法,其中提供催化作 用層包含藉由使得基層曝於AgN03於ΝΗ4ΟίΙ溶液中之混 合物而在基層上提供催化性銀薄層,其中此混合物包含約 1克/升至約10克/升入§>^03於約0.01%至約1%]^114011 溶液中。 7 ·根據申請專利範圍第5項之方法,其中提供催化作 用層包含藉由使得基層曝於(NH3)4PdCl2於ΝΗ4ΟΗ溶液中 之混合物而在基層上提供催化性鈀薄層,其中此混合物包 3約0·1克/升至約1·〇克/升(NH3)4PdCl2於約0.01〇/〇至 約1。/〇 NH4〇H溶液中。 8·根據申請專利範圍第丨項之方法,其中銅鍍覆溶液 進一步包含約0.1克/升至約1〇克/升之間的NiS04 6H2〇。 9·根據申請專利範圍第2項之方法,其進一步包含藉 由使得催化作用層曝於包含於溶液中約〇 〇1〇/〇至約5〇/0之間 的乙酸酸鹽或次膦酸鹽之調節混合物而調節該層。 20 200901293 ι〇·根據申請專利範圍第2項之方法,其進一步包含藉 由使得催化作用層曝於包含於溶液中約01克/升至約5 克/升之間的二曱胺基硼烷(DMAB)之調節混合物而調節 該層。 11.根據申凊專利範圍第1項之方法,其中銅溶液包含 約2克/升至約15克/升之間的CuS04 5Η20。 12_根據申請專利範圍第1項之方法,其中銅溶液包含 約4〇克/升至約100克/升之間的酒石酸鉀鈉4Η2〇。 13. 根據申請專利範圍第12項之方法,其中銅溶液包 含約65克/升至約85克/升之間的酒石酸鉀鈉4η2〇。 14. 根據申請專利範圍第丨項之方法,其中銅溶液包含 約1〇克/升至約1〇〇克/升之間的檸檬酸三鈉2η2〇。 15. 根據申請專利範圍第14項之方法,其中銅溶液包 含約50克/升至約9〇克/升之間的擰檬酸三鈉2Η2〇。 1 6 ·根據申請專利範圍第1項之方法,其中銅溶液包含 約〇.〇1體積°/。至約5體積。之間的乙醛酸鹽或乙醛酸。 1 7 ·根據申睛專利範圍第1項之方法,其中銅溶液包含 約5克/升至約5〇克/升之間的次膦酸鈉。 18. 根據申請專利範圍第丨項之方法,其中安定劑包含 約1 ppb和約1 〇〇 ppb之間的硫腺。 19. 根據申請專利範圍第1項之方法,其中進一步包含 於室溫提供銅層而未將銅溶液加熱。 20. 根據申請專利範圍第1項之方法,其中進一步以不 含福馬林或乙二胺四醋酸(EDTA)的銅溶液提供銅層。 21
TW097110501A 2007-04-04 2008-03-25 Improved method for producing a copper layer on a substrate in a flat panel display manufacturing process TW200901293A (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US91009107P 2007-04-04 2007-04-04
US11/948,320 US20080248194A1 (en) 2007-04-04 2007-11-30 Method for producing a copper layer on a substrate in a flat panel display manufacturing process

Publications (1)

Publication Number Publication Date
TW200901293A true TW200901293A (en) 2009-01-01

Family

ID=39827166

Family Applications (1)

Application Number Title Priority Date Filing Date
TW097110501A TW200901293A (en) 2007-04-04 2008-03-25 Improved method for producing a copper layer on a substrate in a flat panel display manufacturing process

Country Status (6)

Country Link
US (1) US20080248194A1 (zh)
JP (1) JP2009009097A (zh)
KR (1) KR20080090351A (zh)
CN (1) CN101335206A (zh)
SG (1) SG146600A1 (zh)
TW (1) TW200901293A (zh)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100876646B1 (ko) * 2007-04-27 2009-01-09 한국과학기술원 취성파괴 방지를 위한 무전해 NiXP로 표면처리된전자부품의 접합 방법
EP2672520B1 (en) * 2012-06-06 2018-07-04 SEMIKRON Elektronik GmbH & Co. KG Method for electroless deposition of a copper layer, electroless deposited copper layer and semiconductor component comprising said electroless deposited copper layer
KR102258336B1 (ko) * 2015-05-22 2021-05-31 엘에스일렉트릭(주) 은이 코팅된 탄소나노튜브가 함유된 전기접점재료의 제조방법
KR20160137178A (ko) 2015-05-22 2016-11-30 성균관대학교산학협력단 은이 코팅된 탄소나노튜브가 함유된 전기접점재료의 제조방법
CN108267870B (zh) * 2016-12-30 2021-03-30 财团法人工业技术研究院 铜箔复材

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4617205A (en) * 1984-12-21 1986-10-14 Omi International Corporation Formaldehyde-free autocatalytic electroless copper plating
JP2001181854A (ja) * 1999-12-22 2001-07-03 Ebara Corp 無電解めっき液及びこれを用いた配線形成方法
JP2003013247A (ja) * 2001-04-24 2003-01-15 Murata Mfg Co Ltd 無電解銅めっき浴及び高周波用電子部品
US6887776B2 (en) * 2003-04-11 2005-05-03 Applied Materials, Inc. Methods to form metal lines using selective electrochemical deposition
US7008871B2 (en) * 2003-07-03 2006-03-07 International Business Machines Corporation Selective capping of copper wiring
US20050006339A1 (en) * 2003-07-11 2005-01-13 Peter Mardilovich Electroless deposition methods and systems
US7256111B2 (en) * 2004-01-26 2007-08-14 Applied Materials, Inc. Pretreatment for electroless deposition

Also Published As

Publication number Publication date
US20080248194A1 (en) 2008-10-09
SG146600A1 (en) 2008-10-30
CN101335206A (zh) 2008-12-31
KR20080090351A (ko) 2008-10-08
JP2009009097A (ja) 2009-01-15

Similar Documents

Publication Publication Date Title
US6794288B1 (en) Method for electroless deposition of phosphorus-containing metal films onto copper with palladium-free activation
TWI289327B (en) Electroless deposition methods
TW200837218A (en) Electroless copper plating solution, method of producing the same and electroless copper plating method
JPH0883796A (ja) 半導体装置の配線形成に用いる無電解めっき浴及び半導体装置の配線形成方法
JP2008169447A (ja) アルミニウム又はアルミニウム合金の表面処理方法
US20080224313A1 (en) Method for forming a seed layer for damascene copper wiring, and semiconductor wafer with damascene copper wiring formed using the method
TW200901293A (en) Improved method for producing a copper layer on a substrate in a flat panel display manufacturing process
JP2001020077A (ja) 無電解めっき方法及び無電解めっき液
JP2016507009A (ja) 非導電性ポリマー上に第一の金属層を成膜する方法
WO2005038086A1 (ja) 無電解銅めっき液
JP6676620B2 (ja) 銅回路、銅合金回路、およびタッチスクリーンデバイスの光反射率の低減方法
TWI332999B (en) Cobalt-based alloy electroless plating solution and electroless plating method using the same
JP2009158906A (ja) ヒータープレートを備えたベーク装置及びヒータープレートの製造方法
TW200835804A (en) Manufacture of electroless cobalt deposition compositions for microelectronics applications
TWI646216B (zh) 於阻障層上沈積銅晶種層的方法
WO2010056612A2 (en) Plating solutions for electroless deposition of ruthenium
JP3276919B2 (ja) 樹脂基材への高密着性めっき方法およびこれに用いる銅めっき液
WO2023120318A1 (ja) 無電解めっき液及び配線基板の製造方法
WO2002093991A1 (en) Method for electroless deposition and patterning of a metal on a substrate
JP2007302967A (ja) 無電解めっき方法
KR101179118B1 (ko) 질화알루미늄-h질화붕소 복합체를 기판으로 하는 열판 및 그 제조방법
JP6524459B1 (ja) 無電解めっき用銀触媒付与剤用添加剤
JP2004332037A (ja) 無電解金めっき方法
JP2004107737A (ja) 回路基板とその配線形成方法
JP4955274B2 (ja) めっき配線基板および無電解めっき方法