SG10201503482QA - Laser annealing systems and methods with ultra-short dwell times - Google Patents

Laser annealing systems and methods with ultra-short dwell times

Info

Publication number
SG10201503482QA
SG10201503482QA SG10201503482QA SG10201503482QA SG10201503482QA SG 10201503482Q A SG10201503482Q A SG 10201503482QA SG 10201503482Q A SG10201503482Q A SG 10201503482QA SG 10201503482Q A SG10201503482Q A SG 10201503482QA SG 10201503482Q A SG10201503482Q A SG 10201503482QA
Authority
SG
Singapore
Prior art keywords
ultra
methods
laser annealing
dwell times
short dwell
Prior art date
Application number
SG10201503482QA
Other languages
English (en)
Inventor
Anikitchev Serguei
M Hawryluk Andrew
Original Assignee
Ultratech Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Ultratech Inc filed Critical Ultratech Inc
Publication of SG10201503482QA publication Critical patent/SG10201503482QA/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/268Bombardment with radiation with high-energy radiation using electromagnetic radiation, e.g. laser radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/0006Working by laser beam, e.g. welding, cutting or boring taking account of the properties of the material involved
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/02Positioning or observing the workpiece, e.g. with respect to the point of impact; Aligning, aiming or focusing the laser beam
    • B23K26/03Observing, e.g. monitoring, the workpiece
    • B23K26/032Observing, e.g. monitoring, the workpiece using optical means
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/02Positioning or observing the workpiece, e.g. with respect to the point of impact; Aligning, aiming or focusing the laser beam
    • B23K26/06Shaping the laser beam, e.g. by masks or multi-focusing
    • B23K26/0604Shaping the laser beam, e.g. by masks or multi-focusing by a combination of beams
    • B23K26/0608Shaping the laser beam, e.g. by masks or multi-focusing by a combination of beams in the same heat affected zone [HAZ]
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/02Positioning or observing the workpiece, e.g. with respect to the point of impact; Aligning, aiming or focusing the laser beam
    • B23K26/06Shaping the laser beam, e.g. by masks or multi-focusing
    • B23K26/064Shaping the laser beam, e.g. by masks or multi-focusing by means of optical elements, e.g. lenses, mirrors or prisms
    • B23K26/0648Shaping the laser beam, e.g. by masks or multi-focusing by means of optical elements, e.g. lenses, mirrors or prisms comprising lenses
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/02Positioning or observing the workpiece, e.g. with respect to the point of impact; Aligning, aiming or focusing the laser beam
    • B23K26/06Shaping the laser beam, e.g. by masks or multi-focusing
    • B23K26/073Shaping the laser spot
    • B23K26/0736Shaping the laser spot into an oval shape, e.g. elliptic shape
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/08Devices involving relative movement between laser beam and workpiece
    • B23K26/082Scanning systems, i.e. devices involving movement of the laser beam relative to the laser head
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/352Working by laser beam, e.g. welding, cutting or boring for surface treatment
    • B23K26/354Working by laser beam, e.g. welding, cutting or boring for surface treatment by melting
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K2101/00Articles made by soldering, welding or cutting
    • B23K2101/36Electric or electronic devices
    • B23K2101/40Semiconductor devices
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K2103/00Materials to be soldered, welded or cut
    • B23K2103/50Inorganic material, e.g. metals, not provided for in B23K2103/02 – B23K2103/26
    • B23K2103/56Inorganic material, e.g. metals, not provided for in B23K2103/02 – B23K2103/26 semiconducting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments
    • H01L21/02667Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth
    • H01L21/02675Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth using laser beams
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments
    • H01L21/02667Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth
    • H01L21/02691Scanning of a beam
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Optics & Photonics (AREA)
  • Mechanical Engineering (AREA)
  • Plasma & Fusion (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Electromagnetism (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Recrystallisation Techniques (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
SG10201503482QA 2012-06-11 2013-06-03 Laser annealing systems and methods with ultra-short dwell times SG10201503482QA (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US201261658086P 2012-06-11 2012-06-11

Publications (1)

Publication Number Publication Date
SG10201503482QA true SG10201503482QA (en) 2015-06-29

Family

ID=49625976

Family Applications (3)

Application Number Title Priority Date Filing Date
SG10201503482QA SG10201503482QA (en) 2012-06-11 2013-06-03 Laser annealing systems and methods with ultra-short dwell times
SG2013042965A SG195515A1 (en) 2012-06-11 2013-06-03 Laser annealing systems and methods with ultra-short dwell times
SG10201503478UA SG10201503478UA (en) 2012-06-11 2013-06-03 Laser annealing systems and methods with ultra-short dwell times

Family Applications After (2)

Application Number Title Priority Date Filing Date
SG2013042965A SG195515A1 (en) 2012-06-11 2013-06-03 Laser annealing systems and methods with ultra-short dwell times
SG10201503478UA SG10201503478UA (en) 2012-06-11 2013-06-03 Laser annealing systems and methods with ultra-short dwell times

Country Status (7)

Country Link
US (1) US8865603B2 (zh)
JP (2) JP5739477B2 (zh)
KR (1) KR20130138686A (zh)
CN (1) CN103489812B (zh)
DE (1) DE102013009419A1 (zh)
SG (3) SG10201503482QA (zh)
TW (1) TWI512830B (zh)

Families Citing this family (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8946822B2 (en) 2012-03-19 2015-02-03 Analog Devices, Inc. Apparatus and method for protection of precision mixed-signal electronic circuits
US8753904B2 (en) * 2012-06-07 2014-06-17 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for semiconductor device pattern loading effect characterization
US9482518B2 (en) 2012-06-07 2016-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Systems and methods for semiconductor device process determination using reflectivity measurement
TW201517133A (zh) * 2013-10-07 2015-05-01 Applied Materials Inc 使用熱佈植與奈秒退火致使銦鋁鎵氮化物材料系統中摻雜劑的高活化
TW201528379A (zh) * 2013-12-20 2015-07-16 Applied Materials Inc 雙波長退火方法與設備
US9343307B2 (en) * 2013-12-24 2016-05-17 Ultratech, Inc. Laser spike annealing using fiber lasers
US9559023B2 (en) 2014-06-23 2017-01-31 Ultratech, Inc. Systems and methods for reducing beam instability in laser annealing
JP6185512B2 (ja) * 2014-06-24 2017-08-23 ウルトラテック インク 周囲酸素ガスの局在化制御を用いた半導体ウエハのレーザアニーリング方法
US9613828B2 (en) * 2014-06-24 2017-04-04 Ultratech, Inc. Method of laser annealing a semiconductor wafer with localized control of ambient oxygen
CN105448681B (zh) * 2014-07-04 2018-11-09 上海微电子装备(集团)股份有限公司 激光退火装置
US9613815B2 (en) * 2014-11-24 2017-04-04 Ultratech, Inc. High-efficiency line-forming optical systems and methods for defect annealing and dopant activation
US10083843B2 (en) * 2014-12-17 2018-09-25 Ultratech, Inc. Laser annealing systems and methods with ultra-short dwell times
US10168380B2 (en) * 2015-01-23 2019-01-01 Mitsubishi Electric Corporation Semiconductor device evaluation jig, semiconductor device evaluation apparatus, and semiconductor device evaluation method
DE102015102111A1 (de) * 2015-02-13 2016-08-18 Scanlab Ag Mehrkopf-Laseranlage mit Sensoreinheit
US10016843B2 (en) * 2015-03-20 2018-07-10 Ultratech, Inc. Systems and methods for reducing pulsed laser beam profile non-uniformities for laser annealing
CN106158608B (zh) * 2015-03-27 2019-09-17 上海微电子装备(集团)股份有限公司 一种具有能量补偿的激光退火装置及退火方法
SG10201605683WA (en) * 2015-07-22 2017-02-27 Ultratech Inc High-efficiency line-forming optical systems and methods using a serrated spatial filter
US9455185B1 (en) 2015-12-17 2016-09-27 International Business Machines Corporation Laser anneal of buried metallic interconnects including through silicon vias
CN106935491B (zh) * 2015-12-30 2021-10-12 上海微电子装备(集团)股份有限公司 一种激光退火装置及其退火方法
WO2017154597A1 (ja) * 2016-03-09 2017-09-14 三菱電機株式会社 熱処理装置、熱処理方法、レーザアニール装置、および、レーザアニール方法
CN107398634A (zh) * 2016-05-19 2017-11-28 上海新昇半导体科技有限公司 一种激光退火装置及激光退火方法
CN108406088B (zh) * 2017-02-10 2020-04-10 上海微电子装备(集团)股份有限公司 激光退火装置及其退火方法
KR102342848B1 (ko) 2017-04-21 2021-12-23 삼성전자주식회사 레이저 어닐링 장비
KR102337481B1 (ko) * 2017-12-15 2021-12-08 스미도모쥬기가이고교 가부시키가이샤 척플레이트, 어닐링장치, 및 어닐링방법
CN111886687B (zh) * 2018-01-30 2024-02-02 Rnr实验室公司 利用激光束来加热对象物质的加热装置及利用激光的间接加热方法
WO2019150549A1 (ja) * 2018-02-02 2019-08-08 株式会社日本製鋼所 レーザ処理装置、レーザ処理方法及び半導体装置の製造方法
CN112074370B (zh) * 2018-06-05 2023-03-14 伊雷克托科学工业股份有限公司 激光加工设备、其操作方法以及使用其加工工件的方法
KR102546719B1 (ko) * 2018-09-04 2023-06-21 삼성전자주식회사 모니터링 장치 및 모니터링 방법
KR102258055B1 (ko) * 2019-08-27 2021-05-27 공주대학교 산학협력단 레이저 어닐링 장비의 온도 모니터링 시스템
CN111952160B (zh) * 2020-08-17 2024-06-11 北京中科镭特电子有限公司 一种激光退火装置
CN112435920B (zh) * 2020-11-05 2024-02-23 北京华卓精科科技股份有限公司 一种长波长激光退火方法及装置
KR102661531B1 (ko) * 2021-07-06 2024-04-26 에이피에스리서치 주식회사 레이저 열처리장치 및 레이저 열처리방법
CN115777138A (zh) * 2021-07-06 2023-03-10 Aps研究股份有限公司 激光退火设备和激光退火方法
CN115558919A (zh) * 2022-05-31 2023-01-03 南京航空航天大学深圳研究院 一种基于轻合金粉末预热的激光修复系统和方法

Family Cites Families (74)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB2056769B (en) 1978-11-28 1983-03-30 Western Electric Co Dual wavelength laser annealing of material
US4234356A (en) 1979-06-01 1980-11-18 Bell Telephone Laboratories, Incorporated Dual wavelength optical annealing of materials
JPS56142630A (en) 1980-04-09 1981-11-07 Fujitsu Ltd Manufacture of semiconductor device
JPS5752052A (en) * 1980-09-12 1982-03-27 Fujitsu Ltd Heat treatment of photosensitive resin
US5345291A (en) * 1991-12-27 1994-09-06 Olympus Optical Co., Ltd. Compact focus detecting device
JP3211377B2 (ja) 1992-06-17 2001-09-25 ソニー株式会社 半導体装置の製造方法
JPH06140704A (ja) 1992-10-26 1994-05-20 Mitsubishi Electric Corp レーザ光照射装置
KR100255689B1 (ko) 1993-05-27 2000-05-01 윤종용 반도체 레이져 소자 및 그 제조방법
KR100274293B1 (ko) 1995-06-26 2001-01-15 야스카와 히데아키 결정성 반도체막 형성방법, 박막 트랜지스터 제조방법, 태양 전지 제조 방법 및 액티브 매트릭스형 액정 장치
US6524977B1 (en) 1995-07-25 2003-02-25 Semiconductor Energy Laboratory Co., Ltd. Method of laser annealing using linear beam having quasi-trapezoidal energy profile for increased depth of focus
JP3348334B2 (ja) 1995-09-19 2002-11-20 ソニー株式会社 薄膜半導体装置の製造方法
KR0153823B1 (ko) 1995-10-17 1998-12-01 구자홍 반도체 소자 제조 방법
JP3301054B2 (ja) 1996-02-13 2002-07-15 株式会社半導体エネルギー研究所 レーザー照射装置及びレーザー照射方法
JPH1174536A (ja) 1997-01-09 1999-03-16 Sanyo Electric Co Ltd 半導体装置の製造方法
US5908307A (en) 1997-01-31 1999-06-01 Ultratech Stepper, Inc. Fabrication method for reduced-dimension FET devices
JPH10244392A (ja) 1997-03-04 1998-09-14 Semiconductor Energy Lab Co Ltd レーザー照射装置
TW466772B (en) 1997-12-26 2001-12-01 Seiko Epson Corp Method for producing silicon oxide film, method for making semiconductor device, semiconductor device, display, and infrared irradiating device
US5930617A (en) 1998-03-25 1999-07-27 Texas Instruments-Acer Incorporated Method of forming deep sub-micron CMOS transistors with self-aligned silicided contact and extended S/D junction
KR100430231B1 (ko) 1998-10-02 2004-07-19 엘지.필립스 엘시디 주식회사 레이저어닐장비
JP2000150406A (ja) * 1998-11-13 2000-05-30 Nec Corp ランプアニール装置
JP2000260687A (ja) * 1999-03-08 2000-09-22 Tokyo Electron Ltd 加熱処理方法及び加熱処理装置
US6521501B1 (en) 1999-05-11 2003-02-18 Advanced Micro Devices, Inc. Method of forming a CMOS transistor having ultra shallow source and drain regions
TW544743B (en) 1999-08-13 2003-08-01 Semiconductor Energy Lab Method of manufacturing a semiconductor device
US6514339B1 (en) 1999-10-29 2003-02-04 Lg. Philips Co., Ltd. Laser annealing apparatus
JP4514861B2 (ja) 1999-11-29 2010-07-28 株式会社半導体エネルギー研究所 レーザ照射装置およびレーザ照射方法および半導体装置の作製方法
US6366308B1 (en) 2000-02-16 2002-04-02 Ultratech Stepper, Inc. Laser thermal processing apparatus and method
WO2001071787A1 (en) 2000-03-17 2001-09-27 Varian Semiconductor Equipment Associates, Inc. Method of forming ultrashallow junctions by laser annealing and rapid thermal annealing
US6531681B1 (en) 2000-03-27 2003-03-11 Ultratech Stepper, Inc. Apparatus having line source of radiant energy for exposing a substrate
US6380044B1 (en) 2000-04-12 2002-04-30 Ultratech Stepper, Inc. High-speed semiconductor transistor and selective absorption process forming same
US6303476B1 (en) 2000-06-12 2001-10-16 Ultratech Stepper, Inc. Thermally induced reflectivity switch for laser thermal processing
US6368947B1 (en) 2000-06-20 2002-04-09 Advanced Micro Devices, Inc. Process utilizing a cap layer optimized to reduce gate line over-melt
US6479821B1 (en) 2000-09-11 2002-11-12 Ultratech Stepper, Inc. Thermally induced phase switch for laser thermal processing
US6365476B1 (en) 2000-10-27 2002-04-02 Ultratech Stepper, Inc. Laser thermal process for fabricating field-effect transistors
US7015422B2 (en) 2000-12-21 2006-03-21 Mattson Technology, Inc. System and process for heating semiconductor wafers by optimizing absorption of electromagnetic energy
JP2001351863A (ja) * 2001-04-16 2001-12-21 Sharp Corp 半導体装置の製造方法
JP4429576B2 (ja) * 2001-09-10 2010-03-10 株式会社半導体エネルギー研究所 半導体装置
JP4555568B2 (ja) * 2001-11-09 2010-10-06 株式会社半導体エネルギー研究所 レーザ処理装置、レーザ処理方法および薄膜トランジスタの作製方法
KR20040052468A (ko) 2001-11-12 2004-06-23 소니 가부시끼 가이샤 레이저 어닐 장치 및 박막 트랜지스터의 제조 방법
US6987240B2 (en) 2002-04-18 2006-01-17 Applied Materials, Inc. Thermal flux processing by scanning
US7005601B2 (en) 2002-04-18 2006-02-28 Applied Materials, Inc. Thermal flux processing by scanning
US6548361B1 (en) 2002-05-15 2003-04-15 Advanced Micro Devices, Inc. SOI MOSFET and method of fabrication
JP4498716B2 (ja) * 2002-10-03 2010-07-07 株式会社半導体エネルギー研究所 レーザ照射装置及び前記レーザ照射装置を用いた半導体装置の作製方法
US6747245B2 (en) 2002-11-06 2004-06-08 Ultratech Stepper, Inc. Laser scanning apparatus and methods for thermal processing
US7154066B2 (en) 2002-11-06 2006-12-26 Ultratech, Inc. Laser scanning apparatus and methods for thermal processing
JP4429586B2 (ja) * 2002-11-08 2010-03-10 株式会社半導体エネルギー研究所 半導体装置の作製方法
US7141455B2 (en) * 2002-11-25 2006-11-28 Texas Instruments Incorporated Method to manufacture LDMOS transistors with improved threshold voltage control
US7304005B2 (en) 2003-03-17 2007-12-04 Semiconductor Energy Laboratory Co., Ltd. Laser irradiation apparatus, laser irradiation method, and method for manufacturing a semiconductor device
JP4373115B2 (ja) 2003-04-04 2009-11-25 株式会社半導体エネルギー研究所 半導体装置の作製方法
JP4503343B2 (ja) * 2003-04-21 2010-07-14 株式会社半導体エネルギー研究所 ビーム照射装置、ビーム照射方法、及び薄膜トランジスタの作製方法
US7148159B2 (en) 2003-09-29 2006-12-12 Ultratech, Inc. Laser thermal annealing of lightly doped silicon substrates
US7098155B2 (en) 2003-09-29 2006-08-29 Ultratech, Inc. Laser thermal annealing of lightly doped silicon substrates
US7018468B2 (en) 2003-11-13 2006-03-28 Sharp Laboratories Of America, Inc. Process for long crystal lateral growth in silicon films by UV and IR pulse sequencing
TWI297521B (en) * 2004-01-22 2008-06-01 Ultratech Inc Laser thermal annealing of lightly doped silicon substrates
US7772523B2 (en) 2004-07-30 2010-08-10 Semiconductor Energy Laboratory Co., Ltd Laser irradiation apparatus and laser irradiation method
US7279721B2 (en) 2005-04-13 2007-10-09 Applied Materials, Inc. Dual wavelength thermal flux laser anneal
JP5085014B2 (ja) * 2005-05-26 2012-11-28 株式会社ジャパンディスプレイイースト 半導体装置の製造方法及び半導体装置
JP2006351659A (ja) * 2005-06-14 2006-12-28 Toyota Motor Corp 半導体装置の製造方法
US7482254B2 (en) 2005-09-26 2009-01-27 Ultratech, Inc. Apparatus and methods for thermally processing undoped and lightly doped substrates without pre-heating
US7514305B1 (en) 2006-06-28 2009-04-07 Ultratech, Inc. Apparatus and methods for improving the intensity profile of a beam image used to process a substrate
US20080045040A1 (en) 2006-08-17 2008-02-21 Toshiba America Electronic Components, Inc. Laser Spike Anneal With Plural Light Sources
JP5133548B2 (ja) * 2006-09-29 2013-01-30 富士フイルム株式会社 レーザアニール方法およびそれを用いたレーザアニール装置
JP4851918B2 (ja) * 2006-11-24 2012-01-11 株式会社ディスコ ウエーハのレーザー加工方法およびレーザー加工装置
JP2008288508A (ja) * 2007-05-21 2008-11-27 Shimadzu Corp 結晶化装置および結晶化方法
JP5104036B2 (ja) * 2007-05-24 2012-12-19 ソニー株式会社 固体撮像素子とその製造方法及び撮像装置
US20090034071A1 (en) 2007-07-31 2009-02-05 Dean Jennings Method for partitioning and incoherently summing a coherent beam
US20090278287A1 (en) * 2008-05-12 2009-11-12 Yun Wang Substrate processing with reduced warpage and/or controlled strain
KR101548173B1 (ko) * 2008-09-18 2015-08-31 삼성전자주식회사 실리콘 다이렉트 본딩(sdb)을 이용한 임시 웨이퍼 임시 본딩 방법, 및 그 본딩 방법을 이용한 반도체 소자 및 반도체 소자 제조 방법
US20100084744A1 (en) 2008-10-06 2010-04-08 Zafiropoulo Arthur W Thermal processing of substrates with pre- and post-spike temperature control
US8109669B2 (en) * 2008-11-19 2012-02-07 Applied Materials, Inc. Temperature uniformity measurement during thermal processing
JP5500573B2 (ja) * 2009-05-19 2014-05-21 株式会社日本製鋼所 半導体不純物の活性化方法
US8592309B2 (en) 2009-11-06 2013-11-26 Ultratech, Inc. Laser spike annealing for GaN LEDs
US20120012170A1 (en) * 2010-07-19 2012-01-19 Institutt For Energiteknikk Processed silicon wafer, silicon chip, and method and apparatus for production thereof
US8399808B2 (en) 2010-10-22 2013-03-19 Ultratech, Inc. Systems and methods for forming a time-averaged line image
US8026519B1 (en) * 2010-10-22 2011-09-27 Ultratech, Inc. Systems and methods for forming a time-averaged line image

Also Published As

Publication number Publication date
JP5739477B2 (ja) 2015-06-24
TW201351506A (zh) 2013-12-16
JP6078092B2 (ja) 2017-02-08
JP2015164194A (ja) 2015-09-10
TWI512830B (zh) 2015-12-11
US8865603B2 (en) 2014-10-21
CN103489812B (zh) 2017-06-30
CN103489812A (zh) 2014-01-01
SG10201503478UA (en) 2015-06-29
SG195515A1 (en) 2013-12-30
US20130330844A1 (en) 2013-12-12
JP2014013890A (ja) 2014-01-23
DE102013009419A1 (de) 2013-12-12
KR20130138686A (ko) 2013-12-19

Similar Documents

Publication Publication Date Title
SG10201503482QA (en) Laser annealing systems and methods with ultra-short dwell times
EP2872082A4 (en) SYSTEMS AND METHODS FOR CORNEA NETWORKING WITH GULGED LIGHT
EP2816965A4 (en) DEVICES AND METHODS FOR ENHANCING VISION USING LASER MYOSIS CAMERA
ZA201409071B (en) Controlled environment and method
GB2500915B (en) Arrangement and method
SG11201405564XA (en) Laser annealing apparatus and laser annealing method
GB201517071D0 (en) Hud object design and method
EP2821748A4 (en) DEVICE FOR FINDING A BEACH AND METHOD FOR FINDING A BEACH
EP2916911A4 (en) DEVICE AND METHOD FOR LASER TREATMENTS
PL3077034T3 (pl) Zespoły bezpiecznych igieł i powiązane z nimi sposoby
EP2871172A4 (en) METAMATERIAL AND MANUFACTURING METHOD THEREFOR
HK1205478A1 (zh) 激光標記系統與方法
SG2013063300A (en) Non-melt thin-wafer laser thermal annealing methods
EP2927807A4 (en) METHOD FOR ACTIVATING A TERMINAL AND TERMINAL
EP3053227A4 (en) Ultra-short pulse mid-ir mode-locked laser
EP2713485A4 (en) METAL ELEMENT ANNEALING METHOD
PL2965321T3 (pl) Miejscowa koncentracja energii
EP2918689A4 (en) LASER PROCESSING DEVICE AND LASER IRRADIATION METHOD
EP2837838A4 (en) SLIDING ELEMENT AND METHOD FOR MANUFACTURING THE SLIDING ELEMENT
EP3076722A4 (en) METHOD AND DEVICE FOR ALIGNING BEAMS
EP2971567A4 (en) ARTICLE HAVING SECTIONS HAVING DIFFERENT MICROSTRUCTURES, AND METHOD FOR SAME
PL2965322T3 (pl) Miejscowa koncentracja energii
EP2816378A4 (en) OPTICAL ELEMENT AND METHOD FOR PRODUCING AN OPTICAL ELEMENT
EP3067434A4 (en) CONTINUOUS NOISE EQUIPMENT AND CONTINUOUS NOISE METHOD
SG11201500474PA (en) Nutriculture member and nutriculture method