MXPA06012751A - Metodo y aparato para proporcionar mensajes mejorados en un canal de control comun en un sistema de comunicacion inalambrica. - Google Patents

Metodo y aparato para proporcionar mensajes mejorados en un canal de control comun en un sistema de comunicacion inalambrica.

Info

Publication number
MXPA06012751A
MXPA06012751A MXPA06012751A MXPA06012751A MXPA06012751A MX PA06012751 A MXPA06012751 A MX PA06012751A MX PA06012751 A MXPA06012751 A MX PA06012751A MX PA06012751 A MXPA06012751 A MX PA06012751A MX PA06012751 A MXPA06012751 A MX PA06012751A
Authority
MX
Mexico
Prior art keywords
message
channel
configuration
further characterized
new
Prior art date
Application number
MXPA06012751A
Other languages
English (en)
Inventor
Seung-June Yi
Myeong-Cheol Kim
Original Assignee
Lg Electronics Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=35463174&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=MXPA06012751(A) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by Lg Electronics Inc filed Critical Lg Electronics Inc
Publication of MXPA06012751A publication Critical patent/MXPA06012751A/es

Links

Classifications

    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04WWIRELESS COMMUNICATION NETWORKS
    • H04W28/00Network traffic management; Network resource management
    • H04W28/02Traffic management, e.g. flow control or congestion control
    • H04W28/06Optimizing the usage of the radio link, e.g. header compression, information sizing, discarding information
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04WWIRELESS COMMUNICATION NETWORKS
    • H04W74/00Wireless channel access, e.g. scheduled or random access
    • H04W74/002Transmission of channel access control information
    • H04W74/004Transmission of channel access control information in the uplink, i.e. towards network
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04WWIRELESS COMMUNICATION NETWORKS
    • H04W74/00Wireless channel access, e.g. scheduled or random access
    • H04W74/08Non-scheduled or contention based access, e.g. random access, ALOHA, CSMA [Carrier Sense Multiple Access]
    • H04W74/0866Non-scheduled or contention based access, e.g. random access, ALOHA, CSMA [Carrier Sense Multiple Access] using a dedicated channel for access
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04WWIRELESS COMMUNICATION NETWORKS
    • H04W28/00Network traffic management; Network resource management
    • H04W28/16Central resource management; Negotiation of resources or communication parameters, e.g. negotiating bandwidth or QoS [Quality of Service]
    • H04W28/18Negotiating wireless communication parameters
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04WWIRELESS COMMUNICATION NETWORKS
    • H04W4/00Services specially adapted for wireless communication networks; Facilities therefor
    • H04W4/12Messaging; Mailboxes; Announcements
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04WWIRELESS COMMUNICATION NETWORKS
    • H04W74/00Wireless channel access, e.g. scheduled or random access
    • H04W74/08Non-scheduled or contention based access, e.g. random access, ALOHA, CSMA [Carrier Sense Multiple Access]
    • H04W74/0833Non-scheduled or contention based access, e.g. random access, ALOHA, CSMA [Carrier Sense Multiple Access] using a random access procedure
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04WWIRELESS COMMUNICATION NETWORKS
    • H04W76/00Connection management
    • H04W76/10Connection setup
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04WWIRELESS COMMUNICATION NETWORKS
    • H04W8/00Network data management
    • H04W8/22Processing or transfer of terminal data, e.g. status or physical capabilities
    • H04W8/24Transfer of terminal data
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04WWIRELESS COMMUNICATION NETWORKS
    • H04W80/00Wireless network protocols or protocol adaptations to wireless operation
    • H04W80/02Data link layer protocols

Abstract

Un metodo y aparato para proporciona nuevas configuraciones para transmitir control en informacion entre una terminal movil y una red utilizando un canal logico/canal de transporte del canal de control comun (CCCH); las nuevas configuraciones permiten que se envien mensajes que son mas grandes a los permitidos actualmente y se indica la disponibilidad de nuevas configuraciones de manera que no se impacten las terminales moviles que no soportan las nuevas configuraciones.

Description

En diciembre de 1998, la ETSI de Europa, ARIB/TTC de Japón, T1 de los Estados Unidos y TTA de Corea formaron un proyecto de asociación de tercera generación (3GPP). El 3GPP crea especificaciones detalladas de tecnología UMTS. Para lograr un desarrollo técnico rápido y eficiente de UMTS, se han cread cinco grupos de especificación técnica (TSG) dentro de 3GPP para estandarizar el UMTS al considerar la naturaleza independiente de los elementos de red y sus operaciones. Cada TSG desarrolla, a prueba y maneja la especificación estándar dentro de una relación relacionada, Entre esos grupos, el grupo de red de acceso a radios (RAN) (TSG-RAN) desarrolla los estándares par las funciones, requerimientos e interfaz de la red de acceso terrestre a radio UMTS (UTRAN), que es una nueva red de acceso a radio para soportar tecnología de acceso W-CDMA en UMTS.. Una estructura de red UMTS convencional 1 se ilustra en la figura 1. Una terminal móvil 2, o equipo de usuario (UE), se conecta a una red central 4 a través de una red de acceso terrestre a radio UMTS (UTRAN) 6. La UTRAN 6 configura, mantiene y maneja un portador de acceso a rayo para comulaciones entre el UE 2 y la red central 4 para cumplir con requerimientos de extremo a extremo de calidad de servicio. La UTRAN 6 consiste en por lo menos un subsistema de red de radio 8 incluyendo una RNC 10 que actúa como un punto de acceso a la red central 4 y por lo menos un Nodo B 12 manejado por un RNC correspondiente. Lo RNCs 10 clasifican lógicamente como RNCs, de control, que asigna y manejan recursos de radio comunes para una pluralidad de UEs 2 de una celda y RNCs de servicio, que asignan y manejan recursos de radio dedicados para un UE 2 de una celda. Cada Nodo B 12 maneja por lo menos una celda. La red central 4 puede dividirse de conformidad con el tipo de servicio proporcionado, a saber un dominio de circuito conmutado (CS) y un dominio de paquete conmutado (PS). Por ejemplo, un servicio de conversación de voz en general es un servicio de circuito conmutado (CS), mientras que un servicio de navegación por la red mediante una conexión con Internet se clasifica como un servicio de paquete conmutado (PS). El dominio CS, incluye un centro de conmutación móvil (MSC) 14 que actúa como un punto de acceso a la UTRAN 6 y un centro de conmutación móvil de compuerta (GMSC) 16 que actúa como un punto de acceso a una red externa. El dominio PS incluye un nodo de soporte GPRS de servicio (SGSN) que actúa como un punto de acceso a la UTRAN 6 y un nodo de soporte (GGSN) 20 de compuerta GPRS que actúa como un punto de acceso para la red externa. Un registro de ubicación de visitante (VLR) 22 y un registro de ubicación de inicio (HLR) 24 manejan información de registro de usuario. En el dominio CS, el punto de acceso de la red central 4 es MSC 14 mediante una interfaz lu-CS. Para soportar servicios de circuito conmutado, los RNC 10 se conectan a MSC 14 de la red central 4 y MSC se conectan a GMSC 16 que maneja la conexión con otras redes.
En el dominio PS, el punto de acceso de la red central 4 es SGSN 18 mediante una interfaz lu-PS. Para soportar servicios de paquete conmutado, RNC 10 se conectan a SGSN 18 y GGSN 20 de la red central 4. SGSN 18 soporta las comunicaciones en paquete con RNC 10 y GGSN 20 maneja la conexión con otras redes de paquete conmutado, como en Internet. La interfaz entre UE 2 y la UTRAN 6 se lleva a cabo a través de un protocolo de interfaz de radio establecido de conformidad con especificaciones de red de acceso a radio 3GPP. La arquitectura convencional de protocolo de interfaz de radio se muestra en la figura 2. Como se ilustra en la figura 2, el protocolo de interfaz de radio convencional tiene capas horizontales que comprenden una capa física (L1 ), una capa de vínculo a datos (L2), y una capa de red (L3), y tiene planos verticales que comprenden un plano de usuario (plano U) para transmitir datos de usuario en plano de control (plano C) para transmitir información de control. El plano de usuario es una región que maneja información de tráfico con el usuario, como paquetes de voz o de protocolo de Internet (IP). El plano de control es una región que maneja información de control para una interfaz con una red y mantenimiento y manejo de una llamada. Las capas de protocolo pueden dividirse en una primera capa (L1 ), una segunda capa (L2), y una tercera capa (L3) con base en las tres capas inferiores de un modelo estándar de interconexión de sistema abierto (OSI). La primera capa (L1 ) es la capa física. La segunda capa (L2) incluye una capa de control de acceso a medio (MAC), una capa de control de vínculo a radio (RLC), una capa de control de transmisión/transmisión múltiple (BMC) y una capa de protocolo de convergencia de datos en paquete (PDCP). La capa (PHY) física proporciona servicio de transferencia de información a una capa superior utilizando varias técnicas de transferencia de radio. La capa física se vincula mediante canales de transporte a una capa de control de acceso a medio (MAC). La capa MAC maneja el trazado entre canales lógicos y canales de transporte y proporciona asignación de los parámetros MAC para asignación y reasignación de recursos de radio. La capa MAC se conecta a la capa física mediante canales de transporte y puede dividirse en una subcapa MAC -b, una subcapa MAC -d, una subcapa MAC -c/sh y una subcapa MAC -hs de acuerdo al tipo de canal de transporte que está siendo manejado. La capa MAC se conecta a una capa superior llamada la capa de control de vínculo a radio (RLC) vía un canal lógico. Varios canales lógicos son proporcionados de conformidad con el tipo de información transmitida. En general, un canal de control se utiliza para transmitir información de plano de control y un canal de tráfico se utiliza para transmitir información de plano de usuario. Un canal lógico puede ser un canal lógico o un canal dedicado dependiendo de si el canal lógico es compartido. Canales lógicos incluyen un canal de tráfico dedicado (DTCH), un canal de control dedicado (DCCH), un canal de tráfico común (CTCH), un canal de control común (CCCH), un canal de control de transmisión (BCCH), y un canal de control de localización (PCCH) o un canal de control de canal compartido. El BCCH proporciona información incluyendo información utilizada por un UE 2 para acceder a la red central 4. PCCH es usado por la UTRAN 6 para acceder a un UE 2. Los diferentes canales lógicos se enlistan en la figura 3. La subcapa MAC -b maneja un BCH (canal de transmisión), que es un canal de transporte que maneja la transmisión de información del sistema. En el vínculo descendente, la subcapa MAC- c/sh maneja un canal de transporte común, como un canal de acceso delantero (FACH) o un canal compartido de vínculo descendente (DSCH), que es compartido con una pluralidad de terminales. En el vínculo ascendente, la subcapa MAC-s/sh maneja un canal de acceso a radio (RACH). Por ello, cada UE 2 tiene una entidad MAC-c/sh. El mapeo posible entre los canales lógicos y los canales de transporte a partir de la perspectiva de un UE 2 se ilustra en la figura 4. El mapeo posible entre los canales lógicos y los canales de transporte a partir de la perspectiva de una UTRAN 6 se ilustra en la figura 5. La subcapa MAC-d maneja un canal dedicado (DCH), que es un canal de transporte dedicado para un UE 2. La subcapa MAC-d se ubica en un RNC 10 de servicio (SRNC) que maneja un UE 2 correspondiente, y una subcapa MAC-d también existe en cada UE 2. La capa RLC, dependiendo de modo en operación RLC, soporta transmisiones de datos confiables y realiza segmentación y concatenación en una pluralidad de unidades de datos de servicio RLC (SDU) suministradas desde una capa superior. Cuando la capa RLC recibe los RLC SDU de la capa superior, la capa RLC ajusta el tamaño de cada RLC SDU de manera apropiada con base en la capacidad de procesamiento y entonces crea unidades de datos al añadir información encabezado a esta. Las unidades de datos, llamadas unidades de datos de protocolo (PDU) se transfieren a la capa MAC mediante un canal lógico. La capa RLC incluye una memoria intermedia RLC para almacenar las RLC SDU y/o las RLC PDU. Los servicios RLC son usados por capas de protocolo específicas al servicio en el plano de usuario, a saber un protocolo de control de transmisión/transmisión múltiple (BMC) y un protocolo de convergencia de datos en paquete (PDCP) y se utilizan mediante una capa de control de recursos de radio (RRC) para señalizar el transporte en el plano de control. La capa BMC programa un mensaje de transmisión por celda (CB) entregado desde la red central 4 y permite al mensaje CB ser transmitido a los UE 2 correspondientes en la celda apropiada. La información de encabezado, como un identificador de mensaje, un número de serie, y un esquema de codificación, es añadido a mensaje CB para generar un mensaje BMC para entrega a la capa RLC. La capa RLC anexa información de encabezado RLC y transmite el mensaje así formado a la capa MAC vía un canal de tráfico común como un canal lógico. La capa MAC mapea el canal de tráfico común a un canal de acceso delantero (FACH) como un canal de transporte. El canal de transporte es mapeado con un canal físico control común secundario como el canal físico.
La capa PDCP está ubicado por encima de la capa RLC. La capa PDCP se utiliza para transmitir datos de protocolo de red, como IPv4 9 IPv6, de forma efectiva sobre una interfaz de radio con un ancho de banda relativamente pequeño. Para este propósito, la capa (RRC) reduce información de control innecesaria utilizada en una red cableada, una función llamada compresión de encabezado. La capa de control de recurso de radio (RRC) ubicada en la porción más baja de la tercera capa (L3) sólo se define en el plano de control. La capa RRC maneja la señalización de plano de control de la capa de red (L3) entre los UE 2 y la UTRAN 6 y controla el transporte y canales físicos para el establecimiento reconfiguración y liberación de portadores de radio. Un portador de radio es un servicio proporcionado por una capa inferior, como la capa RLC o capa MAC para transferencia de datos entre el UE 2 y la UTRAN 6. La interfaz por aire (Uu) entre el UE 2 y la UTRAN 6 incluye la capa RRC para el establecimiento, reconfiguración y liberación de portadores de radio, por ejemplo un servicio que provee transferencia de datos entre el UE y un RNC 10 del UTRAN. El establecimiento de un portador de radio determina las características de regulación de la capa de protocolo y el canal necesario para proporcionar un servicio específico, estableciendo así los parámetros y métodos operativos de servicio. Un UE 2 es considerado como que está en el modo conectado a RRC cuando la capa RRC de un UE y la capa RRC de un RNC 10 correspondientes están conectados, proporcionando así una transferencia bidireccional de mensajes RRC. Si no hay conexión RRC, el UE 2 se dice está en el modo inactivo de RRC. Al reenergizar, un UE está en el modo inactivo de RRC por omisión. Cuando es necesario, un UE 2 con RRC inactivo hacen la transición al modo conectado a RRC a través de un procedimiento de conexión RRC. Una conexión RRC se establece, por ejemplo, cuando la transferencia de datos en vínculo ascendente es necesitada para hacer una llamada o para responder un a mensaje de localización desde el RNC 10. La conexión RRC conecta el UE 2 al RNC 10 de la UTRAN 6. Las diferentes posibilidades que existen para el mapeo entre los portadores de radio y los canales de transporte no son todos posibles todo el tiempo. El UE 2 y la UTRAN 6 deducen el posible mapeo dependiendo del Estado del UE y el procedimiento que el UE y la UTRAN están ejecutando. Los diferentes canales de transporte son mapeados sobre diferentes canales físicos. Por ejemplo, el canal de transporte RACH es mapeado sobre un PRACH dado, el DCH puede mapearse sobre el DPCH, el FACH y el PCH pueden mapearse en el S-CCPCH, y el DSCH se mapea con el PDSCH. La configuración de los canales físicos es determinada por intercambio de señalización RRC entre el RNC 10 y el UE 2. Ya que una conexión RRC existe para UE 2 en modo conectado a RRC, la UTRAN 6 puede determinar la existencia de un UE en particular dentro de la unidad de celdas, por ejemplo en cual celda o conjunto de celdas el UE en modo conectado RRC reside y cual canal físico el UE está monitoreando. Por ello, el UE 2 puede ser efectivamente controlado. En contraste la UTRAN no puede determinar la existencia de un UE 2 en modo inactivo. La existencia de UE 2 inactivos sólo puede ser determinada mediante la red central 4 a estar dentro de una región que sea más grande que una celda, por ejemplo una ubicación o un área de enrutado. Por ello, la existencia de UE 2 en modo inactivo es determinada dentro de regiones grandes y, para recibir servicios de comunicación móvil como voces o datos, el UE en modo inactivo debe transicionar al modo conectado a RRC. Las posibles transiciones entre el modo y estados se ilustran en la figura 6. Un UE 2 en modo conectado a RRC puede estar en diferentes estados, por ejemplo estado CELL_FACH, estado, CELL_PCH estado, CELL-DCH o estado URA_PCH. Dependiendo del estado, el UE 2 desempeña diferentes acciones y monitorea diferentes canales. Por ejemplo un UE 2 en estado CELL_DCH tratará de monitorear, entre otras cosas, un tipo de canal de transporte DCH que esté mapeado con un cierto DPCH. Un UE 2 en estado CELL_FACH monitoreará varios canales de transporte FACH que son mapeados a un cierto S-CCPH. Un UE 2 en estado CELL_PCH monitoreará el canal PICH y el canal PCH que es mapeado con un cierto canal físico S-CCPCH. Las acciones de un UE 2 también son diferentes dependiendo del estado. Por ejemplo un UE 2, está en estado CELL_FACH cada vez que se mueve a una celda a otra celda y, dependiendo de diferentes condiciones, el UE iniciará el procedimiento de actualización de celda al transmitir un mensaje de actualización de celda al Nodo B 12 para indicar que el UE ha cambiado ubicación e iniciará monitoreando el canal FACH. Este procedimiento también es llevado a cabo cuando el UE 2 transiciona de cualquier otro estado a CELL_FACH y el UE no tiene C-RNTI disponible, por ejemplo cuando transiciona de estado CELL_PCH o estado CELL_DCH o cuando un UE en estado CELL_FACH fue previamente fuera de un área de cobertura. Para distinguir transmisiones entre RNC 10 y los diferentes UE 2 y para distinguir los diferentes portadores de radio que pueden ser multiplexados en la capa MAC, el MAC incluye un encabezado en las transmisiones. El tipo de canal lógico determina el tipo de encabezado MAC que el UE 2 utiliza para transmitir el mensaje, el modo UMTS (FDD o TDD) y el canal de transporte al cual el canal lógico es mapeado. Este encabezado puede contenedor un identificador que identifica un UE 2 específico. Hay muchos identificadores diferentes utilizados en el encabezado MAC para distinguir transmisiones a/desde los diferentes UE 2. El RNC 10 asigna los identificadores diferentes. Ejemplos de identificadores son C-RNTI, U-RNTI, S-RNTI y H-RNTI, C-RNTI es utilizado para identificar un UE 2 dado en una celda dada. U-RNTI se utiliza para identificar un UE 2 en un sistema UTRAN 6 dado. S-RNTI identifica el UE 2 en un canal de transporte DSCH. H-RNTI identifica UE2 en un canal de transporte HSDPA dado.
Los campos que están contenidos en el encabezado MAC para todos los canales de transporte excepto el canal de transporte HS-DSCH se ilustran en la figura 7. El campo TCTF (campo de tipo canal objetivo) indica el tipo de canal lógico que es mapeado en el canal de transporte dado en el caso que diferentes canales lógicos puedan ser mapeados en el canal de transporte. El tipo UE-ld es el identificador UE 2. El campo C T indica el portador de radio que fue establecido. TCTF es utilizado para distinguir entre los diferentes canales lógicos. Distinguir entre canales lógicos determina el formato exacto del resto del encabezado MAC. Por ejemplo, si CCCH es mapeado en RACH/FACH, el encabezado MAC contiene sólo el campo TCTF que lleva la información que el resto de MAC PDU contiene un mensaje de un canal de transporte tipo CCCH. Presentemente, el estándar UMTS indica que sólo el portador de radio de señalización 0 (SRBO) puede utilizar el canal lógico CCCH. Por ello no hay necesidad para el campo C/T cuando el canal lógico CCCH es usado. En el vínculo ascendente no todos los canales de transporte están disponibles dependiendo del estado del UE 2. Por ejemplo cuando el UE 2 está en estado CELL FACH, el UE no puede utilizar un canal de transporte DCH, pero puede utilizar, por ejemplo, un canal de transporte RACH. Para el mapeo de DCCH en RACH por ejemplo, el UE 2 debe tener un C-RNTI. Sin embargo, si el UE 2 se ha movido a una celda nueva y desea iniciar el procedimiento de actualización de celda, el UE no tiene un C-RNTI. Por ello, el UE 2 puede solo mapearse al canal lógico CCCH en RACH. En codificar el mensaje CCCH, una "identidad inicial", que ya sea está fija o asignada UE 2 por la red central 4 o el U-RNTI es incluida en el mensaje para distinguir el UE 2. La misma situación existe cuando el UE 2 ha sido encendido y quiere establecer una conexión RRC. Por ello, el UE 2 puede sólo utilizar el canal lógico CCCH mapeado al canal de transporte RACH para transmitir el mensaje de solicitud de conexión RRC. La capa En el vínculo ascendente no todos los canales de transporte están disponibles dependiendo del estado del UE 2. Por ejemplo cuando el UE 2 está en estado CELL FACH, el UE no puede utilizar un canal de transporte DCH, pero puede utilizar, por ejemplo, un canal de transporte RACH. Para el mapeo de DCCH en RACH por ejemplo, el UE 2 debe tener un C-RNTI. Sin embargo, si el UE 2 se ha movido a una celda nueva y desea iniciar el procedimiento de actualización de celda, el UE no tiene un C-RNTI. Por ello, el UE 2 puede solo mapear el canal lógico CCCH en RACH. En codificar el mensaje CCCH, una "identidad inicial", que ya sea está fija o asignada UE 2 por Iña red central 4 o el U-RNTI es incluido en el mensaje para distinguir el UE 2. La misma situación existe cuando el UE 2 ha sido encendido y quiere establecer una conexión RRC. Por ello, el UE 2 puede sólo utilizar canal lógico CCCH mapeado en el canal de transporte RACH para transmitir el mensaje de solicitud de conexión RRC. La capa RLC puede usar ya sea modo transparente (TM), modo no reconocido (UM) o modo reconocido (AM). Dependiendo del modo, el tamaño de RLC PDU puede cambiar después de cada transmisión de un bloque de transporte. En modo TM y UM el tamaño de RLC PDU puede cambiar después de cada transmisión. En AM, el tamaño de PDU puede no ser cambiado dinámicamente pero sólo a través de una reconfiguración por el RNC 10 porque la PDU pueden ser retransmitidas. Los canales de transporte pueden manejar RLC PDUs de tamaños predefinidos. El tamaño de bloque de transporte de la capa física es definido por el tamaño RLC PDUs y el tamaño del encabezado MAC. Diferentes canales de transporte permiten diferentes tamaños de bloque de transporte y un canal de transporte dado puede también permitir diferentes tamaños. Generalmente, los tamaños de bloque de transporte un UE 2 es permitido ahusar para un portador de radio específico son determinados por RNC 10 o fijados por el estándar UMTS. Un canal de transporte es definido por su tipo, por ejemplo RACH, FACH, DCH, DSCH o USCH, y por sus atributos. Algunos a tributos son dinámicos y algunos atributos son semi-estáticos. Atributos dinámicos incluyen el tamaño de bloque de transporte, que es el tamaño de MAC PDU; el tamaño fijo de bloque de transporte, que es el tamaño de MAC-PDU multiplicado por el número de MAC-PDU que pueden ser transportados en un intervalo de tiempo de transmisión (TTI); y el intervalo de tiempo de transmisión, que es un atributo dinámico opcional para TDD únicamente. Atributos semi-estáticos incluyen el intervalo de tiempo de transmisión, que es obligatorio para FDD y opcional para la parte dinámica de portadores TDD NRT; el esquema de protección de error aplicado; el tipo de protección de error; el código turbo; el código convolucional; codificación sin canal, que es semi-estática para TDD únicamente; la velocidad de codificación; el parámetro de coincidencia de porcentaje estático; y el tamaño de CRC. La parte semi-estática de un atributo puede solo ser cambiada cuando la capa RRC cambia la configuración. La parte dinámica de un atributo proporciona varias alternativas, por ejemplo que pueda haber uno, dos o tres bloques de transporte transmitidos en un ??. Aún más, el tamaño de bloque de transporte puede ser cambiado durante cada TTi. Un conjunto de valores de las partes dinámicas y las partes semi-estáticas es llamado un formato de transporte (TF). Cada canal de transporte puede utilizar uno o más formatos de transporte. Por ejemplo, sólo un canal de transporte puede ser mapeado en el canal de acceso aleatorio físico (PRACH), el canal al cual la presente invención está dirigida. El mensaje PRACH incluye una porción de datos que es generada fuera del conjunto de bloques de transporte recibidos por el estatus MAC e incluye información de control que es generada en la capa física. La información de control incluye el indicador de combinación de formato de transporte (TFCI) que es utilizado para determinar la formación y el formato de transporte que es utilizado para la transmisión. La figura 8 ilustra la estructura de mensajes RACH. Cuando un portador de radio es mapeado vía un canal lógico a vía un canal de transporte, no todas las combinaciones de formato de transporte existentes pueden ser usadas. Las combinaciones de formato de transporte permisibles son determinadas por el protocolo RRC según lo índica información de mapeo RB. Presentemente, el estándar UMTS indica que el número de portador de radio de señalización cero (SRBO) siempre es mapeado vía un canal lógico CCCH en el canal de transporte RACH. Presentemente, el estándar UMTS también indica que un UE 2 es sólo permitido a usar el primer formato de transporte que está enlistado para el RACH seleccionado para transmisión de mensajes vía CCCH. Generalmente el primer formato de transporte de un RACH puede llevar sólo un bloque de transporte de 168 bits. Sin embargo, los mensajes que son transmitidos vía CCCH pueden ser grandes y, en algunas situaciones puede ser benéfico utilizar también otros tamaños de bloque de transporte. CCCH se fija a siempre usar modos TM en el vínculo ascendente. Modos no soporta la segmentación y el margen de celda. El encabezado MAC siempre incluye solo el encabezado TCTF que consiste de 2 bits. Por ello, el mensaje RRC que es portado en MAC SDU debe ser adaptado para cumplir con el tamaño requerido de MAC SDU.
Mensajes RRC son generados utilizando una codificación especial conocida como codificación ASN. 1. La figura 9 ilustra su codificación ASN. 1 de un mensaje RRC para CCCH. Los diferentes elementos de información que forman la parte de R99 y la parte de extensión son codificadas por los medios de ASN. 1 para carear la producción básica. El codificador añade bits de margen para asegurar que el número de bits es un múltiplo de 8. Para adaptar el tamaño RRC PDU al tamaño de MAS SDU para los mensajes CCCH en TM, el estatus RRC añade margen adicional. El canal lógico CCCH se utiliza para transmitir mensajes de actualización de celda, mensajes de solicitud de conexión RRC y mensajes de actualización URA en el vínculo ascendente. Los mensajes tienen diferentes tamaños dependiendo de la información que es añadida al mensaje. Los mensajes también contienen información sobre los resultados medidos de celdas contiguas, por ejemplo calidad e información de sincronización como resultados medidos en RACH: Métodos convencionales adaptan el tamaño de los mensajes transmitidos en el canal lógico CCCH para que RLP PDU con el encabezado MAC quepa dentro del bloque de transporte que es usado en RACH. Un método convencional 1 para transmitir mensajes en el canal lógico CCCH se ilustra en la figura 10. Como se ilustra en la figura 10, información respecto a las configuraciones PRACH existentes es transmitida a UE 2 (S10). Con base en las configuraciones PRACH de transportes existentes, UE 2 selecciona el PRACH de conformidad con un algoritmo (S12). El UE 2 genera un mensaje incluyendo todos los elementos de información para transmisión sobre PRACH (S14). El UE 2 compara el tamaño del mensaje con el tamaño de bloque de transporte del primer formato de transporte del RACH correspondiente y adapta el tamaño del mensaje al borrar información de medición hasta que el mensaje quepa dentro del tamaño de bloque de transporte (S16). El UE 2 entonces transmite el mensaje adaptado vía PRACH (S18). En un sistema UMTS varios PRACH pueden ser configurados en una celda. Un A UE 2 en modo conectado a RRC- o inactivo en RRC- lee una lista de canales PRACH a partir de los bloques de información del sistema. Cada canal PRACH puede tener una lista de formatos de transporte disponibles. En TDD (Dúplex de División de Tiempo), el TTI (Intervalo de Tiempo de Transmisión) o duración de la transmisión de un bloque de transporte) de un PRACH puede ser diferente dependiendo del formato de transporte. En modo 1.28 MCPS TDD, UE 2 siempre selecciona el TTI más largo de los formatos de transporte que están adecuados para transmisión del conjunto de bloques de transporte. El FDD (Dúplex de División de Frecuencias), cada canal PRACH tiene un TTI fijo. Cada formato de transporte está caracterizado, entre otras características, por un tamaño de bloque de transporte y el número de bloques de transporte que pueden ser transmitidos durante un TTI.
Para seleccionar PRACH, el UE 2 primero debe seleccionar el TTI a ser aplicado. Una vez que el TTI seleccionado, el UE 2 selecciona un canal PRACH aleatoriamente de los PRACHs que existen que utilizan en la longitud TTI seleccionado. Si PRACH con diferentes longitudes TTI existen, la longitud TTI es seleccionada de conformidad con el método 50 ilustrado en la figura 12, de lo contrario, lo TTI de lo PRACHs configurado es utilizado. En referencia a la figura 1 , el UE 2 selecciona un formato de transporte con 10 mseg. TTI basado en los formatos de transporte disponibles en el paso S52. A partir de los formatos de transporte soportados por todos los RACH, aquellos formatos que tienen un TTI DE 10 mseg y corresponden a un bloque de transporte de todos los subtamaños RLC configurados son mantenidos. Por ejemplo, el tamaño RLC aplicable para RBO es mantenido en modo inactivo de RRC- y los tamaños RLC configurados con información de mapeado RB son mantenidos en modo conectado a RRC-. Sin más de un formato de transporte individual es aplicable, el UE 2 puede seleccionar cualquiera de los formatos disponibles. Preferiblemente, UE 2 selecciona el formato de transporte que es pretendido para uso por la siguiente transmisión.
RLC puede usar ya sea modo transparente (TM), modo no reconocido (UM) o modo reconocido (AM). Dependiendo del modo, el tamaño de RLC PDU puede cambiar después de cada transmisión de un bloque de transporte. En modo TM y UM el tamaño de RLC PDU puede cambiar después de cada transmisión. En AM, el tamaño de PDU puede no ser cambiado dinámicamente pero sólo a través de una reconfiguración por el RNC 10 porque las PDU pueden ser retransmitidas. Los canales de transporte pueden manejar RLC PDU de tamaños predefinidos. El tamaño de bloque de transporte de la capa física es definido por el tamaño RLC PDU y el tamaño del encabezado MAC. Diferentes canales de transporte permiten diferentes tamaños de bloque de transporte y un canal de transporte dado puede también permitir diferentes tamaños. Generalmente, los tamaños de bloque de transporte un UE 2 es permitido a usar para un portador de radio específico son determinados por RNC 10 o fijados por el estándar UMTS. Un canal de transporte es definido por su tipo, por ejemplo RACH, FACH, DCH, DSCH o USCH, y por sus atributos. Algunos atributos son dinámicos y algunos atributos son semi-estáticos. Atributos dinámicos incluyen el tamaño de bloque de transporte, que es el tamaño de MAC PDU; el tamaño fijo de bloque de transporte, que es el tamaño de MAC-PDU multiplicado por el número de MAC-PDU que pueden ser transportados en un intervalo de tiempo de transmisión (TTI); y el intervalo de tiempo de transmisión, que es un atributo dinámico opcional para TDD únicamente. Atributos semi-estáticos incluyen el intervalo de tiempo de transmisión, que es obligatorio para FDD y opcional para la parte dinámica de portadores TDD NRT; el esquema de protección de error aplicado; el tipo de protección de error; el código turbo; el código convolucional; codificación sin canal, que es semi-estática para TDD únicamente; la velocidad de codificación; el parámetro de coincidencia de porcentaje estático; y el tamaño de CRC. La parte semi-estática de un atributo puede solo ser cambiada cuando la capa RRC cambia la configuración. La parte dinámica de un atributo proporciona varias alternativas, por ejemplo que pueda haber uno, dos o tres bloques de transporte transmitidos en un TTI. Aún más, el tamaño de bloque de transporte puede ser cambiado durante cada TTI. Un conjunto de valores de las partes dinámicas y las partes semi-estáticas es llamado un formato de transporte (TF). Cada canal de transporte puede utilizar uno o más formatos de transporte. Por ejemplo, sólo un canal de transporte puede ser mapeado al canal de acceso aleatorio físico (PRACH), el canal al cual la presente invención está dirigida. El mensaje PRACH incluye una porción de datos que es generada fuera del conjunto de bloques de transporte recibidos por la capa MAC e incluye información de control que es generada en la capa física. La información de control incluye el indicador de combinación de formato de transporte (TFCI) que es utilizado para determinar la codificación y el formato de transporte que es utilizado para la transmisión. La figura 8 ¡lustra la estructura de mensajes RACH. Cuando un portador de radio es mapeado vía un canal lógico a un canal de transporte, no todas las combinaciones de formato de transporte existentes pueden ser usadas. Las combinaciones de formato de transporte permisibles son determinadas por el protocolo RRC según lo indica información de mapeo RB. Presentemente, el estándar UMTS indica que el número de portador de radio de señalización cero (SRBO) siempre es mapeado vía un canal lógico CCCH en el canal de transporte RACH. Presentemente, el estándar UMTS también indica que un UE 2 es sólo permitido a usar el primer formato de transporte que está enlistado para el RACH seleccionado para transmisión de mensajes vía CCCH. Generalmente el primer formato de transporte de un RACH puede llevar sólo un bloque de transporte de 168 bits. Sin embargo, los mensajes que son transmitidos vía CCCH pueden ser grandes y, en algunas situaciones puede ser benéfico utilizar también otros tamaños de bloque de transporte. CCCH se fija a siempre usar modo TM en el vínculo ascendente.
El modo TM no soporta la segmentación y el margen de celda. El encabezado MAC siempre incluye solo el encabezado TCTF que consiste de 2 bits. Por ello, el mensaje RRC que es portado en MAC SDU debe ser adaptado para cumplir con el tamaño requerido de MAC SDU. Mensajes RRC son generados utilizando una codificación especial conocida como codificación ASN. 1 . La figura 9 ilustra codificación ASN. 1 de un mensaje RRC para CCCH.
Los diferentes elementos de información que forman la parte de R99 y la parte de extensión son codificadas por los medios de ASN. 1 para crear la producción básica. El codificador añade bits de margen para asegurar que el número de bits es un múltiplo de 8. Para adaptar el tamaño RRC PDU al tamaño de MAC SDU para los mensajes CCCH en TM, la capa RRC añade margen adicional. El canal lógico CCCH se utiliza para transmitir mensajes de actualización de celda, mensajes de solicitud de conexión RRC y mensajes de actualización URA en el vinculo ascendente. Los mensajes tienen diferentes tamaños dependiendo de la información que es añadida al mensaje. Los mensajes también contienen información sobre los resultados medidos de celdas contiguas, por ejemplo calidad e información de sincronización como resultados medidos en RACH: Métodos convencionales adaptan el tamaño de los mensajes transmitidos en el canal lógico CCCH para que RLP PDU con el encabezado MAC quepa dentro del bloque de transporte que es usado en RACH. Un método convencional 1 para transmitir mensajes en el canal lógico CCCH se ilustra en la figura 10. Como se ilustra en la figura 10, información respecto a las configuraciones PRACH existentes es transmitida a UE 2 (S10). Con base en las configuraciones PRACH de transportes existentes, UE 2 selecciona el PRACH de conformidad con un algoritmo (S 2). El UE 2 genera un mensaje incluyendo todos los elementos de información para transmisión sobre PRACH (S14). El UE 2 compara el tamaño del mensaje con el tamaño de bloque de transporte del primer formato de transporte del RACH correspondiente y adapta el tamaño del mensaje al borrar información de medición hasta que el mensaje quepa dentro del tamaño de bloque de transporte (S16). El UE 2 entonces transmite el mensaje adaptado vía PRACH (S18). En un sistema UMTS varios PRACH pueden ser configurados en una celda. Un UE 2 en modo conectado a RRC- o inactivo en RRC- lee una lista de canales PRACH a partir de los bloques de información del sistema. Cada canal PRACH puede tener una lista de formatos de transporte disponibles. En TDD (Dúplex de División de Tiempo), el TTI (Intervalo de Tiempo de Transmisión) o duración de la transmisión de un bloque de transporte) de un PRACH puede ser diferente dependiendo del formato de transporte. En modo 1 .28 MCPS TDD, UE 2 siempre selecciona el TTI más largo de los formatos de transporte que están adecuados para transmisión del conjunto de bloques de transporte. En FDD (Dúplex de División de Frecuencias), cada canal PRACH tiene un TTI fijo. Cada formato de transporte está caracterizado, entre otras características, por un tamaño de bloque de transporte y el número de bloques de transporte que pueden ser transmitidos durante un TTI. Para seleccionar PRACH, el UE 2 primero debe seleccionar el TTI a ser aplicado. Una vez que el TTI es seleccionado, el UE 2 selecciona un canal PRACH aleatoriamente de los PRACH que existen que utilizan en la longitud TTI seleccionada. Si PRACH con diferentes longitudes TTI existen, la longitud TTI es seleccionada de conformidad con el método 50 ilustrado en la figura 12, de lo contrario, el TTI de los PRACH configurados es utilizado. En referencia a la figura 1 1 , el UE 2 selecciona un formato de transporte con 10 mseg. TTI basado en los formatos de transporte disponibles en el paso S52. A partir de los formatos de transporte soportados por todos los RACH, aquellos formatos que tienen un TTI de 10 mseg y corresponden a un bloque de transporte de todos los tamaños RLC configurados son mantenidos. Por ejemplo, el tamaño RLC aplicable para RBO es mantenido en modo inactivo de RRC y los tamaños RLC configurados con información de mapeo RB son mantenidos en modo conectado a RRC. Si más de un formato de transporte individual es aplicable, el UE 2 puede seleccionar cualquiera de los formatos disponibles. Preferiblemente, UE 2 selecciona el formato de transporte que es pretendido para uso por la siguiente transmisión. Si tal información no esta disponible, el formato de transporte correspondiendo al tamaño RLC configurado más grande es seleccionado. En el paso S54, el UE 2 calcula el margen de energía al estimar la energía de transmisión necesaria para transmitir un conjunto de bloqueo de transporte en el RACH con un formato de transporte dado. El algoritmo utilizado para este cálculo es especificado por el estándar 3GPP y usa, entre otros parámetros de entrada, TTI, el tamaño de bloque de transporte y número de bloques de transporte a ser transmitidos. En el paso S56, el margen de energía calculado se compara con 6 dB. Si el margen de energía es mayor que 6 dB, el TTI 10 m seg es seleccionado en el paso S58. Si el margen de energía calculado no es mayor que 6 db, el TTI 20 mseg es seleccionado en el paso S60. Si el tamaño de un mensaje CCCH es demasiado grande utilizando los métodos convencionales 1 , 50, un UE2 podría completamente borrar la información en los resultados medidos de celdas contiguas, por ejemplo resultados medidos en RACH, aunque la calidad e información de sincronización podrían ser necesitadas en RNC 10. Sin la calidad e información de sincronización, una conexión puede no ser establecida con RNC 10 cuando un UE 2 se mueve a otra celda. El UE 2 puede no ser capaz de transmitir datos y una llamada tal puede ser interrumpida o una nueva llamada puede no ser iniciada. Ya que el estándar UMTS restringe a un UE 2 a siempre usar el primer tamaño de bloque de transporte de PRACH seleccionado, solo hay un tamaño de bloque transporte disponible para SRB0. Por ello, el tamaño de los mensajes está limitado al tamaño de bloque de transporte. Ha sido sugerido cambiar el tamaño del primer formato de transporte del PRACH. Sin embargo, no hay garantía que todas las terminales móviles soportarán un cambio de tamaño de SRB0. Por ello, siempre que haya terminarles móviles que no soporten otro tamaño de bloque de transporte utilizado en PRACH mensajes que son transmitidos vía CCCH en el vinculo ascendente puede no ser extendidos en nuevas emisiones del estándar UMTS. Por ello, existe una necesidad para un método y aparato que se adapte a un nuevo estándar UMTS que permita mensajes a ser transmitidos y al canal CCCH que sean mayores que el tamaño de bloque de transporte actualmente disponible, pero simultáneamente no impactando la operación de terminales móviles que no se adaptan al nuevo estándar UMTS. La presente invención abarca estas y otras necesidades.
BREVE DESCRIPCION DE LA INVENCION Problema técnico La presente invención está dirigida a un método y aparato para mejorar la señalización entre un dispositivo de comunicación móvil y una red. Específicamente, la invención está dirigida a un método y aparato para proporcionar nuevas configuraciones para transmitir información de control entre un canal lógico/canal de transporte de canal de control común tal que la operación de terminales móviles que no soportan las nuevas configuraciones no sea impactada. Características y ventajas adicionales de la invención se establecerán en la descripción que sigue, y en parte serán evidentes a partir de la descripción, o pueden ser aprendidas por la práctica de la invención. Los objetivos y otras ventajas de la invención serán concretados y logrados por la estructura particularmente señalada en la descripción descrita y reivindicaciones de éstas así como los dibujos adjuntos.
Solución técnica Para lograr éstas y otras ventajas y de conformidad con el propósito de la presente invención como se modaliza y se describe ampliamente, la presente invención está modalizada en un método y aparato que mejora la señalización entre un dispositivo de comunicación móvil y una red. Específicamente, nuevas configuraciones para transmitir información de control entre un móvil y una red utilizando un canal lógico/canal de transporte de canal de control común (CCCH) son provistas y una indicación es provista a partir de una red respecto de la cual las nuevas configuraciones están disponibles para uso tal que previamente disponibles configuraciones estén todavía disponibles para terminales móviles que no soportan las nuevas configuraciones. En un aspecto de la presente invención, un método es provisto para transmitir información de control a una red. El método incluye recibir un mensaje de información indicando una o más configuraciones disponibles para transmitir un mensaje, seleccionar una de configuraciones disponibles y transmitir un mensaje utilizando la configuración seleccionada. Se contempla que las configuraciones disponibles pueden incluir un modo de configuración de legado y una identidad de configuración de legado. El modo de configuración de legado es un modo de configuración para transmitir un mensaje que puede ser utilizado por terminales móviles que no soportan las nuevas configuraciones provistas por la presente invención. Se contempla que las configuraciones disponibles pueden incluir un modo de configuración predefinido y una identidad de configuración predefinida. El modo de configuración predefinido es una nueva configuración para transmitir un mensaje que es provisto por la presente invención. Es contemplado que las nuevas configuraciones provistas por la presente invención pueden incluir un canal adicional, un tamaño de bloque de mensaje incrementado para un canal existente, una nueva configuración de mapeo de canal y/o un nuevo formato de mensaje. Preferiblemente la selección de una de las configuraciones disponibles es basada en el tamaño de un mensaje a ser transmitido. Es contemplado que un nuevo canal lógico y/o un nuevo canal físico pueden ser provistos. Es contemplado adicionalmente que un tamaño de mensaje incrementado puede ser provisto para un canal existente, preferiblemente un canal lógico y/o un canal físico. Aún más, es contemplado que una nueva configuración de mapeo de canal puede ser asociada con mapeo de un canal lógico a un canal físico. Es contemplado que el mensaje de información indicando las configuraciones disponibles para transmitir un mensaje puede ser recibido vía un canal común. Preferiblemente la información indicando las configuraciones disponibles es incluida en una porción de extensión del mensaje de información. Es contemplado que el mensaje de información que indica las configuraciones disponibles para transmitir un mensaje puede ser recibido vía un canal dedicado. Preferiblemente el mensaje de información es un mensaje de configuración de conexión RRC. En otro aspecto de la presente invención, un método es provisto para transmitir información de controles de por lo menos un dispositivo de comunicación móvil y una red. El método incluye proporcionar nuevas configuraciones para transmitir un mensaje en uno o más dispositivos de comunicación móvil, las nuevas configuraciones incluyendo un canal adicional, un tamaño de bloque de mensaje incrementado para un canal existente, una nueva configuración de mapeo de canal y/o un nuevo formato de mensaje, transmitir un mensaje de información indicando las nuevas configuraciones de la red a uno o más dispositivos de comunicación móvil, seleccionar una de las nuevas configuraciones en los dispositivos de comunicación móvil y transmitir un mensaje utilizando la configuración seleccionada para los dispositivos de comunicación móvil a la red. Es contemplado que un nuevo canal lógico y/o un nuevo canal físico puede ser provisto. Es contemplado adicionalmente que un tamaño de mensaje incrementado puede ser provisto para un canal existente, preferiblemente un canal lógico y/o canal físico. Aún más, es contemplado que una nueva configuración de mapeo de canal puede ser asociada con mapear un canal lógico a un canal físico. Preferiblemente la selección de una de las nuevas configuraciones es basada en el tamaño de un mensaje a ser transmitido. Es contemplado que el mensaje de información indicando las nuevas configuraciones para transmitir un mensaje puede ser transmitido vía un canal común a una pluralidad de dispositivos de comunicación móvil. Preferiblemente, la información indicando las configuraciones disponibles es incluida en una porción de extensión del mensaje de información tal que dispositivos de comunicación móvil que no incorporan las nuevas configuraciones no interpreten la información. Es contemplado que el mensaje de información indicando las nuevas configuraciones para transmitir un mensaje puede ser transmitido vía un canal dedicado a un dispositivo de comunicación móvil específico. Preferiblemente un mensaje de información es un mensaje de configuración de conexión RRC. En otro aspecto de la presente invención, un método es provisto para transmitir información de control a una red. El método incluye transmitir un mensaje de información indicando una o más configuraciones disponibles para transmitir un mensaje y recibir un mensaje transmitido utilizando una de las configuraciones disponibles. Se contempla que las configuraciones disponibles puedan incluir un modo de configuración heredada e identidad de configuración heredada. El modo de configuración heredada es un modo de configuración para transmitir un mensaje que puede ser utilizado mediante terminales móviles que no soportan las nuevas configuraciones proporcionadas por la presente invención. Se contempla que las configuraciones disponibles puedan incluir un modo de configuración predefinida y una identidad de configuración predefinida. El modo de configuración predefinida es una nueva configuración para transmitir un mensaje que se proporciona por la presente invención. Se contempla que las nuevas configuraciones proporcionadas por la presente invención puedan incluir un canal adicional, un tamaño de bloque de mensaje incrementado para un canal existente, una nueva configuración de mapeo de canal, y/o un nuevo formato de mensaje. Preferiblemente, el mensaje de información es un mensaje de configuración de conexión RRC. Se contempla que un nuevo canal lógico y/o un nuevo canal físico pueda proporcionarse. Además se contempla que un tamaño de mensaje incrementado pueda proporcionarse para un canal existente, preferiblemente un canal lógico y/o un canal físico. Además se contempla que una nueva configuración de mapeo de canal pueda relacionarse con el mapeo de un canal lógico a un canal físico. Se contempla que el mensaje de información que indica las configuraciones disponibles para transmitir un mensaje pueda transmitirse por medio de un canal común a una pluralidad de terminales móviles. Preferiblemente, la información que indica las configuraciones disponibles se incluye en una porción de extensión del mensaje de información.
Se contempla que el mensaje de información que indica las configuraciones disponibles para transmitir un mensaje puede ser transmitido por medio de un canal dedicado a una terminal móvil específica. Preferiblemente el mensaje de información es un mensaje de configuración de conexión RRC. En otro aspecto de la presente invención, se proporciona un dispositivo de comunicación móvil para transmitir información de control a una red. El dispositivo de comunicación móvil incluye un módulo de RF, una antena, un teclado numérico, una pantalla, una unidad de almacenamiento y una unidad de procesamiento. La antena y el módulo de RF reciben un mensaje de información desde la red y transmiten un mensaje a la red. El teclado numérico permite un usuario introducir información. La pantalla transporta información a un usuario. La unidad de almacenamiento almacena información relacionada con una o más configuraciones. La unidad de procesamiento realiza los métodos de la presente invención para procesar un mensaje de información que indica configuraciones disponibles para transmitir un mensaje, seleccionar una de las configuraciones disponibles y transmitir un mensaje utilizando la configuración seleccionada. En otro aspecto de la presente invención, se proporciona una red para transmitir información de control a una o más terminales móviles. La red incluye un transmisor, un receptor y un controlador.
El transmisor trasmite un mensaje de información a una o más terminales móviles. El receptor recibe un mensaje de una o más terminales móviles. El controlador realiza los métodos de la presente invención para generar un mensaje de información que indique una o más configuraciones disponibles para trasmitir un mensaje y para procesar mensajes transmitidos desde una o más terminales móviles utilizando una de las configuraciones disponibles. Debe entenderse que tanto la explicación anterior como la siguiente descripción detallada de la presente invención son ejemplares e ilustrativas y pretenden además proporcionar explicación adicional de la invención como se reclama.
BREVE DESCRIPCION DE LOS DIBUJOS Los dibujos anexos, que se incluyen para proporcionar un entendimiento adicional de la invención y que se incorporan en y constituyen una parte de la solicitud, ilustran la(s) modalidad(es) de la invención y junto con la descripción sirven para explicar el principio de la invención. En los dibujos: La figura 1 ilustra un diagrama en bloques de una estructura de red UMTS convencional. La figura 2 ilustra un protocolo de interfaz de radio convencional.
La figura 3 ilustra diferentes canales lógicos en un protocolo de interfaz de radio convencional. La figura 4 ilustra un mapeo posible entre los canales lógicos y los canales de transporte desde la perspectiva de una terminal móvil en un protocolo de interfaz de radio convencional. La figura 5 ilustra un mapeo posible entre los canales lógicos y los canales de transporte desde la perspectiva de una red central en un protocolo de interfaz de radio convencional. La figura 6 ilustra posibles transiciones entre modos y estados de una terminal móvil convencional. La figura 7 ilustra los campos contenidos en la cabecera MAC en un protocolo de interfaz de radio convencional para todos los canales de transporte excepto el canal de transporte HS-DSCH. La figura 8 ilustra la estructura de mensaje RACH convencional. La figura 9 ilustra la codificación ASN.1 convencional de un mensaje RRC para CCCH. La figura 10 ilustra un método convencional para transmitir mensajes en el canal lógico CCCH. La figura 11 ilustra un método convencional para seleccionar la longitud de ?? con el fin de seleccionar el PRACH para la transmisión de mensajes en un modo FDD (Dúplex por División en Frecuencia). La figura 12 ilustra un método para transmitir mensajes en el canal lógico CCCH de acuerdo con la modalidad de la presente invención.
La figura 13 ilustra un método para seleccionar la longitud ??? con el fin de seleccionar el PRACH para transmisión de mensajes en el modo FDD (Dúplex por División en Frecuencia) de conformidad con una modalidad de la presente invención. La figura 14 ilustra un método para transmitir una indicación de configuraciones PRACH disponibles utilizando un mensaje transmitido a una pluralidad de terminales móviles de conformidad con una modalidad de la presente invención. La figura 15 ilustra un método para transmitir una indicación de configuraciones PRACH disponibles utilizando un mensaje transmitido a una terminal móvil específica de conformidad con una modalidad de la presente invención. La figura 16 ilustra un dispositivo de comunicación móvil de conformidad con una modalidad de la presente invención. La figura 17 ilustra una red de conformidad con una modalidad de la presente invención.
DESCRIPCION DETALLADA DE LA INVENCION La presente invención se refiere a un método y aparato para proporcionar nuevas configuraciones para transmitir información de control entre una terminal móvil, por ejemplo un quipo de usuario (UE, por sus siglas en inglés), y un controlador de red de radio (RNC) utilizando un canal lógico/canal de transporte del canal de control común (CCCH) de manera que no se ¡mpacte la operación de las terminales móviles que no soportan las nuevas configuraciones. Aunque la presente invención se ilustra con respecto a una terminal móvil, se contempla que la presente invención pueda utilizarse en cualquier momento que se desee para proporcionar nuevas configuraciones para transmitir información de control entre un dispositivo de comunicación móvil y una red. Ahora se hará referencia a detalle a las modalidades preferidas de la presente invención, cuyos ejemplos se ilustran en los dibujos anexos. A través de los dibujos, elementos similares se indican utilizando las mismas designaciones de referencia o similares. La invención propone utilizar una nueva configuración para la transmisión de mensajes de RRC de SRBO. Se pretende que la nueva configuración permita transmitir mensajes sobre el CCCH que sean más grandes a aquéllos que se permiten transmitir en la actualidad. Permitir que mensajes más grandes sean transmitidos, por ejemplo mensajes que contienen información adicional, sobre el CCCH puede evitar que la información de calidad e formación de tiempo esenciales, por ejemplo resultados medidos en RACH, se borren de los mensajes enviados sobre el CCCH. Existen varias formas en las cuales puede implementarse una nueva configuración. Una primera modalidad de la nueva configuración proporciona un nuevo canal RACH físico (PRACH) que puede utilizarse únicamente por UE 2 que soportan el uso de PRACH adicional. El nuevo PRACH pueden indicarse utilizando los mensajes de información del sistema existente de manera que únicamente UE 2 que soporta el uso de nuevos PRACH puedan utilizar el nuevo canal para transmitir mensajes por medio de CCCH en el nuevo PRACH. Una segunda modalidad de la nueva configuración permite a un UE 2 utilizar un formato de transporte diferente en el mismo RACH que se utiliza en la actualidad para transmitir mensajes por medio de un CCCH. Un nuevo canal lógico puede implementarse, por ejemplo, un canal de control común mejorado (ECCCH), que puede mapearse en cualquier combinación de formato de transporte de los canales RACH disponibles. El RNC 10 puede indicar si un UE 2 tiene permiso de utilizar el CCCH mejorado, por ejemplo en un mensaje de información de sistema existente, los mensajes RRC o cualquier otro mensaje transmitido desde el RNC al UE 2. Una tercera modalidad permite el mapeo del canal CCCH u otros tamaños de bloque de transporte del RACH existente. Puede no haber necesidad de cambiar la arquitectura del UE 2 o red central 4, ya que únicamente el mapeo de PRACH puede cambiarse. De acuerdo con la tercera modalidad, el RNC 10 puede señalar si el UE 2 puede mapear el CCCH en cualquier PRACH y si cualquier tamaño de bloque de transporte PRACH o únicamente ciertos tamaños de bloque de transporte PRACH se permiten. El RNC 10 puede indicar los números de entradas en la lista de los tamaños de bloque de transportes PRACH que se permiten. Alternativamente, el mapeo del canal CCCH en cualquier PRACH puede permitirse sin ninguna indicación del RNC 10. Una cuarta modalidad permite que se utilice un nuevo formato de mensaje. El nuevo formato de mensaje puede adaptarse para incluir únicamente los datos más necesarios. Por ejemplo, los valores START pueden omitirse en un mensaje de solicitud de conexión RRC ya que los valores START también se transmiten en el mensaje de transferencia directo inicial. La figura 12 ilustra un método 100 para seleccionar una configuración para transmitir un mensaje por medio del PRACH de acuerdo con una modalidad de la presente invención. El método 100 incluye transmitir información que indica las configuraciones del PRACH disponibles a un UE 2 (S102), seleccionando una de las configuraciones del PRACH disponibles (S104), generando un mensaje a ser transmitido utilizando la configuración del PRACH seleccionada (S106), adaptando el mensaje generado al tamaño del bloque de transporte si es necesario (S108) y transmitiendo el mensaje por medio del PRACH (S110). En el paso S102, el RNC 10 transmite información a un UE 2 indicando las configuraciones del PRACH disponibles. Las configuraciones del PRACH disponibles pueden incluir configuraciones existentes y heredadas que se soportan por todos los UE 2 y/o configuraciones nuevas predefinidas, o extendidas que pueden no ser soportadas por todos los UE.
Las configuraciones del PRACH extendidas pueden incorporar una o más de las cuatro modalidades previamente definidas; un nuevo canal del RACH físico, un nuevo canal lógico tal como un canal de control común mejorado (ECCCH), mapeo del canal CCCH u otros tamaños de bloque de transporte del RACH existente, y/o un nuevo formato de mensaje. La indicación de las configuraciones del PRACH disponibles pueden incluir un modo de configuración e identidad de configuración para cada configuración heredada disponible y cada configuración predefinida disponible. En el paso S104, el UE 2 selecciona una de las configuraciones del PRACH disponibles, por ejemplo al realizar un algoritmo que incluye la configuración del PRACH existente y las configuraciones del PRACH extendidas. La selección entre la configuración del PRACH existente y una o más de las configuraciones del PRACH extendidas se basa en el tamaño del mensaje que debe transmitirse con el fin de seleccionar una configuración del PRACH que permita un tamaño de bloque de transporte que acomode todos los datos de mensajes mientras añade una cantidad mínima de sobrecarga. Preferiblemente, el UE 2 primero determina si el tamaño de bloque de transporte de las configuraciones del PRACH existentes permite la inclusión de toda la información con respecto a resultados medidos de celdas adjuntas, por ejemplo, información de calidad y de tiempo tal como resultados medidos en RACH, en el mensaje. Si los tamaños de bloque de transporte de las configuraciones del PRACH existentes son insuficientes para permitir la inclusión de toda la información con respecto a resultados medidos de celdas adjuntas en el mensaje, el UE 2 selecciona una de las configuraciones del PRACH extendidas. El UE 2 entonces genera un mensaje a ser transmitido incluyendo todos los elementos de información al utilizar la configuración del PRACH seleccionada en el paso S106. Si el tamaño de bloque de transporte de la configuración del PRACH seleccionada aún es insuficiente para permitir inclusión de toda la información con respecto a los resultados medidos de celdas adjuntas en el mensaje, el UE 2 reduce la cantidad de información con respecto a resultados medidos de celdas adjuntas que se incluye en el mensaje con el fin de adaptar el tamaño del mensaje al tamaño de bloque de transporte de la configuración del PRACH seleccionada en el paso S108. En FDD (Dúplex por División en Frecuencia), si se permite que el UE 2 utilice formatos de transporte adicionales o un ECCCH mejorado, el algoritmo para determinar el TTI de los PRACH disponibles se impacta. El TTI puede seleccionarse de conformidad con el método 150 en la Fig. 13. Con relación a la figura 13, el UE 2 selecciona un formato de transporte con TTI de 10 mseg. con base en los formatos de transporte disponibles en el paso de S152. A partir de los formatos de transporte soportados por todos los PRACH de extensión, aquellos formatos que tiene un TTI de 10mseg. y que corresponden con un sólo bloque de transporte se mantienen. Si más de un sólo formato de transporte es aplicable, el UE 2 puede seleccionar cualquiera de los formatos disponibles.
Preferiblemente, el UE 2 selecciona el formato de transporte que pretende utilizar mediante la siguiente transmisión. Por ejemplo, para RBO/CCCH, se selecciona el tamaño de RLC disponible más pequeño que permite al siguiente mensaje ser transmitido. Si dicha información no está disponible o, si el tamaño de RLC más grande no es lo suficientemente grande para acomodar el siguiente mensaje, el formato de transporte que corresponde con el tamaño de RLC configurado más largo se selecciona. En el paso S154, el UE 2 calcula el margen de potencia al estimar la potencia de transmisión necesaria para transmitir un bloque de transporte fijado en el RACH con un formato de transporte dado. El algoritmo utilizado para este cálculo se especifica mediante el estándar 3GPP y usos, entre otros parámetros de entrada, el TTI, el tamaño de bloque de transporte y el número de bloques de transporte a ser transmitidos. En el paso S156, el margen de potencia calculado se compara con 6 dB. Si el margen de potencia es mayor a 6 dB el TTI de 10 mseg. se selecciona en el paso S158. Si el margen de potencia calculado no es mayor a 6 dB, el TTI de 20 mseg. se selecciona en el paso S160. El formato de transporte por medio del cual se calcula el margen de potencia debe entonces seleccionarse para ser el formato de transporte con un TTI de 10mseg que permita la transmisión del mensaje RBO/CCCH. Si existen varios formatos de transporte con un TTI de 10 mseg. que permita la transmisión del mensaje RBO/CCCH, se selecciona el formato con el tamaño de bloque de transporte más pequeño. Sin ninguno de dichos formatos de transporte existen, se selecciona el formato de transporte con el tamaño de bloque de transporte más grande con TTI de10 mseg.. En el modo TDD 1 .28MCPS, el UE 2 puede seleccionar el formato de transporte con un tamaño de bloque de transporte que se configura mediante señalización explícita. Para SRBO/CCCH el UE 2 puede seleccionar un formato de transporte que permite la transmisión del siguiente mensaje para SRBO. Si no existe formato de transporte, el formato de transporte con el tamaño más grande puede seleccionarse, o si todos los formatos de transporte están disponibles, debe ser elegido el formato de transporte con el tamaño de bloque de transporte más pequeño. Si existen varios formatos de transporte con este tamaño de bloque de transporte, el UE 2 debe seleccionar el TTI más grande a partir de esos formatos de transporte. El método para transmitir información que indica las configuraciones del PRACH disponibles para un UE 2 debe realizarse en una forma, de manera que no impacten los UE que no soportan las nuevas configuraciones. La información que indica las configuraciones del PRACH disponibles puede transmitirse a un UE 2 como una extensión de la información del sistema transmitida a una pluralidad de UE 2, por ejemplo como parte de un mensaje de transmisión en un canal común, como se ilustra en la figura 4. Por otro lado, la información que indica las configuraciones del PRACH disponibles puede transmitirse por señalización de RRC dedicada en un canal dedicado como se ilustra en la figura 15.
Como se ilustra en la Fig. 14, la porción del mensaje que contiene información disponible de conformidad con el estándar 3GPP actual puede entenderse mediante los UE 2 nuevos que soportan las nuevas configuraciones y los UE heredados que no soportan las nuevas configuraciones. La indicación de la información de extensión se lee mediante los nuevos UE 2 y se ignora mediante los UE heredados. La información de extensión que indica las configuraciones del PRACH disponibles se entiende únicamente mediante los nuevos UE 2 que soportan las nuevas configuraciones. Como se ilustra en la figura 15, la información que indica las configuraciones del PRACH disponibles se transmite a un nuevo UE 2 específico que soporta las nuevas configuraciones cuando se establece una conexión entre UTRAN 6 y UE. El mensaje de configuración de conexión RRC existente se utiliza para indicar las configuraciones del PRACH disponibles. El UE 2 solicitud una conexión RRC al transmitir un mensaje de solicitud de conexión RRC a la UTRAN 6. Si la conexión RRC puede lograrse, la UTRAN 6 transmite un mensaje de configuración de conexión RRC al UE 2. El mensaje de configuración de conexión RRC incluye una indicación de las configuraciones del PRACH disponibles si el UE soporta las nuevas configuraciones. Las configuraciones del PRACH disponibles indicadas pueden incluir una configuración heredada, por ejemplo la configuración del PRACH existente, y una o más nuevas configuraciones del PRACH definidas , por ejemplo cualquiera de las configuraciones del PRACH extendidas que incorpora las cuatro modalidades previamente definidas. Si el UE 2 es un UE heredado que no soporta las nuevas configuraciones, ninguna indicación de las configuraciones del PRACH disponibles se incluye en el mensaje de configuración de conexión RRC. El UE 2, tras recibir el mensaje de configuración de conexión RRC, selecciona una de las configuraciones del PRACH disponibles y transmite un mensaje completo de configuración de conexión RRC a la UTRAN 6. El UE 2 puede entonces transmitir mensajes por medio del PRACH a utilizar la configuración del PRACH seleccionada. Con relación a la figura 16, se ilustra un diagrama en bloques de un dispositivo de comunicación móvil 200 de la presente invención, por ejemplo un teléfono móvil para realizar métodos de la presente invención. El dispositivo de comunicación móvil 200 incluye una unidad de procesamiento 210 tal como un microprocesador o un procesador de señal digital, un módulo de RF 235, un módulo de manejo de potencia 205, una antena 240, una batería 255, una pantalla 215, un teclado numérico 220, una unidad de almacenamiento 230 tal como una memoria flash, ROM o SRAM, una bocina 245 y un micrófono 250. Un usuario introduce información de instrucción, tal como un número telefónico, por ejemplo al presionar los botones del teclado numérico 220 o mediante activación por voz utilizando el micrófono 250. La unidad de procesamiento 210 recibe y procesa la información de instrucción para realizar la función apropiada, tal como marcar el número telefónico. Los datos operativos pueden ser recuperados de la unidad de memoria 230 para realizar la función. Además, la unidad de procesamiento 210 puede desplegar la información de instrucción y operativa en la pantalla 215 para la referencia y conveniencia del usuario. La unidad de procesamiento 210 expide información de instrucción al módulo de RF 235 para iniciar comunicación, por ejemplo, al transmitir señales de radio que comprenden datos de comunicación por voz. El módulo de RF 235 incluye un receptor y transmisor para recibir y transmitir señales de radio. La antena 240 facilita la transmisión y recepción de señales de radio. Tras recibir señales de radio, el módulo de RF 235 puede hacer avanzar y convertir las señales a la frecuencia de banda de base para procesarla mediante la unidad de procesamiento 210. Las señales procesadas pueden transformarse en entradas de información legible y auditiva, por ejemplo, por medio de la bocina 245. El módulo de RF 235 y la antena 240 se adaptan para recibir mensajes de información de la red 34 y transmitir un mensaje a la red y la unidad de almacenamiento 230 se adapta para almacenar información relacionada con una o más configuraciones. La unidad de procesamiento 210 se adapta para procesar un mensaje de información que indica una o más configuraciones disponibles para transmitir un mensaje, seleccionar una de las configuraciones disponibles y transmitir un mensaje utilizando la configuración seleccionada.
Será evidente para un experto en la técnica que las modalidades preferidas de la presente invención puedan implementarse fácilmente utilizando, por ejemplo, el procesador 210 u otro dispositivo de procesamiento de datos o digital, ya sea sólo o en combinación con una lógica de soporte externa. La figura 17 ilustra un diagrama en bloques de una UTRAN 320 de conformidad con una modalidad de la presente invención. La UTRAN 320 incluye uno o más sub-sistemas de red de radio (RNS) 325. Cada RNS 325 incluye un controlador de red de radio (RNC) 323 y unja pluralidad de nodos Bs 321 , o estaciones base, manejadas por el RNC. El RNC 323 maneja la asignación y manejo de recursos de radio y opera como un punto de acceso con respecto a la red central 4. Además, el RNC 323 se adapta para realizar los métodos de la presente invención. El nodo Bs 321 recibe información enviada por la capa física de una terminal móvil 200 a través de un enlace ascendente y transmite datos a la terminal móvil a través de un enlace descendente. El nodo Bs 321 opera como puntos de acceso, o un transmisor y receptor, de la UTRAN 320 para una terminal móvil 200. El nodo Bs 321 se adapta para transmitir un mensaje de información a una o más terminales móviles 200 y para recibir un mensaje de una o más terminales móviles. El RNC 323 se adapta para generar un mensaje de información, el mensaje de información indicando una o más configuraciones disponibles para transmitir un mensaje, y procesar un mensaje de una o más terminales móviles 200, el mensaje transmitido utilizando una de las configuraciones disponibles. La presente invención permite a una terminal móvil transmitir mensajes a una red por medio de un CCCH que tiene un tamaño de bloque de transporte más grande del que se soporta actualmente al proporcionar configuraciones de PRACH extendidas. Al utilizar mensajes existentes transmitidos por la red a la terminal móvil para indicar las configuraciones del PRACH disponibles, estas terminales móviles que soportan las configuraciones del PRACH extendías pueden utilizar las configuraciones extendidas mientras la terminales móviles que no soportan las configuraciones del PRACH extendidas pueden utilizar las configuraciones existentes. Aunque la presente invención se describe en el contexto de comunicación móvil, la presente invención puede utilizarse en cualquier sistema de comunicación inalámbrico utilizando dispositivos móviles, tal como PDA y computadoras de escritorio equipadas con capacidades de comunicación inalámbrica. Además, el uso de ciertos términos para describir la presente invención no deben limitar el alcance de la presente invención a cierto tipo de sistema de comunicación inalámbrica, tal como UMTS. La presente invención es también aplicable a otros sistemas de comunicación inalámbrica utilizando diferentes interfaces aéreas y/o capas físicas, por ejemplo TDMA, CDMA, FDMA, WCDMA, etc. Las modalidades preferidas pueden implementarse como un método, aparato o artículo de fabricación utilizando programación estándar y/o técnicas de ingeniería para producir software, firmware, hardware, o cualquier combinación de los mismos. El término "artículo de fabricación" como se utiliza en la presente se refiere a código o lógica implementada en una lógica de hardware (por ejemplo, un chip de circuito integrado, un arreglo de compuertas de campo programables (FPGA), un circuito integrado de aplicación específica (ASIC), etc.) o un medio legible por computadora (por ejemplo, un medio para almacenamiento magnético (por ejemplo, unidades de disco duro, discos portátiles, cinta, etc.), almacenamiento óptico (CD-ROM, discos ópticos, etc.), dispositivos de memoria volátil y no volátil (por ejemplo EEPROM, ROM, PROM, RAM, DRAM, SRAM, firmware, lógica programable, etc.). El código en el medio legible por computadora se accede y se ejecuta mediante un procesador. El código en el cual se implementan las modalidades preferidas puede además ser accesible a través de un medio de transmisión o a partir de un servidor de archivo sobre una red. En dichos casos, el artículo de fabricación en el cual se implementa el código puede comprender un medio de transmisión, tal como una línea de transmisión de red, un medio de transmisión inalámbrico, señales que se propagan a través del espacio, ondas de radio, señales infrarrojas, etc. Desde luego, los expertos en la técnica reconocerán que muchas modificaciones pueden hacerse a esta configuración sin apartarse del alcance de la presente invención, y que el artículo de fabricación puede comprender cualquier medio portador de información conocido en la técnica.
La implementación lógica mostrada en las figuras describe operaciones específicas como ocurren en el orden particular. En implementaciones alternativas, ciertas implementaciones de las operaciones lógicas pueden realizarse en un diferente orden, modificarse o removerse e incluso implementar modalidades preferidas de la presente invención. Además, los pasos pueden ser agregados a la lógica descrita anteriormente y aún conformar las implementaciones de la invención.

Claims (55)

  1. NOVEDAD DE LA INVENCION
  2. REIVINDICACIONES
  3. .- Un método para transmitir información de control desde una terminal móvil a una red, el método comprende: recibir un primer mensaje, el primer mensaje incluye información que indica al menos una configuración disponible para transmitir un segundo mensaje, el segundo mensaje incluye al menos una porción de la información de control; seleccionar una de al menos una configuración disponible; y transmitir el segundo mensaje utilizando la configuración seleccionada. 2. - El método de conformidad con la reivindicación 1 , caracterizado además porque por lo menos una configuración disponible comprende un modo de configuración heredada y una identidad de configuración heredada. 3. - El método de conformidad con la reivindicación 1 , caracterizado además porque por lo menos una configuración disponible comprende un modo de configuración predefinida y una identidad de configuración predefinida.
  4. 4.- El método de conformidad con la reivindicación 3, caracterizado además porque el modo de configuración predefinida comprende al menos un canal adicional, un tamaño de bloque de mensaje incrementado para un canal existente, una nueva configuración de mapeo de canal, y un nuevo formato de mensaje.
  5. 5. - El método de conformidad con la reivindicación 4, caracterizado además porque el canal adicional comprende por lo menos un canal lógico y un canal físico.
  6. 6. - El método de conformidad con la reivindicación 4, caracterizado además porque el canal existente comprende por lo menos un canal lógico y un canal físico.
  7. 7. - El método de conformidad con la reivindicación 4, caracterizado además porque la nueva configuración de mapeo de canal se relaciona con el mapeo de un canal lógico a un canal físico.
  8. 8. - El método de conformidad con la reivindicación 1 , caracterizado además porque el primer mensaje es recibido por medio de un canal común.
  9. 9.- El método de conformidad con la reivindicación 8, caracterizado además porque la información que indica por lo menos una configuración disponible para transmitir el segundo mensaje se incluye en una porción de extensión del primer mensaje.
  10. 10.- El método de conformidad con la reivindicación 1 , caracterizado además porque el primer mensaje es recibido por medio de un canal dedicado.
  11. 11. - El método de conformidad con la reivindicación 10, caracterizado además porque el primer mensaje en un mensaje de configuración de conexión RRC.
  12. 12. - El método de conformidad con la reivindicación 1 , caracterizado además porque seleccionar una de al menos una configuración disponible comprende determinar el tamaño del segundo mensaje.
  13. 13. - Un método para transmitir información de control entre por lo menos un dispositivo de comunicación móvil y una red, el método comprende: proporcionar, en al menos un dispositivo de comunicación móvil, al menos una nueva configuración para transmitir un mensaje, por lo menos una nueva configuración comprende por lo menos un canal adicional, un tamaño de bloque de mensaje incrementado para un canal existente, una nueva configuración de mapeo de canal y un nuevo formato de mensaje; transmitir, desde la red a por lo menos un dispositivo de comunicación móvil, un primer mensaje, el primer mensaje incluye información que indica por lo menos una nueva configuración; seleccionar, en al menos un dispositivo de comunicación móvil, una de al menos una nueva configuración; y transmitir, desde al menos un dispositivo de comunicación móvil a la red, un segundo mensaje que utiliza la configuración seleccionada, el segundo mensaje incluye por lo menos una porción de la información de control.
  14. 14. - El método de conformidad con la reivindicación 13, caracterizado además porque el canal adicional comprende por lo menos un canal lógico y un canal físico.
  15. 15. - El método de conformidad con la reivindicación 13, caracterizado además porque el canal existente comprende por lo menos un canal lógico y un canal físico.
  16. 16. - El método de conformidad con la reivindicación 13, caracterizado además porque la nueva configuración de mapeo se relaciona con el mapeo de un canal lógico a un canal físico.
  17. 17. - El método de conformidad con la reivindicación 13, caracterizado además porque el primer mensaje se transmite por medio de un canal común a una pluralidad de dispositivos de comunicación móvil.
  18. 18.- El método de conformidad con la reivindicación 17, caracterizado además porque la información que indica por lo menos una nueva configuración se incluye en una porción de extensión del primer mensaje de manera que un dispositivo de comunicación móvil que no incorpora por lo menos una nueva configuración no interpreta la información.
  19. 19.- El método de conformidad con la reivindicación 13, caracterizado además porque el primer mensaje se transmite por medio de un canal dedicado a un dispositivo de comunicación móvil específico.
  20. 20. - El método de conformidad con la reivindicación 19, caracterizado además porque el primer mensaje es un mensaje de configuración de conexión RRC.
  21. 21. - El método de conformidad con la reivindicación 13, caracterizado además porque seleccionar una de al menos una nueva configuración comprende determinar el tamaño del segundo mensaje.
  22. 22. - Un método para transmitir información de control a por lo menos una terminal móvil, el método comprende: transmitir un primer mensaje a por lo menos una terminal móvil, el primer mensaje incluye información que indica por lo menos una configuración disponible para transmitir un segundo mensaje, el segundo mensaje incluye por lo menos una porción del la información de control; y recibir el segundo mensaje de por lo menos una terminal móvil, el segundo mensaje transmitido utilizando una de por lo menos una configuración disponible.
  23. 23. - El método de conformidad con la reivindicación 22, caracterizado además porque por lo menos una configuración disponible comprende un modo de configuración heredada y una identidad de configuración heredada.
  24. 24. - El método de conformidad con la reivindicación 22, caracterizado además porque por lo menos una configuración disponible comprende un modo de configuración predefinida y una identidad de configuración predefinida.
  25. 25. - El método de conformidad con la reivindicación 24, caracterizado además porque el modo de configuración predefinida comprende por lo menos un canal adicional, un tamaño de bloque de mensaje incrementado para un canal existente, una nueva configuración de mapeo de canal, y un nuevo formato de mensaje.
  26. 26. - El método de conformidad con la reivindicación 25, caracterizado además porque el canal adicional comprende por lo menos un canal lógico y un canal físico.
  27. 27. - El método de conformidad con la reivindicación 25, caracterizado además porque el canal existente comprende por lo menos uno de un canal lógico y un canal físico.
  28. 28. - El método de conformidad con la reivindicación 25, caracterizado además porque la nueva configuración de mapeo de canal se relaciona con el mapeo de un canal lógico a un canal físico.
  29. 29.- El método de conformidad con la reivindicación 22, caracterizado además porque el primer mensaje se transmite por medio de un canal común a una pluralidad de terminales móviles.
  30. 30. - El método de conformidad con la reivindicación 29, caracterizado además porque la información que indica por lo menos una configuración disponible se incluye en una porción de extensión del primer mensaje.
  31. 31. - El método de conformidad con la reivindicación 22, caracterizado además porque el primer mensaje se transmite por medio de un canal dedicado a una terminal móvil específica.
  32. 32.- El método de conformidad con la reivindicación 31 , caracterizado además porque el primer mensaje es un mensaje de configuración de conexión RRC.
  33. 33. - Un dispositivo de comunicación móvil para transmitir información de control a una red, el dispositivo de comunicación móvil comprende: un módulo de RF adaptado para recibir un primer mensaje de la red y para transmitir un segundo mensaje a la red, el primer mensaje incluye información que indica por lo menos una configuración disponible para transmitir el segundo mensaje y el segundo mensaje incluye por lo menos una porción de la información de control; una antena adaptada para recibir el primer mensaje de la red y para transmitir el segundo mensaje a la red; un teclado numérico para introducir información del usuario; una unidad de almacenamiento adaptada para almacenar información relacionada con por lo menos una configuración para transmitir el segundo mensaje; una pantalla adaptada para transportar información al usuario; y una unidad de procesamiento para procesar el primer mensaje, seleccionar una de al menos una configuración disponible y transmitir el segundo mensaje utilizando la configuración seleccionada.
  34. 34. - El dispositivo de comunicación móvil de conformidad con la reivindicación 33, caracterizado además porque por lo menos una configuración disponible comprende un modo de configuración heredada y una identidad de configuración heredada.
  35. 35.- El dispositivo de comunicación móvil de conformidad con la reivindicación 33, caracterizado además porque por lo menos una configuración disponible comprende un modo de configuración predefinida y una identidad de configuración predefinida.
  36. 36. - El dispositivo de comunicación móvil de conformidad con la reivindicación 35, caracterizado además porque el modo de configuración predefinida comprende por lo menos uno de un canal adicional, un tamaño de bloque de mensaje incrementado para un canal existente, una nueva configuración de mapeo de canal, y un nuevo formato de mensaje.
  37. 37. - El dispositivo de comunicación móvil de conformidad con la reivindicación 36, caracterizado además porque el canal adicional comprende por lo menos un canal lógico y un canal físico.
  38. 38. - El dispositivo de comunicación móvil de conformidad con la reivindicación 36, caracterizado además porque el canal existente comprende por lo menos uno de un canal lógico y un canal físico.
  39. 39. - El dispositivo de comunicación móvil de conformidad con la reivindicación 36, caracterizado además porque la nueva configuración de mapeo de canal se relaciona con el mapeo de un canal lógico a un canal físico.
  40. 40.- El dispositivo de comunicación móvil de conformidad con la reivindicación 33, caracterizado además porque el primer mensaje es recibido por medio de un canal común.
  41. 41.- El dispositivo de comunicación móvil de conformidad con la reivindicación 40, caracterizado además porque la información que indica por lo menos una configuración disponible se incluye en una porción de extensión del primer mensaje.
  42. 42. - El dispositivo de comunicación móvil de conformidad con la reivindicación 33, caracterizado además porque el primer mensaje es recibido por medio de un canal dedicado.
  43. 43. - El dispositivo de comunicación móvil de conformidad con la reivindicación 42, caracterizado además porque el primer mensaje es un mensaje de configuración de conexión RRC.
  44. 44. - El dispositivo de comunicación móvil de conformidad con la reivindicación 33, caracterizado además porque el procesador se adapta para seleccionar una de por lo menos una configuración disponible para determinar el tamaño del segundo mensaje.
  45. 45. - Una red para transmitir información de control a por lo menos una terminal móvil, la red comprende: un transmisor adaptado para transmitir un primer mensaje a por lo menos una terminal móvil, el primer mensaje incluye información que indica por lo menos una configuración para transmitir un segundo mensaje; un receptor adaptado para recibir el segundo mensaje de por lo menos una terminal móvil, el segundo mensaje incluye por lo menos una porción de la información de control; y un controlador adaptado para generar el primer mensaje y procesar el segundo mensaje de por lo menos una terminal móvil, el segundo mensaje transmitido utilizando una de por lo menos una configuración disponible.
  46. 46. - La red de conformidad con la reivindicación 45, caracterizada además porque por lo menos una configuración disponible comprende un modo de configuración heredada y una identidad de configuración heredada.
  47. 47. - La red de conformidad con la reivindicación 45, caracterizada además porque por lo menos una configuración disponible comprende un modo de configuración predefinida y una identidad de configuración predefinida.
  48. 48. - La red de conformidad con la reivindicación 47, caracterizada además porque el modo de configuración predefinida comprende por lo menos un canal adicional, un tamaño de bloque de mensaje incrementado para un canal existente, una nueva configuración de mapeo de canal, y un nuevo formato de mensaje.
  49. 49. - La red de conformidad con la reivindicación 48, caracterizada además porque el canal adicional comprende por lo menos un canal lógico y un canal físico.
  50. 50.- La red de conformidad con la reivindicación 48, caracterizada además porque el canal existente comprende por lo menos un canal lógico y un canal físico.
  51. 51 . - La red de conformidad con la reivindicación 48, caracterizada además porque la nueva configuración de mapeo de canal se relaciona con el mapeo de un canal lógico a un canal físico.
  52. 52. - La red de conformidad con la reivindicación 45, caracterizada además porque el primer mensaje es transmitido por medio de un canal común a una pluralidad de terminales móviles.
  53. 53.- La red de conformidad con la reivindicación 52, caracterizada además porque la información que indica por lo menos una configuración disponible se incluye en una porción de extensión del primer mensaje.
  54. 54.- La red de conformidad con la reivindicación 37, caracterizada además porque el primer mensaje se transmite por medio de un canal dedicado a una terminal móvil específica.
  55. 55.- La red de conformidad con la reivindicación 54, caracterizada además porque el primer mensaje es un mensaje de configuración de conexión RRC.
MXPA06012751A 2004-06-01 2005-05-11 Metodo y aparato para proporcionar mensajes mejorados en un canal de control comun en un sistema de comunicacion inalambrica. MXPA06012751A (es)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US57621404P 2004-06-01 2004-06-01
US58963004P 2004-07-20 2004-07-20
PCT/KR2005/001378 WO2005119941A1 (en) 2004-06-01 2005-05-11 Method and apparatus for providing enhanced messages on common control channel in wireless communication system

Publications (1)

Publication Number Publication Date
MXPA06012751A true MXPA06012751A (es) 2007-01-16

Family

ID=35463174

Family Applications (1)

Application Number Title Priority Date Filing Date
MXPA06012751A MXPA06012751A (es) 2004-06-01 2005-05-11 Metodo y aparato para proporcionar mensajes mejorados en un canal de control comun en un sistema de comunicacion inalambrica.

Country Status (10)

Country Link
US (3) US7580388B2 (es)
EP (1) EP1751893B1 (es)
JP (1) JP4920581B2 (es)
CN (2) CN102833784B (es)
AT (1) ATE551871T1 (es)
AU (1) AU2005249137B2 (es)
BR (1) BRPI0510557A (es)
MX (1) MXPA06012751A (es)
RU (1) RU2346392C2 (es)
WO (1) WO2005119941A1 (es)

Families Citing this family (463)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1610492B1 (en) * 2004-06-21 2007-04-11 Matsushita Electric Industrial Co., Ltd. Adaptive and scalable qos architecture for multiple-bearer multicast/broadcast services
US7463602B2 (en) * 2004-09-13 2008-12-09 Research In Motion Limited Configuring signaling radio bearer information in a user equipment protocol stack
EP3145245B2 (en) * 2004-09-29 2022-09-28 Conversant Wireless Licensing S.à r.l. Active set update (asu) with high speed downlink shared channel (hs-dsch) information
US7742444B2 (en) 2005-03-15 2010-06-22 Qualcomm Incorporated Multiple other sector information combining for power control in a wireless communication system
US8320923B2 (en) * 2005-04-01 2012-11-27 Interdigital Technology Corporation Method and apparatus for validating radio resource control messages
TWI380651B (en) * 2005-04-29 2012-12-21 Interdigital Tech Corp Mac multiplexing and tfc selection procedure for enhanced uplink
US8116292B2 (en) * 2005-04-29 2012-02-14 Interdigital Technology Corporation MAC multiplexing and TFC selection procedure for enhanced uplink
US9055552B2 (en) 2005-06-16 2015-06-09 Qualcomm Incorporated Quick paging channel with reduced probability of missed page
US8750908B2 (en) 2005-06-16 2014-06-10 Qualcomm Incorporated Quick paging channel with reduced probability of missed page
JP4651462B2 (ja) * 2005-06-17 2011-03-16 株式会社エヌ・ティ・ティ・ドコモ チャネル伝送装置及びチャネル伝送方法
CN100559905C (zh) * 2005-07-20 2009-11-11 大唐移动通信设备有限公司 基带芯片
US8594252B2 (en) * 2005-08-22 2013-11-26 Qualcomm Incorporated Interference cancellation for wireless communications
EP1929826B1 (en) * 2005-09-29 2016-11-02 Nokia Technologies Oy Apparatus, method and computer program product to request a data rate increase based on ability to transmit at least one more selected data unit
US20090207790A1 (en) 2005-10-27 2009-08-20 Qualcomm Incorporated Method and apparatus for settingtuneawaystatus in an open state in wireless communication system
JP2009514429A (ja) 2005-10-27 2009-04-02 クゥアルコム・インコーポレイテッド 無線通信システムにおいてアクセスプローブを送信する方法及び装置
US20070117563A1 (en) * 2005-10-28 2007-05-24 Interdigital Technology Corporation Call setup procedure in an evolved third generation radio access network
EP1798998B1 (en) 2005-12-14 2011-06-15 Research In Motion Limited Method and apparatus for user equipment directed radio resource control in a UMTS network
US9456455B2 (en) 2006-01-05 2016-09-27 Lg Electronics Inc. Method of transmitting feedback information in a wireless communication system
KR100912784B1 (ko) 2006-01-05 2009-08-18 엘지전자 주식회사 데이터 송신 방법 및 데이터 재전송 방법
US7881724B2 (en) 2006-01-05 2011-02-01 Lg Electronics Inc. Allocating radio resources in mobile communications system
KR101319870B1 (ko) 2006-01-05 2013-10-18 엘지전자 주식회사 이동 통신 시스템에서의 핸드오버 방법
PL2498434T3 (pl) * 2006-01-05 2016-04-29 Nokia Technologies Oy Schemat elastycznej segmentacji dla systemów komunikacyjnych
KR101203841B1 (ko) 2006-01-05 2012-11-21 엘지전자 주식회사 무선 통신 시스템에서의 페이징 메시지 전송 및 수신 방법
JP4806030B2 (ja) 2006-01-05 2011-11-02 エルジー エレクトロニクス インコーポレイティド 移動通信システムで信号を転送する方法
AU2007203852B2 (en) 2006-01-05 2010-08-26 Lg Electronics Inc. Transmitting data in a mobile communication system
KR101268200B1 (ko) 2006-01-05 2013-05-27 엘지전자 주식회사 이동통신 시스템에서의 무선자원 할당방법
KR101187076B1 (ko) 2006-01-05 2012-09-27 엘지전자 주식회사 이동 통신 시스템에 있어서 신호 전송 방법
KR101211807B1 (ko) 2006-01-05 2012-12-12 엘지전자 주식회사 이동통신 시스템에서 무선단말의 동기상태 관리방법
WO2007085947A2 (en) * 2006-01-27 2007-08-02 Nokia Siemens Networks Oy Mac-driven transport block size selection at a physical layer
KR101221150B1 (ko) 2006-02-06 2013-01-18 삼성전자주식회사 직교 주파수 분할 다중 접속 방식 시스템에서 페이징 정보 송수신 방법 및 장치
US8493854B2 (en) 2006-02-07 2013-07-23 Lg Electronics Inc. Method for avoiding collision using identifier in mobile network
KR101358469B1 (ko) 2006-02-07 2014-02-06 엘지전자 주식회사 무선 네트워크(network) 안에서 상향(uplink)및 하향(downlink) 대역폭(bandwidth)의선택 및 신호 방법
KR101216751B1 (ko) 2006-02-07 2012-12-28 엘지전자 주식회사 이동 통신 시스템에서 식별자를 이용한 충돌 회피 방법
KR101387475B1 (ko) 2006-03-22 2014-04-22 엘지전자 주식회사 복수의 네트워크 엔터티를 포함하는 이동 통신시스템에서의 데이터 처리 방법
US8920343B2 (en) 2006-03-23 2014-12-30 Michael Edward Sabatino Apparatus for acquiring and processing of physiological auditory signals
CN101064728B (zh) * 2006-04-30 2010-06-23 中兴通讯股份有限公司 一种基于td-scdma系统的随机接入控制方法
US8682357B2 (en) 2006-05-02 2014-03-25 Intellectual Ventures Holding 81 Llc Paging in a wireless network
SG137794A1 (en) * 2006-05-17 2007-12-28 Research In Motion Ltd Method and system for signaling release cause indication in a umts network
US8644829B2 (en) 2006-05-17 2014-02-04 Blackberry Limited Method and system for signaling release cause indication in a UMTS network
US8265034B2 (en) * 2006-05-17 2012-09-11 Research In Motion Limited Method and system for a signaling connection release indication
US8547949B2 (en) * 2006-06-16 2013-10-01 Lg Electronics Inc. Method for payload part transmission on contention channels
EP2618517B1 (en) 2006-06-21 2023-08-02 LG Electronics Inc. Method of supporting data retransmission in a mobile communication system
KR20070121505A (ko) 2006-06-21 2007-12-27 엘지전자 주식회사 무선링크 재설정 방법
KR101369135B1 (ko) 2006-06-21 2014-03-05 엘지전자 주식회사 이동통신 시스템에서의 멀티미디어 및 방송서비스의 품질보장 방법 및 그 단말
CN101473565B (zh) 2006-06-21 2012-11-07 Lg电子株式会社 在无线移动通信系统中使用消息分离发送和接收无线电接入信息的方法
KR101340367B1 (ko) * 2006-06-21 2013-12-11 삼성전자주식회사 패킷 기반 이동통신 시스템에서 무선자원제어 연결 상태의 단말에 대한 페이징 방법 및 이를 위한 기지국 장치
KR20070121513A (ko) 2006-06-21 2007-12-27 엘지전자 주식회사 이동통신 시스템의 상향 접속 방법
KR101297564B1 (ko) * 2006-07-06 2013-09-17 광동 누프론트 컴퓨터 시스템 칩 컴퍼니 리미티드 전송될 수 있는 최고 페이로드로 스케줄링 그랜트 페이로드를 설정함으로써 향상된 업링크 트랜스포트 포맷 조합을 선택하는 무선 통신 방법
CA2660309C (en) * 2006-08-18 2015-11-24 Telefonaktiebolaget L M Ericsson (Publ) Reducing state transition time from power saving state
RU2009110150A (ru) 2006-08-21 2010-09-27 Интердиджитал Текнолоджи Корпорейшн (Us) Распределение ресурсов, планирование и сигнализация для группирования услуг реального масштаба времени
US8644252B2 (en) * 2006-08-25 2014-02-04 Telefonaktiebolaget L M Ericsson (Publ) Method for recovery from a failed handover procedure in a telecommunication system
CN101518146A (zh) * 2006-09-15 2009-08-26 交互数字技术公司 用于动态更新随机接入参数的方法和设备
WO2008047309A2 (en) * 2006-10-16 2008-04-24 Nokia Corporation Communicating protocol data unit in a radio access network
US8014359B2 (en) * 2006-10-27 2011-09-06 Interdigital Technology Corporation Method and apparatus for assigning radio resources and controlling transmission parameters on a random access channel
JP5302201B2 (ja) 2006-11-01 2013-10-02 エルジー エレクトロニクス インコーポレイティド 無線通信システムでのダウンリンクデータ伝送方法及び受信方法
TWI446807B (zh) 2006-11-01 2014-07-21 Lg Electronics Inc 在無線通訊系統傳送及接收呼叫訊息之方法
US8923236B2 (en) 2006-11-01 2014-12-30 Lg Electronics Inc. Method of transmitting and receiving paging messages in a wireless communication system
EP1942584A1 (en) * 2007-01-04 2008-07-09 Nokia Siemens Networks Gmbh & Co. Kg Improved link and power budget adaptation for an enhanced CELL_FACH state
KR101364802B1 (ko) 2007-01-08 2014-02-26 엘지전자 주식회사 무선 통신의 공통채널 수신 방법 및 그 단말
US8059606B2 (en) 2007-01-09 2011-11-15 Lg Electronics Inc. Method for reporting channel quality through uplink common channel in wireless communication
US8155069B2 (en) 2007-01-09 2012-04-10 Lg Electronics Inc. Method of transmitting and receiving scheduling information in a wireless communication system
EP2119082A4 (en) 2007-01-09 2013-07-31 Lg Electronics Inc PREVIOUS CONTROL OF DATA RETRANSMISSION IN A WIRELESS COMMUNICATION SYSTEM
CN101578783A (zh) 2007-01-10 2009-11-11 Lg电子株式会社 用于在移动通信中构造数据格式的方法及其终端
KR101211758B1 (ko) 2007-01-10 2012-12-12 엘지전자 주식회사 무선 통신 시스템의 블록 데이터 생성 방법
WO2008084955A1 (en) 2007-01-10 2008-07-17 Lg Electronics Inc. Method for constructing data format in mobile communication and terminal thereof
KR101455991B1 (ko) 2007-01-31 2014-11-03 엘지전자 주식회사 멀티미디어 브로드캐스트/멀티캐스트 서비스에서의 시스템정보 수신 방법
KR101461938B1 (ko) 2007-01-31 2014-11-14 엘지전자 주식회사 시스템 정보의 전송 및 수신 방법
CN102857984B (zh) 2007-02-02 2015-07-29 交互数字技术公司 在Cell_FACH状态下执行小区重选、小区更新的方法以及WTRU
KR101426958B1 (ko) 2007-02-06 2014-08-06 엘지전자 주식회사 무선통신 시스템에서 데이터 송수신 방법
CN101247176A (zh) * 2007-02-12 2008-08-20 华硕电脑股份有限公司 改善前向接取状态的高速下链路功能的方法及其装置
CN101262279B (zh) * 2007-03-07 2012-09-26 中兴通讯股份有限公司 一种时分同步码分多址接入系统的上行调度接入控制方法
EP2137867B1 (en) * 2007-03-15 2014-10-15 InterDigital Technology Corporation Method and apparatus for reordering data in an evolved high speed packet access system
WO2008115023A1 (en) * 2007-03-21 2008-09-25 Electronics And Telecommunications Research Institute Mbms data transmission and receiving in packet based on mobile communication system
DE602008001024D1 (de) * 2007-05-06 2010-06-02 Innovative Sonic Ltd Verfahren zur Übertragung von Paginginformationen in einem drahtlosen Kommunikationssystem und entsprechende Vorrichtung
KR101454482B1 (ko) * 2007-05-17 2014-10-27 삼성전자주식회사 무선 통신 시스템에서 공통 제어 정보 송수신 시스템 및방법
US20080298340A1 (en) * 2007-05-31 2008-12-04 Li-Chih Tseng Method and Apparatus for Improving Transmission of Downlink Shared Channel in a Wireless Communications System
EP2023683B1 (en) * 2007-08-09 2011-05-18 Nokia Siemens Networks Oy Mobile communication terminal, communication station, communication network, and communication method
WO2009055577A1 (en) * 2007-10-25 2009-04-30 Interdigital Patent Holdings, Inc. Selecting transmission parameters for contention-based access in wireless systems
US8208950B2 (en) 2007-11-13 2012-06-26 Research In Motion Limited Method and apparatus for state/mode transitioning
KR101623680B1 (ko) * 2008-02-06 2016-05-23 아이디티피 홀딩스, 인크. 강화된 업링크 fach를 위한 네트워크 제어 처리율
US7885212B2 (en) * 2008-02-06 2011-02-08 Telefonaktiebolaget L M Ericsson (Publ) Network controlled throughput for enhanced uplink FACH
RU2469512C2 (ru) * 2008-02-11 2012-12-10 Нокиа Корпорейшн Ответ на преамбулу канала произвольного доступа при гибком выделении ресурсов восходящей линии связи
KR101050258B1 (ko) 2008-03-20 2011-07-19 이노베이티브 소닉 리미티드 Rrc 연결 프로시저를 향상시키기 위한 방법 및 장치
US8078184B2 (en) * 2008-05-08 2011-12-13 Research In Motion Limited Method and apparatus having improved handling of state transitions
WO2010017376A1 (en) * 2008-08-08 2010-02-11 Interdigital Patent Holdings, Inc. Mac reset and reconfiguration
US9225481B2 (en) * 2008-08-11 2015-12-29 Qualcomm Incorporated Downlink grants in a multicarrier wireless communication system
US8670376B2 (en) 2008-08-12 2014-03-11 Qualcomm Incorporated Multi-carrier grant design
KR101549022B1 (ko) * 2008-11-03 2015-09-01 엘지전자 주식회사 상향링크 및 하향링크 멀티 캐리어를 지원하는 무선통신 시스템에 있어서, 사용자 기기의 기지국에의 임의 접속방법
US8306059B2 (en) * 2008-11-05 2012-11-06 Htc Corporation Method of constructing and transmitting packets with MIMO configuration in a wireless communication system and related communication device
EP2356878B1 (en) 2008-11-10 2015-07-29 BlackBerry Limited Method and apparatus of transition to a battery efficient state or configuration by indicating end of data transmission in long term evolution
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
KR101129315B1 (ko) * 2008-12-18 2012-03-26 한국전자통신연구원 라우팅 확장성과 이동성을 지원하는 터널 포인트의 동작 방법
US8531805B2 (en) * 2009-03-13 2013-09-10 Qualcomm Incorporated Gated diode having at least one lightly-doped drain (LDD) implant blocked and circuits and methods employing same
US8665570B2 (en) 2009-03-13 2014-03-04 Qualcomm Incorporated Diode having a pocket implant blocked and circuits and methods employing same
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
EP2613603B1 (en) * 2009-04-23 2021-12-08 InterDigital Patent Holdings, Inc. Method and apparatus for random access in multicarrier wireless communications
WO2010140261A1 (ja) 2009-06-05 2010-12-09 富士通株式会社 基地局装置、端末装置および通信システム
WO2010143846A2 (ko) * 2009-06-07 2010-12-16 엘지전자 주식회사 반송파 조합 방식 이동통신 시스템에서 단말의 임의접속 방법
WO2010145707A1 (en) * 2009-06-18 2010-12-23 Telefonaktiebolaget L M Ericsson (Publ) Methods and apparatus in a telecommunications network
CN101932101B (zh) * 2009-06-19 2015-03-11 华为技术有限公司 传输时间间隔的调整方法和网络设备
US8718659B2 (en) * 2009-06-19 2014-05-06 Htc Corporation Method of random access channel optimization and related communication device
US8452297B2 (en) * 2009-06-29 2013-05-28 Htc Corporation Method of random access channel optimization and related communication device
US20110002298A1 (en) * 2009-07-06 2011-01-06 Muthaiah Venkatachalam Reducing Overhead in Wireless Communications
CN105554827B (zh) 2009-08-12 2019-12-06 日本电气株式会社 移动通信系统、基站、上位装置、网关装置、通信方法
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8923218B2 (en) * 2009-11-02 2014-12-30 Qualcomm Incorporated Apparatus and method for random access signaling in a wireless communication system
BR112012012362B1 (pt) 2009-11-23 2021-05-18 Blackberry Limited método e aparelho para transição de estado / modo
EP2505036B1 (en) 2009-11-23 2018-08-22 BlackBerry Limited Method and apparatus for state/mode transitioning
CN102783242A (zh) 2009-11-23 2012-11-14 捷讯研究有限公司 基于sri消息传输的状态或模式转换触发
KR101636931B1 (ko) 2009-12-11 2016-07-06 삼성전자 주식회사 이동통신 시스템에서 경쟁 기반 액세스를 수행하는 방법 및 장치
US8983532B2 (en) 2009-12-30 2015-03-17 Blackberry Limited Method and system for a wireless communication device to adopt varied functionalities based on different communication systems by specific protocol messages
US9178648B2 (en) * 2010-01-06 2015-11-03 Alcatel Lucent Method to improve voice over IP capacity for user equipment employing variable rate vocoders
CN102149200A (zh) * 2010-02-04 2011-08-10 电信科学技术研究院 一种配置prach信息的方法和基站
US9496972B2 (en) * 2010-03-08 2016-11-15 Htc Corporation Communication devices and methods thereof
US8666398B2 (en) * 2010-04-13 2014-03-04 Qualcomm Incorporated Random access procedure enhancements for heterogeneous networks
US8099091B2 (en) * 2010-05-13 2012-01-17 Apple Inc. Method to control configuration change times in a wireless device
US8891356B2 (en) * 2010-06-28 2014-11-18 Qualcomm Incorporated System and method for multi-point HSDPA communication utilizing a multi-link RLC sublayer
US8989140B2 (en) 2010-06-28 2015-03-24 Qualcomm Incorporated System and method for mobility in a multi-point HSDPA communication network
KR20120041932A (ko) * 2010-10-22 2012-05-03 한국전자통신연구원 랜덤 액세스 자원 할당을 위한 기지국의 통신 방법 및 랜덤 액세스 자원 할당을 이용한 단말의 통신 방법 및 그 장치
US8989004B2 (en) 2010-11-08 2015-03-24 Qualcomm Incorporated System and method for multi-point HSDPA communication utilizing a multi-link PDCP sublayer
CN102740468A (zh) * 2011-04-02 2012-10-17 华为技术有限公司 分配信道资源的方法、基站设备、终端设备和通信系统
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
KR101883425B1 (ko) 2011-08-01 2018-07-31 삼성전자주식회사 휴대 단말기를 이용하는 위폐 감별법
US8737211B2 (en) 2011-08-03 2014-05-27 Qualcomm Incorporated Methods and apparatuses for network configuration of user equipment communication modes in multiflow systems
US9125098B2 (en) 2011-08-03 2015-09-01 Qualcomm Incorporated Method and apparatus for flow congestion control in multiflow networks
KR101549801B1 (ko) 2011-08-11 2015-09-03 인터디지탈 패튼 홀딩스, 인크 R99 prach로의 폴백
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
CN103314634A (zh) 2011-11-11 2013-09-18 捷讯研究有限公司 用于用户设备状态转移的方法和装置
US8923873B2 (en) 2011-11-14 2014-12-30 T-Mobile Usa, Inc. Device triggered channel selection
US9585156B2 (en) 2011-11-14 2017-02-28 Qualcomm Incorporated Supporting different LTE-TDD configurations in neighboring regions and/or adjacent carriers
US20130224964A1 (en) * 2012-02-28 2013-08-29 Asm Ip Holding B.V. Method for Forming Dielectric Film Containing Si-C bonds by Atomic Layer Deposition Using Precursor Containing Si-C-Si bond
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
GB201208389D0 (en) * 2012-05-10 2012-06-27 Samsung Electronics Co Ltd Integrated circuit, communication unit, wireless communication system and methods therefor
US8938551B2 (en) * 2012-04-10 2015-01-20 Intel Mobile Communications GmbH Data processing device
DE102013103582B4 (de) 2012-04-10 2019-12-05 Intel Deutschland Gmbh Datenverarbeitungsvorrichtung
US9930678B2 (en) * 2012-07-19 2018-03-27 Qualcomm Incorporated Multiplexing UEs with different TDD configurations and some techniques to mitigate UE-to-UE and base station-to-base station interference
US9294958B2 (en) * 2012-07-20 2016-03-22 Qualcomm Incorporated Method and apparatus for dynamically configuring a cell update message
JP5524291B2 (ja) * 2012-07-20 2014-06-18 株式会社Nttドコモ 移動局
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
EP2883400A4 (en) * 2012-08-07 2015-07-22 Ericsson Telefon Ab L M METHODS AND DEVICES FOR SELECTING A RANDOM ACCESS CHANNEL
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10200137B2 (en) 2013-12-27 2019-02-05 Huawei Technologies Co., Ltd. System and method for adaptive TTI coexistence with LTE
US11012939B2 (en) 2014-01-08 2021-05-18 Huawei Technologies Co., Ltd. System and method for always on connections in wireless communications system
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
CN106332048B (zh) * 2015-06-30 2022-08-19 华为技术有限公司 一种数据传输方法、无线网络节点和通信系统
US10148326B2 (en) * 2015-07-06 2018-12-04 Qualcomm Incorporated Methods and apparatus for extended receiver processing time
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
CN106559890B (zh) * 2015-09-29 2021-08-31 华为技术有限公司 传输控制信令的方法和装置
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
CN108307456B (zh) * 2016-09-30 2021-05-07 华为技术有限公司 消息的识别方法和装置
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
EP3854149A1 (en) * 2018-09-21 2021-07-28 Nokia Technologies Oy Enhanced wake-up signal handling
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
ZA946674B (en) 1993-09-08 1995-05-02 Qualcomm Inc Method and apparatus for determining the transmission data rate in a multi-user communication system
GB2340698B (en) * 1995-04-18 2000-07-19 Mitsubishi Electric Corp Radio data transmission system
SE515588C2 (sv) * 1996-01-25 2001-09-03 Ericsson Telefon Ab L M Miniceller med variabel för storlek på nyttolasten i ett mobiltelefonnät
JP3870507B2 (ja) * 1997-09-22 2007-01-17 ソニー株式会社 通信方法、送信方法、受信方法、基地局及び端末装置
KR19990088235A (ko) * 1998-05-13 1999-12-27 윤종용 이동통신시스템의시간스위칭송신다이버시티장치및그제어방법
FI108982B (fi) * 1998-06-15 2002-04-30 Nokia Corp Sanomapalvelu langattomassa tietoliikennejärjestelmässä
US6587696B1 (en) * 1998-07-31 2003-07-01 Nokia Mobile Phones Limited Power control technique utilizing forward pilot channel
JP3196747B2 (ja) * 1998-12-18 2001-08-06 三菱マテリアル株式会社 移動無線機、基地局無線機、及び、その記録媒体
FI106901B (fi) * 1999-02-23 2001-04-30 Nokia Mobile Phones Ltd Menetelmä ja järjestely pakettidatan siirron hallitsemiseksi solukkojärjestelmässä
US6628946B1 (en) * 1999-05-20 2003-09-30 Telefonaktiebolaget Lm Ericsson (Publ) Method and apparatus for broadcasting system information in a cellular communications network
WO2000072547A1 (fr) * 1999-05-25 2000-11-30 Sony Corporation Procede, dispositif et systeme de transmission
US6463504B1 (en) * 1999-11-08 2002-10-08 International Business Machines Corporation Method and system for dynamically reassigning unused logical volumes from a storage subsystem to an open systems host
CA2581751C (en) * 2000-01-14 2013-06-04 Interdigital Technology Corporation Wireless communication system with selectively sized data transport blocks
US6778835B2 (en) * 2000-03-18 2004-08-17 Lg Electronics Inc. Method for allocating physical channel of mobile communication system and communication method using the same
EP1212853B1 (en) * 2000-06-02 2005-08-31 Samsung Electronics Co., Ltd. Method for selecting rach in a cdma mobile communication system
DE10039429A1 (de) * 2000-08-11 2002-03-07 Siemens Ag Verfahren zur Signalübertragung in einem Funk-Kommunikationssystem
US7283502B1 (en) * 2000-09-21 2007-10-16 Lucent Technologies Inc. Enhancement of framing protocol frame format to support quality of service
US6788959B2 (en) * 2000-10-30 2004-09-07 Nokia Corporation Method and apparatus for transmitting and receiving dynamic configuration parameters in a third generation cellular telephone network
US6701151B2 (en) * 2001-03-27 2004-03-02 Ericsson Inc. Short access for realizing a signaling radio bearer in geran
KR100387040B1 (ko) * 2001-04-03 2003-06-12 삼성전자주식회사 이동통신 시스템에서 패킷 데이터 송수신 방법
DE60108177T2 (de) * 2001-06-27 2005-12-08 Nokia Corp. Verfahren zur adaptiven einstellung der sendeparameter für eine aufwärtssendungsprozedur eines zufallzugriffskanals in einem drahtlosen kommunikationssystem
US7146433B2 (en) * 2002-02-01 2006-12-05 Lenovo Singapore Pte. Ltd Extending an allowable transmission distance between a wireless device and an access point by communication with intermediate wireless devices
KR100765123B1 (ko) * 2002-02-16 2007-10-11 엘지전자 주식회사 Srns 재할당 방법
KR100886537B1 (ko) * 2002-03-15 2009-03-02 삼성전자주식회사 부호분할다중접속 이동통신시스템에서 멀티캐스트멀티미디어 방송 서비스를 위한 데이터 패킷 제어장치 및방법
US7177658B2 (en) * 2002-05-06 2007-02-13 Qualcomm, Incorporated Multi-media broadcast and multicast service (MBMS) in a wireless communications system
US6684081B2 (en) * 2002-05-10 2004-01-27 Nokia Corporation Method and system for separating control information and user data from multicast and broadcast services
FR2843670B1 (fr) * 2002-08-14 2005-01-14 Evolium Sas Procede pour l'allocation de ressources en mode paquet dans un systeme de radiocommunications mobiles
CN100452674C (zh) * 2002-09-30 2009-01-14 Ut斯达康(中国)有限公司 码分多址系统中下行链路公共信道开环功率控制方法
US7301926B1 (en) * 2003-04-04 2007-11-27 Airespace, Inc. Automatic coverage hole detection in computer network environments
FR2854756B1 (fr) * 2003-05-07 2005-08-12 Evolium Sas Procede pour l'etablissement de connexion dans un systeme de radiocommunications mobiles
US7199608B1 (en) * 2005-02-17 2007-04-03 Xilinx, Inc. Programmable logic device and method of configuration
CN1937449A (zh) * 2005-09-20 2007-03-28 展讯通信(上海)有限公司 一种可变长度的prach帧结构及其实现方法
US8014359B2 (en) * 2006-10-27 2011-09-06 Interdigital Technology Corporation Method and apparatus for assigning radio resources and controlling transmission parameters on a random access channel

Also Published As

Publication number Publication date
AU2005249137A1 (en) 2005-12-15
US20100014479A1 (en) 2010-01-21
JP2008501281A (ja) 2008-01-17
AU2005249137B2 (en) 2008-03-20
US7660281B2 (en) 2010-02-09
BRPI0510557A (pt) 2007-11-20
US20090219881A1 (en) 2009-09-03
CN102833784B (zh) 2015-03-25
EP1751893B1 (en) 2012-03-28
RU2346392C2 (ru) 2009-02-10
CN101860907A (zh) 2010-10-13
WO2005119941A1 (en) 2005-12-15
EP1751893A4 (en) 2010-05-05
EP1751893A1 (en) 2007-02-14
CN101860907B (zh) 2013-11-06
JP4920581B2 (ja) 2012-04-18
US20050266846A1 (en) 2005-12-01
US7715344B2 (en) 2010-05-11
CN102833784A (zh) 2012-12-19
US7580388B2 (en) 2009-08-25
RU2006137475A (ru) 2008-07-20
ATE551871T1 (de) 2012-04-15

Similar Documents

Publication Publication Date Title
US7580388B2 (en) Method and apparatus for providing enhanced messages on common control channel in wireless communication system
AU2005301494B2 (en) Mobile power handling method and apparatus
EP1540851B1 (en) Multicast service providing method in mobile communication system
EP1969737B1 (en) Method for reading dynamic system information blocks
TWI418229B (zh) 在行動通訊系統中溝通控制資訊的方法和設備
EP1761091B1 (en) Method for performing admission control in a cellular network
US8179790B2 (en) Method for selecting transport format combination guaranteed QoS in mobile communication system
US7613473B2 (en) RRC group reject method and apparatus for mobile communications
US9167565B2 (en) Method of transmitting and receiving downlink data in wireless communication system
TWM355522U (en) Management and setup of enhanced MAC-E/ES resources in CELL-FACH state
ZA200609137B (en) Method and apparatus for providing enhanced messages on common control channel in wireless communication system
KR101141326B1 (ko) 무선통신 시스템에서 공통제어채널 상의 개선된 메시지제공 방법 및 장치

Legal Events

Date Code Title Description
FG Grant or registration