KR940011741B1 - 화학증착법 - Google Patents

화학증착법 Download PDF

Info

Publication number
KR940011741B1
KR940011741B1 KR1019900003258A KR900003258A KR940011741B1 KR 940011741 B1 KR940011741 B1 KR 940011741B1 KR 1019900003258 A KR1019900003258 A KR 1019900003258A KR 900003258 A KR900003258 A KR 900003258A KR 940011741 B1 KR940011741 B1 KR 940011741B1
Authority
KR
South Korea
Prior art keywords
layer
chamber
vapor deposition
wafer
chemical vapor
Prior art date
Application number
KR1019900003258A
Other languages
English (en)
Other versions
KR900015266A (ko
Inventor
아쓰히로 쓰꾸네
겐지 고오야마
Original Assignee
후지쓰 가부시끼가이샤
야마모도 다꾸마
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 후지쓰 가부시끼가이샤, 야마모도 다꾸마 filed Critical 후지쓰 가부시끼가이샤
Publication of KR900015266A publication Critical patent/KR900015266A/ko
Application granted granted Critical
Publication of KR940011741B1 publication Critical patent/KR940011741B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Drying Of Semiconductors (AREA)
  • Sampling And Sample Adjustment (AREA)

Abstract

내용 없음.

Description

화학증착법
제1도는 종래의 CVD방법에 의해 형성된 SiO2층에 대해 행해진 SIMS의 분석결과의 그래프.
제2도는 본 발명에 따른 CVD방법을 시행하는데 사용되는 플라즈마 CVD장치를 도시하는 다이어그램.
제3도는 본 발명에 따른 CVD방법의 첫번째 실시예에 의해 형성된 SiO2층에 대해 행해진 SIMS의 분석결과의 그래프.
제4도는 본 발명에 따른 CVD방법의 두번째 실시예에 의해 형성된 PSG층에 대해 행해진 SIMS의 분석결과의 그래프.
제5도 내지 제7도도는 종래의 CVD방법에 의해 형성된 PSG층에 대해 행해진 SIMS의 분석결과의 그래프.
* 도면의 주요부분에 대한 부호의 설명
1 : RF공급원 2 : 체임버
3 : RF전극 4 : 스테이지
5 : 밸브군 10 : Si웨이퍼
[발명의 배경]
본 발명은 화학증착법, 더 상세히는 플루오르를 함유하는 가스를 사용해서 플라즈마 셀프-클리닝(plasma self-cleaning)을 행하는 종류의 화학증착장치에 채용되는 화학증착법에 관한 것이다.
근래에 고 산출고의 화학증착(CVD)장치가 요구되고 있다. 이 때문에 CVD장치의 휴지시간을 최소화하기 위해서 CVD장치의 체임버내에 플라즈마 셀프-클리닝이 사용되고 있다.
종래의 CVD장치에서는 플라즈마 셀프-클리닝이 플루오르를 함유하는 가스를 사용하여 행해졌다. 그후 웨이퍼상에 CVD층을 형성하기 전에, 체임버의 내부를 CVD층과 동일한 물질로 수 미크론 두께로 피복함으로써 플라즈마 셀프-클리닝후에 체임버내에 잔류하는 플루오르 가스의 작용을 억압하였으나 충분하지 못했다.
특히, CVD층이 SiO2층 또는 포스포-실리케이트 글래스(PSG)층인 경우에 플라즈마 셀프-클리닝에 사용한 CF4, SF6, NF3등을 포함하는 가스를 제거 또는 충분히 억압하는 것은 불가능했다. 이러한 이유때문에 웨이퍼상에 형성되는 SiO2또는 PSG층내에 플루오르가 불가피하게 혼입되었다.
CVD에 의해 Si웨이퍼상에 SiO2층이 형성된 경우의 예를 설명한 것이다. 먼저 NF3가스를 사용하여 플라즈마 셀프-클리닝을 한다.
그후, Si웨이퍼상에 SiO2층을 형성하기 전에, CVD장치의 체임버내에 3 미크론 두께로 SiO2층을 형성시킨다. 그후 CVD에 의해 Si웨이퍼상에 SiO2층이 형성된다.
제1도는 CVD에 의해 Si웨이퍼상에 형성된 SiO2층에 대해 행해진 세컨더리 이온매스 스펙트로메트리(SIMS)의 분석결과를 나타낸다.
제1도에서 보는 바와 같이 고농도의 플루오르가 SiO2층에 혼합된다.
따라서 종래의 CVD방법에 따르면, SiO2층, PSG층등 내에 플루오르가 혼입되어 층의 질이 저하되는 문제가 있었다.
[발명의 개요]
따라서 본 발명의 일반적인 목적은 상기 문제점이 제거된 신규하고 유용한 CVD방법을 제공하는 것이다.
본 발명의 보다 구체적인 목적은 플루오르를 함유하는 가스를 사용하며 체임버내에서 플라즈마 셀프,-클리닝을 하는 단계, 실리콘 및 질소를 포함하는 물질의 제1층에 의해 체임버의 내부를 피복하는 단계, 그리고 화학증착에 의해 체임버 내부의 소정의 표면상(→예컨대 실리콘 웨이퍼상)에 주성분이 질소가 아닌 물질로 이루어진 제2층을 형성하는 단계를 포함하는 CVD방법을 제공하는 것이다.
본 발명의 CVD방법에 따르면 플라즈마 셀프-클리닝 후에 체임버내에 잔류하는 플루오르가스를 확실하게 제거 또는 억압하기 위하여 체임버의 내부를 제1층에 의해 피복한다. 이 때문에 제2층에 혼입되는 플루오르의 양이 종래 CVD방법에 비해 실질적으로 억압된다. 제2층내의 불순물의 양은 극히 적고 제2층은 균일하다.
본 발명의 다른 목적 및 특징은 도면을 참조해서 다음의 설명을 읽으면 명백해진다.
[바람직한 실시예의 상세한 설명]
제2도는 본 발명에 따른 CVD방법의 시행에 사용되는 플라즈마 CVD장치를 일반적으로 도시하는 것이다. 편의상, 본 발명에 따른 CVD방법의 제1실시예에 있어서 제2도에 도시된 플라즈마 CVD장치의 사용에 의해 Si웨이퍼상에 SiO2층을 형성하는 것으로 가정한다. 플라즈마 CVD장치는 RF공급원(1), 체임버(2), RF전극(3), 스테이지(4) 및 밸브군(5)으로 일반적으로 구성된다. Si웨이퍼는 체임버(2)내의 스테이지(4) 위에 배치된다.
먼저 첫번째 단계로 플라즈마 셀프-클리닝은 다음과 같이 시행된다.
즉 체임버(2)내의 압력은 0.5Torr로 설정되고 NF3가스는 100cc/min의 유속으로 공급하며, RF공급원(1)은 13.56㎒의 무선주파수(RF) 및 300W로 작동시킴으로써 플라즈마 셀프-클리닝이 행해진다.
그후 두번째 단계로 체임버(2)내의 압력을 1Torr로 설정하고 SiH4가스를 20cc/min의 유속으로 공급하며, NH3가스를 100cc/min의 유속으로 공급하고, N2가스를 200cc/min의 유속으로 공급한다. 또한 RF공급원(1)은 13.56㎒의 RF 및 300W로 작동시킨다. 그 결과 제1층인 플라즈마 SiN층이 체임버(2)의 내부벽에 0.3미크론의 두께로 피복된다. 계속해서 세번째 단계로, Si웨이퍼(10)를 체임버(2)내의 스테이지(4)상에 배치하고 체임버(2)내의 압력을 1Torr로 설정한다. 웨이퍼의 온도는 400℃로 설정된다. 또한 SiN4가스를 5cc/min의 유속으로 공급하고 N2O가스를 250cc/min의 유속으로 공급하고 N2가스를 50cc/min의 유속으로 공급한다. RF공급원(1)은 13.56㎒의 RF 및 300W로 작동시킨다. 그 결과, Si웨이퍼상에 제2층인 SiO2층이 1미크론의 두께로 형성한다. 제2츠에는 불순물 정도의 수준으로 적은 양의 질소가 포함될 수 있다.
제3도는 본 실시예에 의해 Si웨이퍼상에 형성되는 SiO2층에 대해 행해지는 SIMS의 분석결과를 도시한다. 제3도 및 제1도를 대비한 것으로부터 알 수 있는 바와같이, 본 실시예에서는 종래의 경우와 비교해서 Si웨이퍼(10)상에 형성되는 SiO2층에 혼입되는 플루오르의 양이 극히 적다.
다음에 본 발명에 따른 CVD방법의 두번째 실시예를 설명한다. 본 실시예에서는 제2도에 도시된 플라즈마 CVD장치를 사용하여 Si웨이퍼상에 PSG층이 형성된다.
첫째, 다음의 조건하에 80초 동안 플라즈마 셀프-클리닝을 한다. 즉, 체임버(2)내의 압력을 약 0.5Torr로 설정하고 NF3가스를 300SCCM의 유속으로 공급하고 N2O가스를 150SCCM의 유속으로 공급한다. RF공급원(1)은 13.56㎒의 RF 및 500W로 작동한다. 체임버(2)내의 온도는 350℃로 설정된다.
둘째, 다음의 조건하에 15초동안 SiN층이 체임버(2)의 내부에 피복된다. 체임버(2)내의 압력은 3Torr로 설정되고 SiH4가스는 130SCCM의 유속으로 공급하고 NH3가스를 60SCCM의 유속으로 공급되고 N2가스는 500SCCM의 유속으로 공급된다. RF공급원(1)은 13.56㎒의 RF 및 350W로 작동한다. 체임버(2)내의 온도는 350℃로 설정된다. 그 결과, 체임버(2)의 내부에 플라즈마 SiN층이 약 1500Å의 두께로 피복된다.
셋째, 체임버(2)내의 스테이지(4)상에 Si웨이퍼(10)를 배치하고 체임버(2)내의 압력을 3Torr로 설정한다. 웨이퍼의 온도는 350℃로 설정한다.
또한, SiH4가스는 50SCCM의 유속으로 공급되고 N2O가스는 1300SCCM의 유속으로 공급되고 Ar가스내의 PH3가스 1%를 포함하는 가스는 800SCCM의 유속으로 공급된다. RF공급원(1)은 13.56㎒의 RF 및 100W로 작동한다. 이 공정은 15초동안 진행된다. 그 결과, Si웨이퍼(10)상에 제2층인 약 2000Å두께의 PSG층이 형성된다.
제2층에는 질소가 불순물 수준정도의 적은 양으로 포함될 수 있다.
제4도는 본 실시예에 의해 Si웨이퍼(10)상에 형성되는 PSG층에 대해 시행한 SIMS의 분석결과를 도시한다. 제3도로부터 알 수 있는 바와 같이, Si웨이퍼(10)상에 형성되는 PSG층에 혼입되는 플루오르의 양은 극히 적다.
대조를 위한 목적으로서 제5도 내지 제7도는 종래의 CVD방법에 의해 형성된 PSG층에 대해시행된 SIMS의 분석결과를 도시하다. 종래의 CVD방법에 따르면, 플라즈마 셀프-클리닝 및 Si웨이퍼상의 PSG층의 형성은 두번째 실시예와 같은 조건하에서 행하는 것으로 한다. 그러나 종래의 CVD방법에 따르면 플라즈마 셀프ㅡ클리닝후, Si웨이퍼상에서 PSG층의 형성전에 체임버의 내부에 PSG층이 피복된다. PSG층은 다음의 조건하에 체임버의 내부에 피복된다. 즉, 체임버내의 압력은 3Torr로 설정하다. 웨이퍼의 온도는 350℃로 설정한다. SiH4가스는 40SCCM의 유속으로 공급되고 N2O가스는 1300SCCM의 유속으로 공급되고 PH3는 800CCM의 유속으로 공급된다. RF공급원은 13.56㎒의 RF 및 100W로 작동한다. 이 방법을 15초, 30초, 및 60초동안 시행한다.
제5도, 제6도 및 제7도는 체임버내에 PSG층을 형성하는 방법을 15초, 30초 및 60초 동안 시행하는 세 경우에 대해서 종래의 CVD방법에 의해 형성된 PSG층에 대해 행해진 SIMS의 분석결과를 도시한다. 제5도 내지 제7도로부터 알 수 있는 바와 같이, 체임버내에 PSG층을 형성하는 방법의 시간길이에 불구하고 SI웨이퍼상에 형성되는 PSG층에 혼입되는 플루오르의 양은 상당히 많다. 그러나 제4도와 제5도 내지 제7도의 비교에 의해 알 수 있는 바와 같이, 두번째 실시예에 따라서 Si웨이퍼(10)상에 형성된 PSG층에 혼입되는 플루오르의 양은 종래의 경우와 비교해서 극히 적다.
CVD방법에 의해 웨이퍼상에 형성되는 층에 혼입되는 플루오르의 양의 감소효과는 체임버의 내부가 실리콘(Si) 및 질소(N)를 포함하는 제1층이 적어도 1000Å의 두께로 피복되었을 때 현저하다.
또한 체임버의 내부에 피복되는 제1층은 SiN, SiON 및 SiBN을 포함하는 물질의 군에서 선택될 수 있다.
한편 본 발명은 이들 실시예에만 한정되지 않으며 본 발명의 범위를 벗어남이 없이 여러가지 변형이 가능함은 물론이다.

Claims (5)

  1. 플루오르-함유 가스를 사용한 플라즈마 셀프-클리닝을 행하는 것을 포함하는 화학증착법에 있어서, 플루오르를 함유하는 가스를 사용하여 체임버(2)내에서 플라즈마 셀프-클리닝을 행하는 단계; 실리콘 및 질소를 포함하는 물질의 제1층에 의해 체임버(2)의 내부를 피복하는 단계; 및 체임버(2)내부의 소정 표면상에 화학증착에 의해 질소가 주성분이 아닌 물질로 이루어진 제2층을 형성하는 단계; 를 포함하는 것을 특징으로 하는 화학증착법.
  2. 제1항에 있어서, 상기 제1층은 SiN, SiON 및 SiBN으로 구성된 군으로 부터 선택되는 물질인 것을 특징으로 하는 화학증착법.
  3. 제1항에 있어서, 상기 제2층은 이산화규소(SiO2) 및 포스포-실리케이트 글래스(PSG)로 구성된 군으로부터 선택되는 물질인 것을 특징으로 하는 화학증착법.
  4. 제3항에 있어서, 상기 소정의 표면이 규소(Si)로 만들어진 것을 특징으로 하는 화학증착법.
  5. 제1항에 있어서, 체임버(2)의 내부를 피복하는 제1층을 적어도 약 1000Å의 두께로 피복하는 것을 특징으로 하는 화학증착법.
KR1019900003258A 1989-03-14 1990-03-12 화학증착법 KR940011741B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP1-61508 1989-03-14
JP1061508A JP2708533B2 (ja) 1989-03-14 1989-03-14 Cvd装置の残留ガス除去方法

Publications (2)

Publication Number Publication Date
KR900015266A KR900015266A (ko) 1990-10-26
KR940011741B1 true KR940011741B1 (ko) 1994-12-23

Family

ID=13173105

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019900003258A KR940011741B1 (ko) 1989-03-14 1990-03-12 화학증착법

Country Status (5)

Country Link
US (1) US5041311A (ko)
EP (1) EP0387656B1 (ko)
JP (1) JP2708533B2 (ko)
KR (1) KR940011741B1 (ko)
DE (1) DE69004715D1 (ko)

Families Citing this family (48)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5045346A (en) * 1990-07-31 1991-09-03 Gte Laboratories Incorporated Method of depositing fluorinated silicon nitride
US6849872B1 (en) 1991-08-26 2005-02-01 Semiconductor Energy Laboratory Co., Ltd. Thin film transistor
US5326723A (en) * 1992-09-09 1994-07-05 Intel Corporation Method for improving stability of tungsten chemical vapor deposition
JPH06349916A (ja) * 1993-04-30 1994-12-22 Applied Materials Inc 基板上の粒子検出方法及び装置
US5486235A (en) * 1993-08-09 1996-01-23 Applied Materials, Inc. Plasma dry cleaning of semiconductor processing chambers
JPH0793276B2 (ja) * 1993-12-14 1995-10-09 アプライド マテリアルズ インコーポレイテッド 薄膜形成前処理方法および薄膜形成方法
JPH0799744B2 (ja) * 1993-12-27 1995-10-25 アプライド マテリアルズ インコーポレイテッド 薄膜形成方法
EP0661731B1 (en) * 1993-12-28 2000-05-31 Applied Materials, Inc. A single chamber CVD process for thin film transistors
KR100327086B1 (ko) * 1994-06-15 2002-03-06 구사마 사부로 박막 반도체 장치의 제조방법, 박막 반도체 장치,액정표시장치 및 전자기기
JP3471082B2 (ja) * 1994-07-15 2003-11-25 株式会社東芝 Cvd装置の反応室のコーティング方法
JPH0867984A (ja) * 1994-08-26 1996-03-12 Iwatani Internatl Corp プラズマcvdでのクリーニング方法
US6127261A (en) * 1995-11-16 2000-10-03 Advanced Micro Devices, Inc. Method of fabricating an integrated circuit including a tri-layer pre-metal interlayer dielectric compatible with advanced CMOS technologies
US5647953A (en) * 1995-12-22 1997-07-15 Lam Research Corporation Plasma cleaning method for removing residues in a plasma process chamber
US5843838A (en) * 1995-12-27 1998-12-01 Taiwan Semiconductor Manufacturing Company, Ltd. Modified clean recipe to suppress formation of BPSG bubble
US5810937A (en) * 1996-03-13 1998-09-22 Applied Materials, Inc. Using ceramic wafer to protect susceptor during cleaning of a processing chamber
JP3400293B2 (ja) * 1996-05-01 2003-04-28 株式会社東芝 Cvd装置及びそのクリーニング方法
US5811356A (en) * 1996-08-19 1998-09-22 Applied Materials, Inc. Reduction in mobile ion and metal contamination by varying season time and bias RF power during chamber cleaning
US6020035A (en) * 1996-10-29 2000-02-01 Applied Materials, Inc. Film to tie up loose fluorine in the chamber after a clean process
EP0933806A4 (en) * 1996-11-14 2003-01-22 Tokyo Electron Ltd CLEANING A PLASMA APPARATUS AND TREATMENT
US5937323A (en) * 1997-06-03 1999-08-10 Applied Materials, Inc. Sequencing of the recipe steps for the optimal low-k HDP-CVD processing
TW460943B (en) * 1997-06-11 2001-10-21 Applied Materials Inc Reduction of mobile ion and metal contamination in HDP-CVD chambers using chamber seasoning film depositions
TW416100B (en) * 1997-07-02 2000-12-21 Applied Materials Inc Control of oxygen to silane ratio in a seasoning process to improve particle performance in an HDP-CVD system
JPH1197426A (ja) * 1997-09-25 1999-04-09 Hitachi Ltd プラズマ処理方法およびその装置並びに半導体基板の製造方法
US6624064B1 (en) * 1997-10-10 2003-09-23 Applied Materials, Inc. Chamber seasoning method to improve adhesion of F-containing dielectric film to metal for VLSI application
US6323119B1 (en) 1997-10-10 2001-11-27 Applied Materials, Inc. CVD deposition method to improve adhesion of F-containing dielectric metal lines for VLSI application
US5970383A (en) * 1997-12-17 1999-10-19 Advanced Micro Devices Method of manufacturing a semiconductor device with improved control of deposition layer thickness
US6635569B1 (en) 1998-04-20 2003-10-21 Tokyo Electron Limited Method of passivating and stabilizing a Ti-PECVD process chamber and combined Ti-PECVD/TiN-CVD processing method and apparatus
US6067999A (en) * 1998-04-23 2000-05-30 International Business Machines Corporation Method for deposition tool cleaning
DE19844025A1 (de) * 1998-09-25 2000-03-30 Inst Oberflaechenmodifizierung Reaktives Ionen(strahl)ätzen von Oberflächen
FR2784228B1 (fr) * 1998-10-01 2002-01-11 France Telecom PROCEDE DE FORMATION D'UN FILM ANTIREFLECHISSANT SiON, NON-POLLUANT VIS-VIS DES RESINES PHOTORESISTANTES POUR U.V. LOINTAIN
US6159333A (en) * 1998-10-08 2000-12-12 Applied Materials, Inc. Substrate processing system configurable for deposition or cleaning
US20020033183A1 (en) * 1999-05-29 2002-03-21 Sheng Sun Method and apparatus for enhanced chamber cleaning
JP4570186B2 (ja) * 1999-11-04 2010-10-27 キヤノンアネルバ株式会社 プラズマクリーニング方法
US6426015B1 (en) * 1999-12-14 2002-07-30 Applied Materials, Inc. Method of reducing undesired etching of insulation due to elevated boron concentrations
US6573030B1 (en) 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
TW522475B (en) * 2000-05-12 2003-03-01 Applied Materials Inc Method for improving chemical vapor deposition processing
KR20030031183A (ko) * 2000-09-15 2003-04-18 맷슨 써멀 프로덕츠 게엠베하 열 처리된 반도체 기판상의 오염을 감소시키기 위한 장치및 방법
JP4897159B2 (ja) * 2001-08-03 2012-03-14 株式会社日立国際電気 半導体装置の製造方法
JP4700236B2 (ja) * 2001-08-03 2011-06-15 株式会社日立国際電気 半導体装置の製造方法および基板処理装置
JP4430918B2 (ja) * 2003-03-25 2010-03-10 東京エレクトロン株式会社 薄膜形成装置の洗浄方法及び薄膜形成方法
US20050221020A1 (en) * 2004-03-30 2005-10-06 Tokyo Electron Limited Method of improving the wafer to wafer uniformity and defectivity of a deposited dielectric film
US20050260354A1 (en) * 2004-05-20 2005-11-24 Varian Semiconductor Equipment Associates, Inc. In-situ process chamber preparation methods for plasma ion implantation systems
KR100755116B1 (ko) * 2006-08-01 2007-09-04 동부일렉트로닉스 주식회사 Pecvd 실리콘 나이트라이드막 형성 방법
JP5202372B2 (ja) * 2008-03-14 2013-06-05 東京エレクトロン株式会社 成膜装置のメタル汚染低減方法、半導体装置の製造方法、記憶媒体及び成膜装置
CN103219227A (zh) * 2013-04-09 2013-07-24 上海华力微电子有限公司 等离子体清洗方法
CN103219226B (zh) * 2013-04-09 2015-12-02 上海华力微电子有限公司 降低在沉积非晶碳膜时晶圆背面金属污染的方法
TWI567823B (zh) * 2014-12-22 2017-01-21 群創光電股份有限公司 顯示面板與其製造方法
JP2023513102A (ja) * 2020-02-07 2023-03-30 ラム リサーチ コーポレーション 処理チャンバのその場(in situ)表面コーティング

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4058638A (en) * 1974-12-19 1977-11-15 Texas Instruments Incorporated Method of optical thin film coating
NL7906996A (nl) * 1979-09-20 1981-03-24 Philips Nv Werkwijze voor het reinigen van een reaktor.
US4629635A (en) * 1984-03-16 1986-12-16 Genus, Inc. Process for depositing a low resistivity tungsten silicon composite film on a substrate
US4579609A (en) * 1984-06-08 1986-04-01 Massachusetts Institute Of Technology Growth of epitaxial films by chemical vapor deposition utilizing a surface cleaning step immediately before deposition
ES2081806T3 (es) * 1987-06-26 1996-03-16 Applied Materials Inc Procedimiento de autolimpieza de una camara de reactor.

Also Published As

Publication number Publication date
EP0387656B1 (en) 1993-11-24
EP0387656A1 (en) 1990-09-19
US5041311A (en) 1991-08-20
JPH02240267A (ja) 1990-09-25
JP2708533B2 (ja) 1998-02-04
DE69004715D1 (de) 1994-01-05
KR900015266A (ko) 1990-10-26

Similar Documents

Publication Publication Date Title
KR940011741B1 (ko) 화학증착법
US5129958A (en) Cleaning method for semiconductor wafer processing apparatus
US5356722A (en) Method for depositing ozone/TEOS silicon oxide films of reduced surface sensitivity
US5207836A (en) Cleaning process for removal of deposits from the susceptor of a chemical vapor deposition apparatus
EP0661732B1 (en) A method of forming silicon oxy-nitride films by plasma-enhanced chemical vapor deposition
US5043299A (en) Process for selective deposition of tungsten on semiconductor wafer
US6872323B1 (en) In situ plasma process to remove fluorine residues from the interior surfaces of a CVD reactor
US4992299A (en) Deposition of silicon nitride films from azidosilane sources
JPS63200539A (ja) 窒化ケイ素膜を含有する装置の製造方法
US5763021A (en) Method of forming a dielectric film
US5045346A (en) Method of depositing fluorinated silicon nitride
EP0416400B1 (en) Cleaning method for semiconductor wafer processing apparatus
JP3649650B2 (ja) 基板エッチング方法、半導体装置製造方法
US6403501B1 (en) Method of controlling FSG deposition rate in an HDP reactor
JP2002060951A (ja) 気体反応によるcvdチャンバ内の異物の除去
JPH07201738A (ja) 薄膜形成前処理方法および薄膜形成方法
US6602560B2 (en) Method for removing residual fluorine in HDP-CVD chamber
JP3820212B2 (ja) Cvdチャンバクリーニング後にcvdチャンバをコンディショニングする方法
JPH09320963A (ja) Cvdチャンバを清掃した後に調整するための方法
JP2002060950A (ja) 化学的気相堆積処理を改善する方法
KR20030064083A (ko) 원자층 적층을 이용하여 실리콘 나이트라이드 박막을형성하는 방법
EP1154038A1 (en) Method of conditioning a chamber for chemical vapor deposition
JPH07235530A (ja) 絶縁膜の形成方法
JPH0625859A (ja) Cvd膜形成装置およびプラズマクリーニング方法
JPH06342786A (ja) 絶縁膜の形成方法および減圧cvd装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E801 Decision on dismissal of amendment
E601 Decision to refuse application
J2X1 Appeal (before the patent court)

Free format text: APPEAL AGAINST DECISION TO DECLINE REFUSAL

G160 Decision to publish patent application
B701 Decision to grant
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20091210

Year of fee payment: 16

EXPY Expiration of term