KR860009475A - 플라즈마 에칭에 관한 원지 포토레지스트의 캡핑 프로세스 - Google Patents

플라즈마 에칭에 관한 원지 포토레지스트의 캡핑 프로세스 Download PDF

Info

Publication number
KR860009475A
KR860009475A KR1019860003900A KR860003900A KR860009475A KR 860009475 A KR860009475 A KR 860009475A KR 1019860003900 A KR1019860003900 A KR 1019860003900A KR 860003900 A KR860003900 A KR 860003900A KR 860009475 A KR860009475 A KR 860009475A
Authority
KR
South Korea
Prior art keywords
silicon
layer
etching
mask
resist
Prior art date
Application number
KR1019860003900A
Other languages
English (en)
Other versions
KR940000913B1 (ko
Inventor
운 탐 시몬
포울 리이드 로날드
유엔 쿠이 옹 제리
닌-큐 왕 데비드
Original Assignee
어플라이드 머티어리얼 인코포레이션
제임스 더블유, 백라이
어플 라이드 머티어리얼 인코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼 인코포레이션, 제임스 더블유, 백라이, 어플 라이드 머티어리얼 인코포레이션 filed Critical 어플라이드 머티어리얼 인코포레이션
Publication of KR860009475A publication Critical patent/KR860009475A/ko
Application granted granted Critical
Publication of KR940000913B1 publication Critical patent/KR940000913B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31058After-treatment of organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)
  • ing And Chemical Polishing (AREA)

Abstract

내용 없음

Description

플라즈마 에칭에 관한 원지 포토레지스트의 캡핑 프로세스
본 내용은 요부공개 건이므로 전문내용을 수록하지 않았음
제1도는 공업적으로 이용가능한 에치 반응장치에 대한 도면.
* 도면의 주요부분에 대한 부호의 설명
10 : 이온보조 플라즈마 에칭 반응장치 시스템 11 : 금속벽(양극)
12 : 가스유량모듀울 13 : 가스유입
14 : 구가스분배링 또는 메니폴드 15, 16 : 배기포오트
17 : 가변 도로틀 밸브 18 : 압력센서
19 : 터어보 모레큐우러 펌프 20 : 기계식 펌프
22 : 크리오 펌프 23 : 웨이퍼스
24 : 육각형 전극 또는 육극관 25 : RF 발전기
26 : RF 매칭 네트워크 31 : 폴리 실리콘 층
32 : 선 또는 구조 33 : 기판
34 : 로코스고립 산화물 35 : 산화물층
36 : 레지스트 마스크 37 : 캡핑 복합체
38 : 캡핑층 불활성

Claims (8)

  1. 마스크 위에 실리콘 함유 코우팅을 형성시키기 위해서, 실리콘-에칭종을 함유한 플라즈마에 마스크를 노출 : 그리고 그 코우팅을 선택적으로 산화시키기 위해서, 실리콘-에칭종을 함유한 산화성 플라즈마에 그 코우팅을 노출시키는 것으로 구성됨을 특징으로 하는, 밑에 깔려 있는 실리콘-함유층의 에칭에 대한 유기물 마스크의 저항을 증가시키기 위해서 유기물 마스크를 조절하는 프로세스.
  2. 마스크를 실리콘층 위에 배치하고 : 마스크 위에 실리콘-염소 복합체를 함유한 층을 형성시키기 위해서, 레지스트 에치 마스크층을 염소함유 가스에 노출하고 : 실리콘-함유층을 산화시키기 위해서, 그 복합재료를 실리콘 에칭종을 함유한 산화성 가스혼합물에 노출시켜서, 레지스트층 위에 같은 형상의 에치저항 캡핑층을 형성시키는 것으로 구성됨을 특징으로 하는 에치저항을 증가시키기 위해서 레지스트 에치 마스크층을 조절하는 프로세스.
  3. 제 2 항에 있어서, 염소-함유가스가 HCl과 BCl3중에서 적어도 하나로부터 선택됨을 특징으로 하는 프로세스.
  4. 제 2 항에 있어서, 염소-함유가스가 HCl과 BCl3의 혼합물로 이루어짐을 특징으로 하는 프로세스.
  5. 제 2 항에 있어서, 산소가 산화성 가스혼합물에서 우세한 산화성 성분인 것을 특징으로 하는 프로세스.
  6. 제 2 항에 있어서, 산화성 가스혼합물에서 우세한 에칭성분이 HCl인 것을 특징으로 하는 프로세스.
  7. 밀폐형 플라즈마 에칭 방에서 실리콘-함유층을 레지스트 마스크와 염소처리된 에칭가스를 사용하여 에칭하는 과정에서, 다음으로 구성됨을 특징으로 하는 에칭하기 위한 레지스트 마스트를 준비하는 단계 : 레지스트를 실리콘-함유층에 배치, 여기서 실리콘-함유층을 방내에 있는 한쌍의 전극 구조물 중의 하나에 배열 : 첫째 조절단계로서, BCl3와 HCl 중의 적어도 하나로부터 선택된 염소처리된 가스로 이루어진 반응성 가스 혼합물을 상기의 방 안으로 전달 : 실리콘-함유층을 에칭시키며 레지스트 위에 실리콘-과 염소-함유층을 형성시키기 위한 상기의 반응성 가스 혼합물의 플라즈마를 만들기 위해서 RF 전기에너지를 상기 전극 중의 하나의 공급 : 다음의 조절 단계로서, 실리콘-함유층을 산화시키기 위한 산소-함유종과 실리콘-함유층을 에칭시키기 위한 에칭종으로 구성된 반응성 가스혼합물을 상기 실 안으로 전달.
  8. 제 7 항에 있어서, 산소-함유종이 산소이고 에칭종이 HCl인 것을 특징으로 하는 프로세스.
    ※ 참고사항 : 최초출원 내용에 의하여 공개하는 것임.
KR1019860003900A 1985-05-20 1986-05-20 플라즈마 에칭에 관한 원상태 포토레지스트의 캡핑방법 KR940000913B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US736,435 1985-05-20
US736435 1985-05-20
US06/736,435 US4613400A (en) 1985-05-20 1985-05-20 In-situ photoresist capping process for plasma etching

Publications (2)

Publication Number Publication Date
KR860009475A true KR860009475A (ko) 1986-12-23
KR940000913B1 KR940000913B1 (ko) 1994-02-04

Family

ID=24959844

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019860003900A KR940000913B1 (ko) 1985-05-20 1986-05-20 플라즈마 에칭에 관한 원상태 포토레지스트의 캡핑방법

Country Status (5)

Country Link
US (1) US4613400A (ko)
EP (1) EP0202907B1 (ko)
JP (1) JP2553513B2 (ko)
KR (1) KR940000913B1 (ko)
DE (1) DE3686092T2 (ko)

Families Citing this family (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6784033B1 (en) 1984-02-15 2004-08-31 Semiconductor Energy Laboratory Co., Ltd. Method for the manufacture of an insulated gate field effect semiconductor device
US6113701A (en) * 1985-02-14 2000-09-05 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device, manufacturing method, and system
DE3514094A1 (de) * 1985-04-16 1986-10-23 Schering AG, Berlin und Bergkamen, 1000 Berlin Herstellung metallischer strukturen auf anorganischen nichtleitern
US6230650B1 (en) 1985-10-14 2001-05-15 Semiconductor Energy Laboratory Co., Ltd. Microwave enhanced CVD system under magnetic field
US6673722B1 (en) 1985-10-14 2004-01-06 Semiconductor Energy Laboratory Co., Ltd. Microwave enhanced CVD system under magnetic field
FR2604188B1 (fr) * 1986-09-18 1992-11-27 Framatome Sa Element tubulaire en acier inoxydable presentant une resistance a l'usure amelioree
US4717448A (en) * 1986-10-09 1988-01-05 International Business Machines Corporation Reactive ion etch chemistry for providing deep vertical trenches in semiconductor substrates
US4750980A (en) * 1986-11-07 1988-06-14 Texas Instruments Incorporated Process for etching tin oxide
DE3752259T2 (de) * 1986-12-19 1999-10-14 Applied Materials Bromine-Ätzverfahren für Silizium
US4778563A (en) * 1987-03-26 1988-10-18 Applied Materials, Inc. Materials and methods for etching tungsten polycides using silicide as a mask
EP0299248B1 (en) * 1987-07-16 1992-08-19 Texas Instruments Incorporated Processing apparatus and method
JP2947818B2 (ja) * 1988-07-27 1999-09-13 株式会社日立製作所 微細孔への金属穴埋め方法
US4978594A (en) * 1988-10-17 1990-12-18 International Business Machines Corporation Fluorine-containing base layer for multi-layer resist processes
DE68923247T2 (de) * 1988-11-04 1995-10-26 Fujitsu Ltd Verfahren zum Erzeugen eines Fotolackmusters.
US6008133A (en) * 1991-04-04 1999-12-28 Hitachi, Ltd. Method and apparatus for dry etching
US5610753A (en) * 1991-12-12 1997-03-11 Eastman Kodak Company Optical design of laser scanner to reduce thermal sensitivity
FR2695410B1 (fr) * 1992-09-04 1994-11-18 France Telecom Procédé de prétraitement d'un substrat pour le dépôt sélectif de tungstène.
JPH09501612A (ja) * 1994-04-08 1997-02-18 マーク エー. レイ, 選択的プラズマ成長
US5767017A (en) * 1995-12-21 1998-06-16 International Business Machines Corporation Selective removal of vertical portions of a film
US6139647A (en) * 1995-12-21 2000-10-31 International Business Machines Corporation Selective removal of vertical portions of a film
US6025268A (en) * 1996-06-26 2000-02-15 Advanced Micro Devices, Inc. Method of etching conductive lines through an etch resistant photoresist mask
US5942446A (en) * 1997-09-12 1999-08-24 Taiwan Semiconductor Manufacturing Company, Ltd. Fluorocarbon polymer layer deposition predominant pre-etch plasma etch method for forming patterned silicon containing dielectric layer
US5801083A (en) * 1997-10-20 1998-09-01 Chartered Semiconductor Manufacturing, Ltd. Use of polymer spacers for the fabrication of shallow trench isolation regions with rounded top corners
US6121154A (en) * 1997-12-23 2000-09-19 Lam Research Corporation Techniques for etching with a photoresist mask
US6103596A (en) * 1998-02-19 2000-08-15 Taiwan Semiconductor Manufacturing Company Process for etching a silicon nitride hardmask mask with zero etch bias
EP1070346A1 (en) 1998-04-02 2001-01-24 Applied Materials, Inc. Method for etching low k dielectrics
US6291357B1 (en) 1999-10-06 2001-09-18 Applied Materials, Inc. Method and apparatus for etching a substrate with reduced microloading
TW200409230A (en) * 2002-11-28 2004-06-01 Au Optronics Corp Method for avoiding non-uniform etching of silicon layer
US20040224524A1 (en) * 2003-05-09 2004-11-11 Applied Materials, Inc. Maintaining the dimensions of features being etched on a lithographic mask
KR100510558B1 (ko) * 2003-12-13 2005-08-26 삼성전자주식회사 패턴 형성 방법
US20060000796A1 (en) * 2004-06-30 2006-01-05 Elliot Tan Method for controlling critical dimensions and etch bias
US7709391B2 (en) * 2006-01-20 2010-05-04 Applied Materials, Inc. Methods for in-situ generation of reactive etch and growth specie in film formation processes
CN104465386A (zh) * 2013-09-24 2015-03-25 中芯国际集成电路制造(北京)有限公司 半导体结构的形成方法

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4255230A (en) * 1980-02-22 1981-03-10 Eaton Corporation Plasma etching process
NL8004007A (nl) * 1980-07-11 1982-02-01 Philips Nv Werkwijze voor het vervaardigen van een halfgeleider- inrichting.
US4333793A (en) * 1980-10-20 1982-06-08 Bell Telephone Laboratories, Incorporated High-selectivity plasma-assisted etching of resist-masked layer
JPS6059302B2 (ja) * 1981-05-26 1985-12-24 インタ−ナショナル ビジネス マシ−ンズ コ−ポレ−ション 多量の酸素を用いた反応性イオン食刻法
US4450042A (en) * 1982-07-06 1984-05-22 Texas Instruments Incorporated Plasma etch chemistry for anisotropic etching of silicon
JPS59141228A (ja) * 1983-02-01 1984-08-13 Mitsubishi Electric Corp 微細パタ−ン形成方法
US4468285A (en) * 1983-12-22 1984-08-28 Advanced Micro Devices, Inc. Plasma etch process for single-crystal silicon with improved selectivity to silicon dioxide

Also Published As

Publication number Publication date
US4613400A (en) 1986-09-23
EP0202907B1 (en) 1992-07-22
JPS6230890A (ja) 1987-02-09
EP0202907A3 (en) 1988-07-27
DE3686092D1 (de) 1992-08-27
DE3686092T2 (de) 1993-01-07
KR940000913B1 (ko) 1994-02-04
EP0202907A2 (en) 1986-11-26
JP2553513B2 (ja) 1996-11-13

Similar Documents

Publication Publication Date Title
KR860009475A (ko) 플라즈마 에칭에 관한 원지 포토레지스트의 캡핑 프로세스
US4324611A (en) Process and gas mixture for etching silicon dioxide and silicon nitride
EP0304046A3 (en) A method of stripping a resist mask
KR870009449A (ko) 유전체 에칭 중지 물질과의 비프례임식 바이어 상호 연결방법
WO2004061916B1 (en) Method of forming a low-k dual damascene interconnect structure
IE811531L (en) Manufacturing a semiconductor device using a gas mixture
MY115808A (en) Method of forming connection hole
EP0483319A1 (en) Etching indium tin oxide
JPS5684476A (en) Etching method of gas plasma
JPS55138874A (en) Semiconductor device and method of fabricating the same
TW384512B (en) Manufacturing method for semiconductor devices and manufacturing apparatuses for semiconductors
US5340438A (en) Low temperature insitu image reversal process for microelectric fabrication
WO2005071722B1 (en) Selective etch of films with high dielectric constant
ATE244454T1 (de) Herstellungsverfahren von einer, auf der basis von silan, borphosphosilikat planarisierten struktur
JPS55101853A (en) Method of fabricating comparison electrode with fet
CN109065431B (zh) 氧化物气化去除装置
JPS5647568A (en) Plasma etching method
JPH0936091A (ja) 半導体装置の製造方法
JPS6436023A (en) Dry etching
KR980005576A (ko) 반도체 소자의 금속층 형성 방법
JPH02155230A (ja) ドライエッチング装置
JPS5511167A (en) Dry etching method
Bruce et al. High rate anisotropic etching
JPH01238121A (ja) 半導体装置の製造方法
JPS57170535A (en) Etching method for thin silicon film

Legal Events

Date Code Title Description
A201 Request for examination
G160 Decision to publish patent application
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20001118

Year of fee payment: 8

LAPS Lapse due to unpaid annual fee