KR20240052480A - 반도체 소자 - Google Patents

반도체 소자 Download PDF

Info

Publication number
KR20240052480A
KR20240052480A KR1020220132594A KR20220132594A KR20240052480A KR 20240052480 A KR20240052480 A KR 20240052480A KR 1020220132594 A KR1020220132594 A KR 1020220132594A KR 20220132594 A KR20220132594 A KR 20220132594A KR 20240052480 A KR20240052480 A KR 20240052480A
Authority
KR
South Korea
Prior art keywords
layer
source
drain region
channel layers
barrier layer
Prior art date
Application number
KR1020220132594A
Other languages
English (en)
Inventor
유정균
박준기
김성환
김완돈
성석현
이현배
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020220132594A priority Critical patent/KR20240052480A/ko
Priority to US18/369,236 priority patent/US20240128335A1/en
Priority to EP23202918.1A priority patent/EP4354510A1/en
Priority to CN202311324255.9A priority patent/CN117894838A/zh
Publication of KR20240052480A publication Critical patent/KR20240052480A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41733Source or drain electrodes for field effect devices for thin film transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41766Source or drain electrodes for field effect devices with at least part of the source or drain electrode having contact below the semiconductor surface, e.g. the source or drain electrode formed at least partially in a groove or with inclusions of conductor inside the semiconductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78606Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device
    • H01L29/78618Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain

Abstract

본 발명의 실시예에 따른 반도체 소자는, 기판 상에서 제1 방향으로 연장되는 활성 영역; 상기 활성 영역 상에 상기 기판의 상면에 수직한 수직 방향으로 서로 이격되어 배치되는 복수의 채널층들; 상기 기판 상에서 상기 활성 영역 및 상기 복수의 채널층들과 교차하여 상기 복수의 채널층들을 각각 둘러싸고, 제2 방향으로 연장되는 게이트 구조물; 상기 게이트 구조물의 적어도 일측에서 상기 활성 영역 상에 배치되며, 상기 복수의 채널층들과 접촉하는 소스/드레인 영역; 및 상기 소스/드레인 영역에 연결되는 콘택 플러그를 포함하되, 상기 콘택 플러그는 상기 소스/드레인 영역과 접촉하는 금속-반도체 화합물층, 상기 금속-반도체 화합물층 상의 배리어층, 및 상기 배리어층 상의 플러그 도전층을 포함하고, 상기 콘택 플러그는 상기 금속-반도체 화합물층 및 상기 배리어층이 접촉하는 제1 경사면 및 제2 경사면을 포함하고, 상기 배리어층은 외측면 상에서 게이트 구조물을 향해 돌출된 제1 단부 및 제2 단부를 포함하고, 상기 제1 단부 및 상기 제2 단부는 상기 복수의 채널층들 중 최상부 채널층의 상면보다 높은 레벨에 위치하고, 상기 금속-반도체 화합물층의 최상부는 상기 소스/드레인 영역의 상면보다 높은 레벨에 위치할 수 있다.

Description

반도체 소자{SEMICONDUCTOR DEVICES}
본 발명은 반도체 소자에 관한 것이다.
반도체 소자에 대한 고성능, 고속화 및/또는 다기능화 등에 대한 요구가 증가되면서, 반도체 소자의 집적도가 증가되고 있다. 반도체 소자의 고집적화 경향에 대응한 미세 패턴의 반도체 소자를 제조하는 데 있어서, 미세한 폭 또는 미세한 이격 거리를 가지는 패턴들을 구현하는 것이 요구된다. 또한, 평면형(planar) MOSFET(metal oxide semiconductor FET)의 크기 축소에 따른 동작 특성의 한계를 극복하기 위하여, 3차원 구조의 채널을 구비하는 반도체 소자를 개발하기 위한 노력이 진행되고 있다.
본 발명의 기술적 사상이 이루고자 하는 기술적 과제 중 하나는, 전기적 특성이 향상된 반도체 소자를 제공하는 것이다.
예시적인 실시예들에 따른 반도체 소자는, 기판 상에서 제1 방향으로 연장되는 활성 영역; 상기 활성 영역 상에 상기 기판의 상면에 수직한 수직 방향으로 서로 이격되어 배치되는 복수의 채널층들; 상기 기판 상에서 상기 활성 영역 및 상기 복수의 채널층들과 교차하여 상기 복수의 채널층들을 각각 둘러싸고, 제2 방향으로 연장되는 게이트 구조물; 상기 게이트 구조물의 적어도 일측에서 상기 활성 영역 상에 배치되며, 상기 복수의 채널층들과 접촉하는 소스/드레인 영역; 및 상기 소스/드레인 영역에 연결되는 콘택 플러그를 포함하되, 상기 콘택 플러그는 상기 소스/드레인 영역과 접촉하는 금속-반도체 화합물층, 상기 금속-반도체 화합물층 상의 배리어층, 및 상기 배리어층 상의 플러그 도전층을 포함하고, 상기 콘택 플러그는 상기 금속-반도체 화합물층 및 상기 배리어층이 접촉하는 제1 경사면 및 제2 경사면을 포함하고, 상기 배리어층은 외측면 상에서 게이트 구조물을 향해 돌출된 제1 단부 및 제2 단부를 포함하고, 상기 제1 단부 및 상기 제2 단부는 상기 복수의 채널층들 중 최상부 채널층의 상면보다 높은 레벨에 위치하고, 상기 금속-반도체 화합물층의 최상부는 상기 소스/드레인 영역의 상면보다 높은 레벨에 위치할 수 있다.
예시적인 실시예들에 따른 반도체 소자는, 기판 상에서 제1 방향으로 연장되는 활성 영역; 상기 활성 영역 상에 상기 기판의 상면에 수직한 수직 방향으로 서로 이격되어 배치되는 복수의 채널층들; 상기 기판 상에서 상기 활성 영역 및 상기 복수의 채널층들과 교차하여 상기 복수의 채널층들을 각각 둘러싸고, 제2 방향으로 연장되는 게이트 구조물; 상기 게이트 구조물의 적어도 일측에서 상기 활성 영역 상에 배치되며, 상기 복수의 채널층들과 접촉하는 소스/드레인 영역; 및 상기 소스/드레인 영역에 연결되는 콘택 플러그를 포함하되, 상기 콘택 플러그는 상기 소스/드레인 영역과 접촉하는 금속-반도체 화합물층, 상기 금속-반도체 화합물층 상의 배리어층, 및 상기 배리어층 상의 플러그 도전층을 포함하고, 상기 배리어층은 외측면 상에서 게이트 구조물을 향해 돌출된 제1 단부 및 제2 단부를 포함하고, 상기 제1 단부 및 상기 제2 단부는 상기 소스/드레인 영역의 상면보다 높은 레벨에 위치할 수 있다.
예시적인 실시예들에 따른 반도체 소자는, 기판 상에서 제1 방향으로 연장되는 활성 영역; 상기 기판 상에서 상기 활성 영역과 교차하여 제2 방향으로 연장되는 게이트 구조물; 상기 게이트 구조물의 적어도 일측에서 상기 활성 영역 상에 배치되는 소스/드레인 영역; 및 상기 소스/드레인 영역에 연결되는 콘택 플러그를 포함하되, 상기 콘택 플러그는 상기 소스/드레인 영역과 접촉하는 금속-반도체 화합물층, 배리어층, 및 상기 배리어층 상의 플러그 도전층을 포함하고, 상기 배리어층은 외측면 상에서 게이트 구조물을 향해 돌출된 제1 단부 및 제2 단부를 포함하고, 상기 제1 단부 및 상기 제2 단부는 상기 소스/드레인 영역의 상면보다 높은 레벨에 위치하고, 상기 금속-반도체 화합물층은, 중심 영역에서 제1 두께를 갖고, 상기 중심 영역의 외측의 주변 영역에서 상기 제1 두께보다 작은 제2 두께를 가질 수 있다.
콘택 플러그와 소스/드레인 영역의 접촉하는 면적을 증가시키는 구조를 채택함으로써, 전기적 특성 및 신뢰성이 향상된 반도체 소자가 제공될 수 있다.
본 발명의 다양하면서도 유익한 장점과 효과는 상술한 내용에 한정되지 않으며, 본 발명의 구체적인 실시예를 설명하는 과정에서 보다 쉽게 이해될 수 있을 것이다.
도 1은 예시적인 실시예들에 따른 반도체 소자를 도시하는 평면도이다.
도 2a는 예시적인 실시예들에 따른 반도체 소자를 도시하는 단면도이다.
도 2b는 예시적인 실시예들에 따른 반도체 소자의 일부를 도시하는 부분 확대도이다.
도 3은 본 발명의 변형 실시예에 따른 반도체 소자를 나타낸 부분 확대도이다.
도 4는 본 발명의 변형 실시예에 따른 반도체 소자를 나타낸 부분 확대도이다.
도 5는 본 발명의 변형 실시예에 따른 반도체 소자를 나타낸 부분 확대도이다.
도 6는 본 발명의 변형 실시예에 따른 반도체 소자를 나타낸 부분 확대도이다.
도 7는 본 발명의 변형 실시예에 따른 반도체 소자를 나타낸 부분 확대도이다.
도 8는 본 발명의 변형 실시예에 따른 반도체 소자를 나타낸 부분 확대도이다.
도 9a는 예시적인 실시예들에 따른 반도체 소자를 도시하는 단면도이다.
도 9b는 예시적인 실시예들에 따른 반도체 소자의 일부를 도시하는 부분 확대도이다.
도 10은 예시적인 실시예들에 따른 반도체 소자를 도시하는 단면도이다.
도 11a 내지 도 11j는 예시적인 실시예들에 따른 반도체 소자의 제조 방법을 설명하기 위하여 공정 순서에 따라 도시한 단면도들이다.
이하, 첨부된 도면을 참조하여 본 발명의 바람직한 실시예들을 다음과 같이 설명한다.
도 1은 예시적인 실시예들에 따른 반도체 소자를 도시하는 평면도이다.
도 2a는 예시적인 실시예들에 따른 반도체 소자를 도시하는 단면도이다. 도 2a는 각각 도 1의 반도체 소자를 절단선 Ⅰ-Ⅰ' 및 Ⅱ-Ⅱ'을 따라서 절단한 단면들을 도시한다.
도 2b는 예시적인 실시예들에 따른 반도체 소자의 일부 영역을 확대하여 도시하는 부분 확대도이다. 도 2b에서는 도 2a의 'A' 영역을 확대하여 도시한다.
설명의 편의를 위하여, 도 1 내지 도 2b에서는 반도체 소자의 주요 구성요소들만을 도시하였다.
도 1 내지 도 2b를 참조하면, 반도체 소자(100)는, 기판(101), 기판(101) 상의 활성 영역(105), 활성 영역(105) 상에 서로 수직하게 이격되어 배치되는 복수의 채널층들(141, 142, 143)을 포함하는 채널 구조물(140), 활성 영역(105)과 교차하여 연장되는 게이트 구조물(160), 복수의 채널층들(141, 142, 143)과 접촉되는 소스/드레인 영역(150), 및 소스/드레인 영역(150)에 연결되는 콘택 플러그(180)를 포함할 수 있다. 반도체 소자(100)는, 소자분리층들(110) 및 층간 절연층(190)을 더 포함할 수 있다. 게이트 구조물(160)은, 게이트 스페이서층들(164), 제1 및 제2 게이트 유전층들(162a, 162b), 게이트 전극(165), 및 게이트 캡핑층(166)을 포함할 수 있다.
반도체 소자(100)에서는, 활성 영역(105)이 핀(fin) 구조를 갖고, 게이트 전극(165)이 활성 영역(105)과 채널 구조물(140)의 사이, 채널 구조물들(140)의 복수의 채널층들(141, 142, 143)의 사이, 및 채널 구조물(140)의 상부에 배치될 수 있다. 이에 따라, 반도체 소자(100)는 채널 구조물(140), 소스/드레인 영역(150), 및 게이트 구조물(160)에 의한 게이트-올-어라운드(Gate-All-Around)형 전계 효과 트랜지스터, 즉 MBCFETTM(Multi Bridge Channel FET)을 포함할 수 있다. 상기 트랜지스터는 예를 들어 NMOS 트랜지스터들일 수 있다.
기판(101)은 x 방향과 y 방향으로 연장되는 상면을 가질 수 있다. 기판(101)은 반도체 물질, 예컨대 Ⅳ족 반도체, Ⅲ-Ⅴ족 화합물 반도체 또는 Ⅱ-Ⅵ족 화합물 반도체를 포함할 수 있다. 예를 들어, Ⅳ족 반도체는 실리콘, 게르마늄 또는 실리콘-게르마늄을 포함할 수 있다. 기판(101)은 벌크 웨이퍼, 에피택셜 층, SOI(Silicon On Insulator)층, 또는 SeOI(Semiconductor On Insulator)층 등으로 제공될 수도 있다.
활성 영역(105)은 기판(101) 내에서 소자분리층(110)에 의해 정의되며, 제1 방향, 예를 들어 x 방향으로 연장되도록 배치될 수 있다. 활성 영역(105)은 기판(101)으로부터 돌출된 구조를 가질 수 있다. 활성 영역(105)의 상단은 소자분리층(110)의 상면으로부터 소정 높이로 돌출되도록 배치될 수 있다. 활성 영역(105)은 기판(101)의 일부로 이루어질 수도 있고, 기판(101)으로부터 성장된 에피택셜 층을 포함할 수도 있다. 다만, 게이트 구조물(160)의 양측에서는 기판(101) 상의 활성 영역(105)이 일부 리세스되며, 리세스된 활성 영역(105) 상에 소스/드레인 영역(150)이 배치될 수 있다. 활성 영역(105)은 불순물들을 포함하거나 불순물들을 포함하는 도핑 영역들을 포함할 수 있다.
채널 구조물(140)은 활성 영역(105) 상에서 활성 영역(105)의 상면에 수직한 방향, 예를 들어, z 방향으로 서로 이격되어 배치되는 2개 이상의 복수의 채널층들인 제1 내지 제3 채널층들(141, 142, 143)을 포함할 수 있다. 제1 내지 제3 채널층들(141, 142, 143)은 소스/드레인 영역(150)과 연결되면서, 활성 영역(105)의 상면과는 이격될 수 있다. 제1 내지 제3 채널층들(141, 142, 143)은 y 방향에서 활성 영역(105)과 동일하거나 유사한 폭을 가질 수 있으며, x 방향에서 게이트 구조물(160)과 동일하거나 유사한 폭을 가질 수 있다. 다만, 실시예들에 따라, 제1 내지 제3 채널층들(141, 142, 143)은 x 방향에서 게이트 구조물(160)의 하부에 측면들이 위치하도록 감소된 폭을 가질 수도 있다. 이로 인해, 소스/드레인 영역(150) 및 복수의 채널층들(141, 142, 143)이 접촉하는 면적이 감소할 수 있다.
제1 내지 제3 채널층들(141, 142, 143)은 반도체 물질로 이루어질 수 있으며, 예를 들어, 실리콘(Si), 실리콘 게르마늄(SiGe) 및 게르마늄(Ge) 중 적어도 하나를 포함할 수 있다. 제1 내지 제3 채널층들(141, 142, 143)은 예를 들어, 기판(101)과 동일한 물질로 이루어질 수 있다. 하나의 채널 구조물(140)을 이루는 채널층들(141, 142, 143)의 개수 및 형상은 실시예들에서 다양하게 변경될 수 있다(도 9a 및 도 9b 참조).
게이트 구조물(160)은 활성 영역(105) 및 복수의 채널층들(141, 142, 143)의 상부에서 활성 영역(105) 및 복수의 채널층들(141, 142, 143)과 교차하여 일 방향, 예를 들어 y 방향으로 연장되도록 배치될 수 있다. 게이트 구조물(160)과 교차되는 활성 영역(105) 및 복수의 채널층들(141, 142, 143)에는 트랜지스터들의 채널 영역이 형성될 수 있다. 게이트 구조물(160)은 복수의 채널층들(141, 142, 143)과 수직하게 중첩하는 영역에서, 복수의 채널층들(141, 142, 143) 중 최상부 채널층 상에 배치되는 상부 부분(160A) 및 각각의 복수의 채널층들(141, 142, 143)의 아래에 배치되는 하부 부분들(160B)을 포함할 수 있다. 명세서 전체에 걸쳐서, '하부' 및 '상부' 등의 용어는 상대적인 위치를 구별하기 위해 사용되는 것으로써, 이들 용어들에 의해 본 발명의 기술적 사상이 한정되는 것은 아니다. 예시적인 실시예에 따르면, 소스/드레인 영역들(150)의 최하부는 게이트 구조물(160)의 하부 부분들(160B) 중 최하부 보다 낮은 레벨에 배치될 수 있다. 게이트 구조물(160)은 게이트 전극(165), 게이트 전극(165)과 복수의 채널층들(141, 142, 143) 사이의 제1 및 제2 게이트 유전층들(162a, 162b), 게이트 전극(165)의 측면들 상의 게이트 스페이서층들(164), 및 게이트 전극(165)의 상면 상의 게이트 캡핑층(166)을 포함할 수 있다.
제1 및 제2 게이트 유전층들(162a, 162b)은 활성 영역(105)과 게이트 전극(165)의 사이 및 복수의 채널층들(141, 142, 143)과 게이트 전극(165)의 사이에 배치될 수 있으며, 게이트 전극(165)의 면들 중 적어도 일부를 덮도록 배치될 수 있다. 예를 들어, 게이트 구조물(160)의 상부 부분(160A)에서, 제1 게이트 유전층(162a)은 게이트 전극(165)의 하면 상에서 제2 게이트 유전층(162b)의 하면 상에 배치될 수 있다. 제2 게이트 유전층(162b)은 게이트 전극(165)의 최상부면을 제외한 모든 면들을 둘러싸도록 배치될 수 있다. 제1 및 제2 게이트 유전층들(162a, 162b)은 게이트 전극(165)과 게이트 스페이서층들(164)의 사이로 연장될 수 있으나, 이에 한정되지는 않는다. 제1 및 제2 게이트 유전층들(162a, 162b)은 서로 동일하거나 다른 두께를 가질 수 있다.
제1 및 제2 게이트 유전층들(162a, 162b)은 서로 동일한 물질로 이루어지거나, 서로 다른 물질을 포함할 수 있다. 제1 및 제2 게이트 유전층들(162, 163)은 산화물, 질화물 또는 고유전율(high-k) 물질을 포함할 수 있다. 상기 고유전율 물질은, 실리콘 산화막(SiO2)보다 높은 유전 상수(dielectric constant)를 가지는 유전 물질을 의미할 수 있다. 상기 고유전율 물질은, 예를 들어, 알루미늄 산화물(Al2O3), 탄탈륨 산화물(Ta2O3), 티타늄 산화물(TiO2), 이트륨 산화물(Y2O3), 지르코늄 산화물(ZrO2), 지르코늄 실리콘 산화물(ZrSixOy), 하프늄 산화물(HfO2), 하프늄 실리콘 산화물(HfSixOy), 란탄 산화물(La2O3), 란탄 알루미늄 산화물(LaAlxOy), 란탄 하프늄 산화물(LaHfxOy), 하프늄 알루미늄 산화물(HfAlxOy), 및 프라세오디뮴 산화물(Pr2O3) 중 어느 하나일 수 있다.
게이트 전극(165)은 활성 영역(105)의 상부에서 복수의 채널층들(141, 142, 143)의 사이를 채우며 복수의 채널층들(141, 142, 143)의 상부로 연장되어 배치될 수 있다. 게이트 전극(165)은 제1 및 제2 게이트 유전층들(162a, 162b)에 의해 복수의 채널층들(141, 142, 143)로부터 이격될 수 있다. 게이트 전극(165)은 도전성 물질을 포함할 수 있다. 예를 들어, 티타늄 질화막(TiN), 탄탈륨 질화막(TaN), 또는 텅스텐 질화막(WN)과 같은 금속 질화물, 및/또는 알루미늄(Al), 텅스텐(W), 또는 몰리브덴(Mo) 등의 금속 물질 또는 도핑된(doped) 폴리실리콘과 같은 반도체 물질을 포함할 수 있다.
게이트 전극(165)은 2개 이상의 다중층으로 구성될 수도 있다. 게이트 스페이서층들(164)은 게이트 전극(165)의 양 측면에 배치될 수 있다. 게이트 스페이서층들(164)은 소스/드레인 영역(150)과 게이트 전극(165)을 절연시킬 수 있다. 게이트 스페이서층들(164)은 실시예들에 따라 다층 구조로 이루어질 수도 있다. 게이트 스페이서층들(164)은 산화물, 질화물, 산질화물 및 저유전체(low-k dielectric) 중 적어도 하나를 포함할 수 있다.
게이트 캡핑층(166)은 게이트 전극(165)의 상부에 배치될 수 있다. 게이트 캡핑층(166)은 게이트 전극(165)의 상면을 따라 제2 방향, 예를 들어 y방향으로 연장되도록 배치될 수 있다. 게이트 캡핑층(166)의 측면들은 게이트 스페이서층들(164)에 의해 둘러싸일 수 있다. 게이트 캡핑층(166)의 상면은 게이트 스페이서층들(164)의 상면과 실질적으로 공면을 이룰 수 있으나, 이에 한정되지는 않는다. 게이트 캡핑층(166)은 산화물, 질화물 및 산질화물로 이루어질 수 있으며, 구체적으로, SiO, SiN, SiCN, SiOC, SiON, 및 SiOCN 중 적어도 하나를 포함할 수 있다.
소스/드레인 영역(150)은 복수의 채널층들(141, 142, 143)의 양측에서, 활성 영역(105) 상에 배치될 수 있다. 소스/드레인 영역(150)은 트랜지스터의 소스 영역 또는 드레인 영역으로 제공될 수 있다. 소스/드레인 영역(150)은 활성 영역(105)의 상부를 일부 리세스하여 배치될 수 있으나, 실시예들에서 리세스 여부 및 리세스 깊이는 다양하게 변경될 수 있다. 도시하지 않았으나, 소스/드레인 영역들(150)의 외측면은 은 복수의 채널층들(141, 142, 143)을 향해 돌출되어 있다. 이로 인해, 소스/드레인 영역들(150)은 복수의 채널층들(141, 142, 143)과 동일한 레벨에서, 복수의 채널층들(141, 142, 143)을 향해 돌출되는 돌출부를 포함할 수 있다. 소스/드레인 영역들(150) 및 제1 및 제2 게이트 유전층들(162a, 162b)이 접촉하는 면들은 라운드진 형상을 가질 수 있다. 소스/드레인 영역(150)은 복수의 채널층들(141, 142, 143)의 각각의 측면을 따라 배치되는 에피택셜 층들을 포함할 수 있다. 소스/드레인 영역(150)은 복수의 에피택셜층들을 포함할 수 있으나, 이에 한정되는 것은 아니다. 소스/드레인 영역(150)은 실리콘(Si) 및/또는 게르마늄(SiGe)을 포함하는 반도체층일 수 있다. 소스/드레인 영역(150)은 서로 다른 종류 및/또는 농도의 불순물들을 포함할 수 있다. 예를 들어, 소스/드레인 영역(150)은 n형으로 도핑된 실리콘(Si) 및/또는 p형으로 도핑된 실리콘 게르마늄(SiGe)을 포함할 수 있다. 예시적인 실시예들에 따르면, 소스/드레인 영역(150)의 하면은 x 방향을 따른 단면에서, 라운드진 형상을 가질 수 있으나, 이에 한정되지 않는다. 예시적인 실시예들에서, 소스/드레인 영역(150)은 서로 다른 농도의 원소 및/또는 도핑 원소를 포함하는 복수의 영역들을 포함할 수 있다. 소스/드레인 영역(150)은 y 방향을 따른 단면이 원형, 타원형, 오각형, 육각형 또는 이와 유사한 형상을 가질 수 있다. 다만, 실시예들에서, 소스/드레인 영역(150)은 다양한 형상을 가질 수 있으며, 예를 들어, 다각형, 원형 및 직사각형 중 어느 하나의 형상을 가질 수 있다.
콘택 플러그들(180)은 층간 절연층(190)의 적어도 일부를 관통하여 소스/드레인 영역(150)과 접촉할 수 있으며, 소스/드레인 영역(150)에 전기적인 신호를 인가할 수 있다. 콘택 플러그들(180)은 소스/드레인 영역(150) 상에 배치될 수 있으며, 실시예들에 따라, 소스/드레인 영역(150)보다 y 방향을 따라 긴 길이를 갖도록 배치될 수도 있다. 콘택 플러그들(180)은 종횡비에 따라 하부의 폭이 상부의 폭보다 좁아지는 경사진 측면을 가질 수 있으나, 이에 한정되지 않는다. 콘택 플러그들(180)은 기판(101)의 상면에 수직한 중심축을 기준으로 대칭일 수 있으나, 이에 한정되지 않는다. 콘택 플러그들(180)은 소스/드레인 영역(150)을 소정 깊이로 리세스하도록 배치될 수 있다. 예시적인 실시예들에 따르면, 콘택 플러그(180)는 하부 부분(180L) 및 하부 부분(180L) 상의 상부 부분(180U)을 포함할 수 있다. 콘택 플러그(180)의 상부 부분(180U)의 최하부의 제1 방향(x)을 따른 폭은 제1 단부(184e1) 및 제2 단부(184e2) 사이의 폭보다 작을 수 있다. 콘택 플러그(180)는 제1 단부(184e1) 및 제2 단부(184e2)의 사이에서 x 방향을 따른 폭이 최대일 수 있다.
콘택 플러그들(180)은 하단에 위치하는 금속-반도체 화합물층(182), 금속-반도체 화합물층(182) 상에서 측벽들을 따라 배치되는 배리어층(184), 및 배리어층(184) 상의 플러그 도전층(186)을 포함할 수 있다. 콘택 플러그(180)의 상부 부분(180U)은 배리어층(184) 및 플러그 도전층(186)을 포함할 수 있다. 콘택 플러그(180)의 하부 부분(180L)은 제1 내지 제4 경사면(180_1, 180_2, 180_3, 180_4), 제1 단부(184e1), 및 제2 단부(184e2)를 가지며, 금속-반도체 화합물층(182), 배리어층(184), 및 플러그 도전층(186)을 포함할 수 있다. 콘택 플러그들(180)은 금속-반도체 화합물층(182) 및 배리어층(184)이 접촉하는 제1 경사면(184_1) 및 제2 경사면(184_2)을 포함할 수 있다. 예시적인 실시예들에 따르면, 제1 경사면(184_1) 및 제2 경사면(184_2)은 소스/드레인 영역(150)의 상면과 평행하지 않을 수 있다. 즉, 제1 경사면(184_1) 및 제2 경사면(184_2)은 소스/드레인 영역(150)의 상면에 대해 경사를 가질 수 있다. 예시적인 실시예들에 따르면, 제1 경사면(184_1) 및 제2 경사면(184_2)은 기판(101)의 상면과 평행하지 않을 수 있다.
금속-반도체 화합물층(182)은 예를 들어, 금속 실리사이드층일 수 있다. 금속-반도체 화합물층(182)은 예를 들어, 텅스텐 실리사이드, 티타늄 실리사이드, 및 탄탈륨 실리사이드 중 적어도 하나를 포함할 수 있다. 금속-반도체 화합물층(182)의 최상부는 소스/드레인 영역(150)의 상면보다 높은 레벨에 위치할 수 있다. 예시적인 실시들에 따르면, 금속-반도체 화합물층(182)의 하부의 적어도 일부는 평평한 형상을 가질 수 있으나 이에 한정되지 않는다. 예시적인 실시예들에 따르면, 금속-반도체 화합물층(182)의 두께는 컨포멀하지 않을 수 있다. 예를 들어, 금속-반도체 화합물층은 중심 영역에서 제1 두께(T1)를 갖고, 중심 영역의 외측의 주변 영역에서 제1 두께(T1)보다 작은 제2 두께(T2)를 가질 수 있으나, 이에 한정되지 않는다.
배리어층(184)은 예를 들어, 티타늄 질화막(TiN), 탄탈륨 질화막(TaN), 또는 텅스텐 질화막(WN)과 같은 금속 질화물을 포함할 수 있다. 예시적인 실시예들에 따르면, 배리어층(184)은 콘택 플러그(180)의 측벽을 따라 측벽의 적어도 일부를 컨포멀하게 덮을 수 있다. 예를 들어, 콘택 플러그(180)의 측면상에서 배리어층(184)은 컨포멀하게 형성되나, 콘택 플러그(180)의 하부에서 배리어층(184)은 측면의 두께보다 두껍게 형성될 수 있다. 배리어층(184)은 외측면 상에서 게이트 구조물(160)의 상부 부분(160A)을 향해 돌출된 제1 단부(184e1) 및 제2 단부(184e2)를 포함할 수 있다. 제1 단부(184e1) 및 제2 단부(184e2)는 복수의 채널층들(141, 142, 143) 중 최상부 채널층(143)의 상면보다 높은 레벨에 위치할 수 있다. 예시적인 실시예들에 따르면, 제1 단부(184e1) 및 제2 단부(184e2)는 라운드진 형상을 가질 수 있다. 예를 들어, 제1 단부(184e1)는 제1 경사면(184_1) 및 제3 경사면(184_3)이 맞닿는 부분에서 굴곡질 수 있고, 제2 단부(184e2)는 제2 경사면(184_2) 및 제4 경사면(184_4)이 맞닿는 부분에서 굴곡질 수 있다. 배리어층(184)은 제1 단부(184e1) 및 제2 단부(184e2)를 향하면서 z 방향을 따른 길이가 감소하는 형태를 가질 수 있다.
플러그 도전층(186)은 예를 들어, 알루미늄(Al), 텅스텐(W), 또는 몰리브덴(Mo) 등의 금속 물질을 포함할 수 있다. 예시적인 실시예들에 따르면, 배리어층(184)은 콘택 플러그(180)의 측벽을 따라 측벽의 적어도 일부를 컨포멀하게 덮을 수 있기 때문에, 플러그 도전층(186)은 배리어층(184)에 대응되도록 제1 단부(184e1) 및 제2 단부(184e2)를 향해 돌출된 부분을 가질 수 있다. 일부 실시예들에 따르면, 콘택 플러그(180)는 소스/드레인 영역(150) 중 적어도 일부를 관통하도록 배치될 수 있다. 예시적인 실시예들에 따르면, 콘택 플러그들(180)을 구성하는 도전층의 개수 및 배치 형태는 다양하게 변경될 수 있다. 또한, 게이트 전극(165) 상에도 콘택 플러그와 같은 배선 구조물이 더 배치될 수 있으며, 콘택 플러그들(180) 상에는 콘택 플러그들(180)과 연결되는 배선 구조물이 더 배치될 수 있다. 플러그 도전층(186)은 제1 단부(184e1) 및 제2 단부(184e2)를 향하면서 z 방향을 따른 길이가 감소하는 형태를 가질 수 있다.
소자분리층(110)은 기판(101)에서 활성 영역(105)을 정의할 수 있다. 소자분리층(110)은 예를 들어, 쉘로우 트랜치 소자 분리(shallow trench isolation, STI) 공정에 의하여 형성될 수 있다. 실시예들에 따라, 소자분리층(110)은 기판(101)의 하부로 단차를 가지며 더욱 깊게 연장되는 영역을 더 포함할 수도 있다. 소자분리층(110)은 활성 영역(105)의 상부를 일부 노출시킬 수 있다. 실시예들에 따라, 소자분리층(110)은 활성 영역(105)에 인접할수록 높은 레벨을 갖는 굴곡진 상면을 가질 수도 있다. 소자분리층(110)은 절연 물질로 이루어질 수 있다. 소자분리층(110)은 예를 들어, 산화물, 질화물 또는 그들의 조합일 수 있다.
층간 절연층(190)은 소스/드레인 영역(150), 게이트 구조물(160) 및 소자분리층(110)을 덮도록 배치될 수 있다. 층간 절연층(190)은, 예를 들어, 산화물, 질화물, 산질화물 및 저유전체(low-k dielectric) 중 적어도 하나를 포함할 수 있다. 예시적인 실시예들에 따르면, 콘택 플러그(180)는 배리어층(184) 및 층간 절연층(190)이 접촉하는 제3 경사면(184_3) 및 제4 경사면(184_4)을 포함할 수 있다. 이로 인해, 제1 단부(184e1)는 제1 경사면(184_1) 및 제3 경사면(184_3)이 만나는 부분이고, 제2 단부(184e2)는 제2 경사면(184_2) 및 제4 경사면(184_4)이 만나는 부분일 수 있다. 예시적인 실시예들에 따르면, 제1 단부(184e1) 및 제2 단부(184e2)는 층간 절연층(190)에 의해 게이트 구조물(160)의 상부 부분(160A)과 이격될 수 있다. 예시적인 실시예들에 따르면, 제3 경사면(184_3) 및 제4 경사면(184_4)은 기판(101)의 상면과 평행하지 않을 수 있다.
이하의 실시예들에 대한 설명에서, 도 1 내지 도 2b를 참조하여 상술한 설명과 중복되는 설명은 생략한다.
도 3 내지 도 8은 본 발명의 변형 실시예에 따른 반도체 소자를 나타낸 부분 확대도이다. 다음으로, 도 3 내지 도 8은 각각 참조하여, 도 2a의 'A'로 표시된 부분 확대 영역의 다양한 변형 실시예들을 설명하기로 한다. 이하에서, 도 3 내지 도 8을 각각 참조하여 설명함에 있어서, 앞에서 설명한 구성요소들 중 변형된 구성요소를 중심으로 설명하기로 한다.
도 3을 참조하면, 반도체 소자(100a)에서, 콘택 플러그(180)는 중심축을 기준으로 좌우 대칭이 아닐 수 있다. 예시적인 실시예에 따르면, 제1 단부(180e1)는 제2 단부(180e2)가 위치하는 레벨과 다른 레벨에 위치할 수 있다. 예를 들어, 제1 단부(180e1)는 소스/드레인 영역(150)의 상면보다 높은 레벨에 위치하나, 제2 단부(180e2)가 위치하는 레벨과 다른 레벨에 위치할 수 있다. 구체적으로 제1 단부(180e1)는 제2 단부(180e2)가 위치하는 레벨 보다 낮은 레벨에 위치할 수 있으나, 이에 한정되지 않는다. 예시적인 실시예에 따르면, 제1 단부(184e1) 및 제2 단부(184e2)는 게이트 구조물(160)의 상부 부분(160A)을 향해 돌출된 정도가 다를 수 있다. 구체적으로, 제1 단부(184e1)는 제2 단부(184e2)보다 게이트 구조물(160)의 상부 부분(160A)을 향해 돌출되는 정도가 클 수 있다. 예를 들어, 제1 단부(184e1)의 최외곽 및 그와 가장 인접한 게이트 전극(165) 사이의 x방향을 따르는 거리는 제2 단부(184e2)의 최외곽 및 그와 가장 인접한 게이트 전극(165) 사이의 x방향을 따르는 거리보다 짧을 수 있다. 위와 같은 특징은 다른 실시예에서도 적용될 수 있다.
도 4를 참조하면, 반도체 소자(100b)에서, 제1 단부(180e1) 및 제2 단부(180e2)는 뾰족한 형상일 수 있다. 예를 들어, 제1 단부(180e1)는 제1 경사면(184_1) 및 제3 경사면(184_3)이 굴곡지지 않고 직접 만나 각도를 이루는 부분일 수 있고, 제2 단부(180e2)는 제2 경사면(184_2) 및 제4 경사면(184_4)이 굴곡지지 않고 직접 만나 각도를 이루는 부분일 수 있다. 도 11i를 참조하여 후술하는 제조 공정 단계에서, 화학적 산화물 제거(Chemical Oxide Removal,COR) 공정 및 H2 가스를 조절하여 제1 단부(180e1) 및 제2 단부(180e2)의 형상을 이와 같이 다양하게 형성할 수 있다.
도 5를 참조하면, 반도체 소자(100c)에서, 배리어층(184)의 두께는 도 2a의 반도체 소자(100)의 배리어층(184)보다 두껍게 형성되어, 플러그 도전층(186)은 제1 단부(180e1) 및 제2 단부(180e2)를 향해 돌출되지 않을 수 있다. 플러그 도전층(186)의 하부는 평평한 형상을 가질 수 있으나, 이에 한정되지 않으며 라운드진 형상을 가질 수 있다.
이하의 실시예들에 대한 설명에서, 도 5를 참조하여 상술한 설명과 중복되는 설명은 생략한다.
도 6을 참조하면, 반도체 소자(100d)에서, 배리어층(184)의 하부는 금속-반도체 화합물층(182)을 향해 돌출된 구조를 가질 수 있다. 후술하는 도 11i 공정에 따라, 배리어층(184)의 하부는 뾰족한 형상을 가질 수 있으나, 이에 한정되지 않으며 다양한 형상을 가질 수 있다. 배리어층(184)은 컨포멀하지 않을 수 있다. 예를 들어, 배리어층(184)의 하단 및 플러그 도전층(186)의 하부 측면들 상에서, 배리어층(184)의 두께는 플러그 도전층(186)의 상부 측면들 상에서 배리어층(184)의 두께보다 클 수 있다. 예시적인 실시예들에 따르면, 금속-반도체 화합물층은 중심 영역에서 제1 두께(T1)를 갖고, 중심 영역의 외측의 주변 영역에서 제1 두께(T1)보다 큰 제2 두께(T2)를 가질 수 있으나, 이에 한정되지 않는다.
도 7을 참조하면, 반도체 소자(100e)에서, 금속-반도체 화합물층(182)의 하부는 소스/드레인 영역(150)을 향해 도 2a의 실시예보다 더 깊게 형성될 수 있다. 이로 인해, 금속-반도체 화합물층(182)의 하부는 복수의 채널층들(141, 142, 143)중 제2 채널층(142)과 동일한 레벨에 위치할 수 있으나, 이에 한정되지 않는다. 금속-반도체 화합물층(182)의 하부는 라운드진 형상을 가질 수 있으나, 이에 한정되지 않는다.
도 8을 참조하면, 반도체 소자(100f)는 배리어층(184) 및 금속-반도체 화합물층(182) 사이에 보이드(void)(188)를 더 포함할 수 있다. 보이드(188)는 공기 또는 반도체 소자(100f) 제조 공정에서 사용되는 물질로 구성되는 가스를 포함할 수 있다. 후술하는 도 11j 공정에서, 배리어층(184)을 형성하는 과정에서 콘택 홀들(CH)의 하부에서 보이드(188)가 형성될 수 있다.
도 9a는 예시적인 실시예들에 따른 반도체 소자를 도시하는 단면도이다.
도 9b는 예시적인 실시예들에 따른 반도체 소자의 일부를 도시하는 부분 확대도이다. 도 9b는 예시적인 실시예들에 따른 반도체 소자의 일부 영역을 확대하여 도시하는 부분 확대도이다. 도 9b에서는 도 9a의 'B' 영역을 확대하여 도시한다.
도 9a 및 도 9b를 참조하면, 반도체 소자(100g)에서, 하나의 채널 구조물(140)을 이루는 채널층들(141, 142, 143, 144)의 개수는 4개일 수 있다. 복수의 채널층들(141, 142, 143, 144)은 활성 영역(105)으로부터 게이트 구조물(160)의 상부 부분(160A)을 향하는 수직 방향(z)으로 순차적으로 배치된 제1 내지 제4 채널층들(141, 142, 143, 144)을 포함할 수 있다. 상술한 반도체 소자들과 달리 채널 구조물(140)은 활성 영역(105)의 상면 상에 배치되는 채널층을 더 포함할 수도 있다. 이로 인해, 최상부 채널층(144)은 상술한 제3 채널층(143)이 아닌 제4 채널층(144)이 될 수 있다. 예시적인 실시예에 따르면, 콘택 플러그(180)의 최하부는 제3 채널층(143)의 하면보다 낮은 레벨에 위치할 수 있다. 구체적으로, 콘택 플러그(180)의 최하부는 제2 채널층(142)과 동일하거나 낮은 레벨에 위치할 수 있다. 예를 들어, 금속-반도체 화합물층(182)의 최하부는 제2 채널층(142)의 상면보다 낮은 수직 레벨에 위치할 수 있다.
도 10은 예시적인 실시예들에 따른 반도체 소자를 도시하는 단면도이다.
도 10에서는 도 1의 절단선 Ⅰ-Ⅰ' 및 Ⅱ-Ⅱ'을 따라서 절단한 단면들에 대응되는 영역들을 도시한다. 설명의 편의를 위하여, 도 10에서는 반도체 소자의 주요 구성요소들만을 도시하였다. 이하의 실시예에 대한 설명에서, 상술한 설명과 중복되는 설명은 생략한다.
도 10을 참조하면, 반도체 소자(100h)는, 활성 영역(105), 소자분리층(110), 소스/드레인 영역(150), 게이트 구조물(160), 콘택 플러그(180) 및 층간 절연층(190)을 포함할 수 있다. 반도체 소자(100h)는 활성 영역(105)의 핀(fin) 구조를 갖는 트랜지스터인 finFET 소자를 포함할 수 있다. finFET 소자를 서로 교차하는 활성 영역(105)과 게이트 구조물(160)을 중심으로 배치되는 트랜지스터를 포함할 수 있다. 예를 들어, finFET 소자는 NMOS 트랜지스터일 수 있다. 상술한 도 1 내지 도 9b의 실시예들은 도 10의 반도체 소자(100h)에도 동일하게 적용될 수 있다.
도 11a 내지 도 11j는 예시적인 실시예들에 따른 반도체 소자(100)의 제조 방법을 설명하기 위하여 공정 순서에 따라 도시한 단면도들이다. 도 11a 내지 도 11j는 도 1 내지 도 2a의 반도체 소자(100)를 제조하기 위한 제조 방법의 실시예를 설명하며, 도 2a에 대응하는 단면들을 도시한다.
도 11a를 참조하면, 활성 영역(105) 상에 희생층들(120) 및 복수의 채널층들(141, 142, 143)이 교대로 적층될 수 있다.
희생층들(120)은 후속 공정을 통해 도 2a와 같이 제1 및 제2 게이트 유전층들(162a, 162b) 및 게이트 전극(165)으로 교체되는 층일 수 있다. 희생층들(120)은 채널층들(141, 142, 143)에 대하여 식각 선택성을 갖는 물질로 이루어질 수 있다. 채널층들(141, 142, 143)은 희생층들(120)과 다른 물질을 포함할 수 있다. 예시적인 실시예에서, 채널층들(141, 142, 143)은 실리콘(Si)을 포함하고, 희생층들(120)은 실리콘 게르마늄(SiGe)을 포함할 수 있다.
희생층들(120) 및 채널층들(141, 142, 143)은 기판(101)을 시드로 이용하여 에피텍셜 성장(epitaxial growth) 공정을 수행함으로써 형성될 수 있다. 희생층들(120) 및 채널층들(141, 142, 143) 각각은 약 1 Å 내지 100 nm의 범위의 두께를 가질 수 있다. 희생층(120)과 교대로 적층되는 채널층들(141, 142, 143)의 층 수는 실시예들에서 다양하게 변경될 수 있다.
도 11b를 참조하면, 희생층들(120) 및 채널층들(141, 142, 143)의 적층 구조물 및 기판(101)의 일부를 제거하여 활성 구조물들을 형성할 수 있다.
상기 활성 구조물은 서로 교대로 적층되는 희생층들(120) 및 복수의 채널층들(141, 142, 143)을 포함할 수 있으며, 기판(101)의 일부가 제거되어 기판(101)의 상면으로 돌출되도록 형성되는 활성 영역(105)을 더 포함할 수 있다. 상기 활성 구조물들은 일 방향, 예를 들어, x 방향으로 연장되는 라인 형태로 형성될 수 있으며, 서로 y 방향에서 서로 이격되어 배치될 수 있다. 종횡비에 따라, 활성 영역(105)은 하부를 향하면서 폭이 증가하도록 경사진 형태를 가질 수 있다
기판(101)의 일부가 제거된 영역에는, 절연성 물질을 매립한 후 활성 영역(105)이 돌출되도록 리세스함으로써 소자분리층들(110)이 형성될 수 있다. 소자분리층들(110)의 상면은 활성 영역(105)의 상면보다 낮게 형성될 수 있다.
도 11c를 참조하면, 상기 활성 구조물들 상에 희생 게이트 구조물들(170) 및 게이트 스페이서층들(164)을 형성할 수 있다.
희생 게이트 구조물들(170)은, 후속 공정을 통해 도 2a와 같이, 복수의 채널층들(141, 142, 143)의 상부에서 제1 및 제2 게이트 유전층들(162a, 162b) 및 게이트 전극(165)이 배치되는 영역에 형성되는 희생 구조물일 수 있다. 희생 게이트 구조물들(170)은 순차적으로 적층되는 제1 및 제2 희생 게이트층들(172, 175), 및 마스크 패턴층(176)을 포함할 수 있다. 제1 및 제2 희생 게이트층들(172, 175)은 마스크 패턴층(176)을 이용하여 패터닝될 수 있다. 제1 및 제2 희생 게이트층들(172, 175)은 각각 절연층 및 도전층일 수 있다. 예를 들어, 제1 희생 게이트층(172)은 실리콘 산화물을 포함하고, 제2 희생 게이트층(175)은 폴리 실리콘을 포함할 수 있다. 마스크 패턴층(176)은 실리콘 질화물을 포함할 수 있다. 희생 게이트 구조물들(170)은 상기 활성 구조물들과 교차하여 일 방향으로 연장되는 라인 형태를 가질 수 있다. 희생 게이트 구조물들(170)은 예를 들어, y 방향으로 연장되며, x 방향에서 서로 이격되어 배치될 수 있다.
게이트 스페이서층들(164)은 희생 게이트 구조물들(170)의 양 측벽에 형성될 수 있다. 게이트 스페이서층들(164)은 희생 게이트 구조물들(170) 및 상기 활성 구조물들의 상면 및 측면을 따라 균일한 두께의 막을 형성한 후, 이방성 식각함으로써 형성할 수 있다. 게이트 스페이서층들(164)은 저유전율 물질로 이루어질 수 있으며, 예를 들어, SiO, SiN, SiCN, SiOC, SiON, 및 SiOCN 중 적어도 하나를 포함할 수 있다.
도 11d를 참조하면, 희생 게이트 구조물들(170) 사이에서, 노출된 희생층들(120) 및 복수의 채널층들(141, 142, 143)을 일부 제거하여 리세스 영역(RC)을 형성하고, 복수의 채널층들(141, 142, 143) 및 활성 영역(105)을 일부 제거할 수 있다.
희생 게이트 구조물들(170) 및 게이트 스페이서층들(164)을 마스크로 이용하여, 노출된 희생층들(120) 및 복수의 채널층들(141, 142, 143)의 일부를 제거하여 리세스 영역(RC)을 형성할 수 있다. 상기 리세스 공정은 예를 들어, 건식 식각 공정과 습식 식각 공정을 순차적으로 적용하여 형성할 수 있다. 먼저, 건식 식각 공정을 통해 수직 방향으로 리세스 영역(RC)을 형성할 수 있다. 다음으로, 습식 식각 공정을 통해 수평 방향으로 리세스 영역(RC)을 형성할 수 있다. 이에 의해, 복수의 채널층들(141, 142, 143)은 x 방향을 따라 한정된 길이를 가질 수 있다. 다만, 복수의 채널층들(141, 142, 143)의 측면 및 활성 영역(105)의 상부의 구체적인 형상은 도 11d에 도시된 것에 한정되지 않는다.
도 11e를 참조하면, 리세스 영역(RC)을 채우도록 소스/드레인 영역(150)의 에피택셜 층을 형성할 수 있다.
소스/드레인 영역(150)은 에피택셜 성장(epitaxial growth) 공정에 의해 형성될 수 있다. 소스/드레인 영역(150)은 에피택셜 성장 및 식각 공정을 반복하여 형성될 수 있으며, 복수의 채널층들(141, 142, 143) 및 게이트 구조물들(160)의 하부 부분(160B)과 접촉하도록 연장될 수 있다. 도시하지 않았으나, 예시적인 실시예에 따르면, 소스/드레인 영역(150)이 복수의 채널층들(141, 142, 143) 및 희생층들(120)을 향하는 면은 굴곡진(wavy) 형상을 가질 수도 있다. 소스/드레인 영역(150)은 인-시추 도핑에 의해 불순물들을 포함할 수 있다. 소스/드레인 영역들(150)의 상면은 게이트 구조물들(160)의 상부 부분(160A)의 하면과 실질적으로 동일하거나 하면보다 높은 높이 레벨에 위치할 수 있으나, 이에 한정되는 것은 아니다.
도 11f를 참조하면, 층간 절연층(190)을 형성하고, 희생층들(120) 및 희생 게이트 구조물들(170)을 제거할 수 있다.
층간 절연층(190)은 희생 게이트 구조물들(170) 및 소스/드레인 영역(150)을 덮는 절연막을 형성하고 평탄화 공정을 수행함으로써 형성될 수 있다.
희생층들(120) 및 희생 게이트 구조물들(170)은 게이트 스페이서층들(164), 층간 절연층(190), 및 복수의 채널층들(141, 142, 143)에 대하여 선택적으로 제거될 수 있다. 먼저 희생 게이트 구조물들(170)을 제거하여 상부 갭 영역들(UR)을 형성한 후, 상부 갭 영역들(UR)을 통해 노출된 희생층들(120)을 제거하여 하부 갭 영역들(LR)을 형성할 수 있다. 예를 들어, 희생층들(120)이 실리콘 게르마늄(SiGe)을 포함하고, 복수의 채널층들(141, 142, 143)이 실리콘(Si)을 포함하는 경우, 희생층들(120)은 과초산(peracetic acid) 및/또는 SC1(Standard clean-1) 세정 공정에 이용되는 용액(NH4OH:H2O2:H2O=1:1:5)을 식각제로 이용하는 습식 식각 공정을 수행하여 선택적으로 제거될 수 있다.
도 11g를 참조하면, 상부 갭 영역들(UR) 및 하부 갭 영역들(LR) 내에 게이트 구조물(160)을 형성할 수 있다.
제1 및 제2 게이트 유전층들(162a, 162b)은 상부 갭 영역들(UR) 및 하부 갭 영역들(LR)의 내면들을 컨포멀하게 덮도록 형성될 수 있다. 게이트 전극(165)은 상부 갭 영역들(UR) 및 하부 갭 영역들(LR)을 매립하도록 형성할 수 있다. 게이트 전극(165) 및 게이트 스페이서층들(164)은 상부 갭 영역들(UR)에서 상부로부터 소정 깊이로 제거될 수 있다. 상부 갭 영역들(UR)에서 게이트 전극(165) 및 게이트 스페이서층들(164)이 제거된 영역에 게이트 캡핑층(166)이 형성될 수 있다. 이에 의해, 제1 및 제2 게이트 유전층들(162a, 162b), 게이트 전극(165), 및 게이트 스페이서층들(164), 및 게이트 캡핑층(166)을 포함하는 게이트 구조물(160)이 형성될 수 있다.
도 11h 내지 도 11j를 참조하면, 콘택 플러그(180)를 형성할 수 있다.
먼저, 도 11h에 도시된 것과 같이, 소스/드레인 영역들(150)을 노출시키는 콘택 홀들(CH)을 형성할 수 있다. 콘택 홀들(CH)의 하면은 소스/드레인 영역들(150) 내로 리세스될 수 있다.
다음으로, 도 11i에 도시된 것과 같이, 화학적 산화물 제거(Chemical Oxide Removal,COR) 공정을 이용하여, 리세스 영역(RC)의 절연물질 등을 제거할 수 있다. 화학적 산화물 제거(Chemical Oxide Removal,COR) 공정은 예를 들어, 불화수소(HF) 와 암모니아(NH3) 가스의 혼합 가스가 사용될 수 있다. 이로 인해, 층간 절연층(190)을 일부 제거할 수 있다. 또한, H2 가스를 공급하여 퍼지(Purge) 단계를 수행하여, 콘택 홀들(CH)의 측벽 상에서 게이트 구조물(160)로 향하여 돌출된 부분을 형성할 수 있다. H2 가스를 퍼지함으로써, 상기 돌출된 부분이 형성되는 외에, 콘택 홀들(CH)의 내표면이 큐어링(curing)될 수 있다.
다음으로 도 11j에 도시된 것과 같이, 콘택 플러그(180)를 형성할 수 있다. 콘택 플러그(180)는 하단에 위치하는 금속-반도체 화합물층(182), 측벽들을 따라 배치되는 배리어층(184), 및 플러그 도전층(186)을 포함할 수 있다.
먼저, 배리어층(184)을 이루는 물질을 증착한 후, 실리사이드 공정과 같은 공정을 수행하여, 콘택 홀들(CH)의 바닥면 및 측면들 중 일부에 금속-반도체 화합물층(182)을 형성할 수 있다. 실리사이드 공정에 따라, 금속-반도체 화합물층(182)의 두께를 조절할 수 있으며, 금속-반도체 화합물층(182)의 최상부는 소스/드레인 영역(150)의 상면 및/또는 복수의 채널층들(141, 142, 143) 중 최상부 채널층(143)의 최상면 보다 높은 레벨에 위치할 수 있다.
다음으로, 콘택 홀들(CH)을 채우도록 도전성 물질을 증착하여, 플러그 도전층(186)을 형성할 수 있다.
다음으로, 도 2a를 함께 참조하면 평탄화 공정을 수행함으로써, 콘택 플러그들(180)을 분리시킬 수 있다. 이로 인해, 도 1 내지 도 2b의 반도체 소자(100)가 제조될 수 있다.
본 발명은 상술한 실시예 및 첨부된 도면에 의해 한정되는 것이 아니며 첨부된 청구범위에 의해 한정하고자 한다. 따라서, 청구범위에 기재된 본 발명의 기술적 사상을 벗어나지 않는 범위 내에서 당 기술분야의 통상의 지식을 가진 자에 의해 다양한 형태의 치환, 변형 및 변경이 가능할 것이며, 이 또한 본 발명의 범위에 속한다고 할 것이다.
100: 반도체 소자 101: 기판
105: 활성 영역 110: 소자분리층
120: 희생층 140: 채널 구조물
150: 소스/드레인 영역 160: 게이트 구조물
164: 게이트 스페이서층 162a, 162b: 제1 및 제2 게이트 유전층
165: 게이트 전극 170: 희생 게이트 구조물
180: 콘택 플러그 190: 층간 절연층

Claims (10)

  1. 기판 상에서 제1 방향으로 연장되는 활성 영역;
    상기 활성 영역 상에 상기 기판의 상면에 수직한 수직 방향으로 서로 이격되어 배치되는 복수의 채널층들;
    상기 기판 상에서 상기 활성 영역 및 상기 복수의 채널층들과 교차하여 상기 복수의 채널층들을 각각 둘러싸고, 제2 방향으로 연장되는 게이트 구조물;
    상기 게이트 구조물의 적어도 일측에서 상기 활성 영역 상에 배치되며, 상기 복수의 채널층들과 접촉하는 소스/드레인 영역; 및
    상기 소스/드레인 영역에 연결되는 콘택 플러그를 포함하되,
    상기 콘택 플러그는 상기 소스/드레인 영역과 접촉하는 금속-반도체 화합물층, 상기 금속-반도체 화합물층 상의 배리어층, 및 상기 배리어층 상의 플러그 도전층을 포함하고,
    상기 콘택 플러그는 상기 금속-반도체 화합물층 및 상기 배리어층이 접촉하는 제1 경사면 및 제2 경사면을 포함하고,
    상기 배리어층은 외측면 상에서 게이트 구조물을 향해 돌출된 제1 단부 및 제2 단부를 포함하고,
    상기 제1 단부 및 상기 제2 단부는 상기 복수의 채널층들 중 최상부 채널층의 상면보다 높은 레벨에 위치하고,
    상기 금속-반도체 화합물층의 최상부는 상기 소스/드레인 영역의 상면보다 높은 레벨에 위치하는 반도체 소자.
  2. 제1 항에 있어서,
    상기 제1 단부 및 상기 제2 단부는 라운드진 형상을 갖는 반도체 소자.
  3. 제1 항에 있어서,
    상기 제1 단부 및 상기 제2 단부는 상기 소스/드레인 영역의 상기 상면보다 높은 레벨에 위치하는 반도체 소자.
  4. 제1 항에 있어서,
    상기 플러그 도전층은 상기 제1 단부 및 상기 제2 단부에 대응되는 돌출 영역들을 포함하는 반도체 소자.
  5. 제1 항에 있어서,
    상기 배리어층 및 상기 금속-반도체 화합물층 사이에 공기를 포함하는 보이드(void)를 더 포함하는 반도체 소자.
  6. 제1 항에 있어서,
    상기 복수의 채널층들은 상기 활성 영역으로부터 상기 게이트 구조물을 향하는 수직 방향으로 순차적으로 배치된 제1 내지 제4 채널층들을 포함하고,
    상기 콘택 플러그의 최하부는 상기 제3 채널층의 하면보다 낮은 레벨에 위치하는 반도체 소자.
  7. 제1 항에 있어서,
    상기 소스/드레인 영역을 덮는 층간 절연층을 더 포함하되,
    상기 콘택 플러그는 상기 배리어층 및 상기 층간 절연층이 접촉하는 제3 경사면 및 제4 경사면을 포함하고,
    상기 제1 단부는 상기 제1 경사면 및 상기 제3 경사면이 만나는 부분이고,
    상기 제2 단부는 상기 제2 경사면 및 상기 제4 경사면이 만나는 부분인 반도체 소자.
  8. 제7 항에 있어서,
    상기 제1 단부 및 상기 제2 단부는 상기 층간 절연층에 의해 상기 게이트 구조물과 이격된 반도체 소자.
  9. 기판 상에서 제1 방향으로 연장되는 활성 영역;
    상기 활성 영역 상에 상기 기판의 상면에 수직한 수직 방향으로 서로 이격되어 배치되는 복수의 채널층들;
    상기 기판 상에서 상기 활성 영역 및 상기 복수의 채널층들과 교차하여 상기 복수의 채널층들을 각각 둘러싸고, 제2 방향으로 연장되는 게이트 구조물;
    상기 게이트 구조물의 적어도 일측에서 상기 활성 영역 상에 배치되며, 상기 복수의 채널층들과 접촉하는 소스/드레인 영역; 및
    상기 소스/드레인 영역에 연결되는 콘택 플러그를 포함하되,
    상기 콘택 플러그는 상기 소스/드레인 영역과 접촉하는 금속-반도체 화합물층, 상기 금속-반도체 화합물층 상의 배리어층, 및 상기 배리어층 상의 플러그 도전층을 포함하고,
    상기 배리어층은 외측면 상에서 게이트 구조물을 향해 돌출된 제1 단부 및 제2 단부를 포함하고,
    상기 제1 단부 및 상기 제2 단부는 상기 소스/드레인 영역의 상면보다 높은 레벨에 위치하는 반도체 소자.
  10. 기판 상에서 제1 방향으로 연장되는 활성 영역;
    상기 기판 상에서 상기 활성 영역과 교차하여 제2 방향으로 연장되는 게이트 구조물;
    상기 게이트 구조물의 적어도 일측에서 상기 활성 영역 상에 배치되는 소스/드레인 영역; 및
    상기 소스/드레인 영역에 연결되는 콘택 플러그를 포함하되,
    상기 콘택 플러그는 상기 소스/드레인 영역과 접촉하는 금속-반도체 화합물층, 배리어층, 및 상기 배리어층 상의 플러그 도전층을 포함하고,
    상기 배리어층은 외측면 상에서 게이트 구조물을 향해 돌출된 제1 단부 및 제2 단부를 포함하고,
    상기 제1 단부 및 상기 제2 단부는 상기 소스/드레인 영역의 상면보다 높은 레벨에 위치하고,
    상기 금속-반도체 화합물층은, 중심 영역에서 제1 두께를 갖고, 상기 중심 영역의 외측의 주변 영역에서 상기 제1 두께보다 작은 제2 두께를 갖는 반도체 소자.


KR1020220132594A 2022-10-14 2022-10-14 반도체 소자 KR20240052480A (ko)

Priority Applications (4)

Application Number Priority Date Filing Date Title
KR1020220132594A KR20240052480A (ko) 2022-10-14 2022-10-14 반도체 소자
US18/369,236 US20240128335A1 (en) 2022-10-14 2023-09-18 Semiconductor devices
EP23202918.1A EP4354510A1 (en) 2022-10-14 2023-10-11 Semiconductor devices
CN202311324255.9A CN117894838A (zh) 2022-10-14 2023-10-12 半导体器件

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020220132594A KR20240052480A (ko) 2022-10-14 2022-10-14 반도체 소자

Publications (1)

Publication Number Publication Date
KR20240052480A true KR20240052480A (ko) 2024-04-23

Family

ID=88372234

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020220132594A KR20240052480A (ko) 2022-10-14 2022-10-14 반도체 소자

Country Status (4)

Country Link
US (1) US20240128335A1 (ko)
EP (1) EP4354510A1 (ko)
KR (1) KR20240052480A (ko)
CN (1) CN117894838A (ko)

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102458923B1 (ko) * 2016-02-01 2022-10-25 삼성전자주식회사 집적회로 소자 및 그 제조 방법
KR102600998B1 (ko) * 2016-09-28 2023-11-13 삼성전자주식회사 반도체 장치
US10685842B2 (en) * 2018-05-18 2020-06-16 Taiwan Semiconductor Manufacturing Co., Ltd. Selective formation of titanium silicide and titanium nitride by hydrogen gas control
US20220320301A1 (en) * 2021-04-02 2022-10-06 Samsung Electronics Co., Ltd. Semiconductor device

Also Published As

Publication number Publication date
EP4354510A1 (en) 2024-04-17
CN117894838A (zh) 2024-04-16
US20240128335A1 (en) 2024-04-18

Similar Documents

Publication Publication Date Title
KR20210014829A (ko) 반도체 장치
US11901453B2 (en) Semiconductor devices
KR102574320B1 (ko) 핀펫을 구비하는 반도체 소자
US11862733B2 (en) Semiconductor devices
KR20210080662A (ko) 반도체 장치
KR20210000780A (ko) 반도체 장치
KR20220010662A (ko) 반도체 장치
KR20200086607A (ko) 반도체 장치
US20220310594A1 (en) Semiconductor device and method of manufacturing semiconductor device
US20220238653A1 (en) Semiconductor device
US20220285511A1 (en) Semiconductor device
KR20240052480A (ko) 반도체 소자
KR20240040371A (ko) 반도체 소자
US20230378336A1 (en) Semiconductor device
US20240088219A1 (en) Semiconductor device
US20230215867A1 (en) Semiconductor device
KR20240003967A (ko) 반도체 장치
KR20230167497A (ko) 반도체 장치
KR20240045604A (ko) 반도체 소자
KR20240049893A (ko) 반도체 소자
KR20230108565A (ko) 반도체 소자
KR20230142930A (ko) 반도체 장치
KR20240002388A (ko) 반도체 소자
TW202410398A (zh) 半導體裝置
KR20230023874A (ko) 반도체 소자