KR20230058681A - 고밀도 및 고인장 응력 막들을 증착하기 위한 위한 시스템들 및 방법들 - Google Patents

고밀도 및 고인장 응력 막들을 증착하기 위한 위한 시스템들 및 방법들 Download PDF

Info

Publication number
KR20230058681A
KR20230058681A KR1020237010774A KR20237010774A KR20230058681A KR 20230058681 A KR20230058681 A KR 20230058681A KR 1020237010774 A KR1020237010774 A KR 1020237010774A KR 20237010774 A KR20237010774 A KR 20237010774A KR 20230058681 A KR20230058681 A KR 20230058681A
Authority
KR
South Korea
Prior art keywords
hydrogen
nitrogen
silicon
less
plasma
Prior art date
Application number
KR1020237010774A
Other languages
English (en)
Inventor
추안시 양
항 유
유 양
추안 잉 왕
알리손 야우
신하이 한
산제이 지. 카마스
디네쉬 패디
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20230058681A publication Critical patent/KR20230058681A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

예시적인 반도체 프로세싱 방법들은, 실리콘-함유 전구체, 질소-함유 전구체, 및 이원자 수소를 반도체 프로세싱 챔버의 프로세싱 구역 내로 유동시키는 단계를 포함할 수 있다. 기판은 반도체 프로세싱 챔버의 프로세싱 구역 내에 하우징될 수 있다. 방법들은 또한, 실리콘-함유 전구체, 질소-함유 전구체, 및 이원자 수소의 플라즈마를 형성하는 단계를 포함할 수 있다. 플라즈마는 15 MHz 초과의 주파수에서 형성될 수 있다. 방법들은 또한, 기판 상에 실리콘 질화물 재료를 증착하는 단계를 포함할 수 있다.

Description

고밀도 및 고인장 응력 막들을 증착하기 위한 위한 시스템들 및 방법들
관련 출원에 대한 상호 참조
[0001] 본 출원은, 2020년 9월 1일에 출원되고, 발명의 명칭이 "SYSTEMS AND METHODS FOR DEPOSITING HIGH DENSITY AND HIGH TENSILE STRESS FILMS"인 미국 특허 출원 번호 제17/009,002호의 이익 및 우선권을 주장하며, 그 미국 특허 출원은 그 전체가 이로써 인용에 의해 포함된다.
기술분야
[0002] 본 기술은 증착 프로세스들 및 챔버들에 관한 것이다. 더 구체적으로, 본 기술은 저온들에서 고밀도 및 고인장 응력 막들을 생성하는 방법들에 관한 것이다.
[0003] 집적 회로들은 기판 표면들 상에 복잡하게 패터닝된 재료 층들을 생성하는 프로세스들에 의해 가능해진다. 기판 상에 패터닝된 재료를 생성하는 것은 재료를 형성 및 제거하기 위한 제어된 방법들을 필요로 한다. 플라즈마-강화 증착은 디바이스의 성능에 영향을 미칠 수 있는 소정의 특성들을 갖는 막들을 생성할 수 있다. 막의 특성들은 증착 조건들, 이를테면 플라즈마의 화학적 성질 및 주파수를 수정함으로써 조정 또는 향상될 수 있다.
[0004] 따라서, 고품질 디바이스들 및 구조들을 생산하는 데 사용될 수 있는 개선된 시스템들 및 방법들이 필요하다. 이들 및 다른 요구들은 본 기술에 의해 해결된다.
[0005] 예시적인 반도체 프로세싱 방법들은, 실리콘-함유 전구체, 질소-함유 전구체, 및 이원자 수소를 반도체 프로세싱 챔버의 프로세싱 구역 내로 유동시키는 단계를 포함할 수 있다. 기판은 반도체 프로세싱 챔버의 프로세싱 구역 내에 하우징될 수 있다. 방법들은 또한, 실리콘-함유 전구체, 질소-함유 전구체, 및 이원자 수소의 플라즈마를 형성하는 단계를 포함할 수 있다. 플라즈마는 15 MHz 초과의 주파수에서 형성될 수 있다. 방법들은 또한, 기판 상에 실리콘 질화물 재료를 증착하는 단계를 포함할 수 있다.
[0006] 일부 실시예들에서, 실리콘 질화물 재료는 약 2.85 g/㎤ 이상의 밀도를 특징으로 할 수 있다. 실리콘 질화물 재료는 약 400 MPa 이상의 응력을 특징으로 할 수 있다. 실리콘 질화물 재료는 약 1.75 이상의 굴절률을 특징으로 할 수 있다. 실리콘 질화물 재료는 약 10% 이하의 수소를 포함할 수 있다.
[0007] 약 100 sccm 이상의 이원자 수소가 반도체 프로세싱 챔버의 프로세싱 구역 내로 유동될 수 있다. 약 5000 sccm 이하의 이원자 수소가 반도체 프로세싱 챔버의 프로세싱 구역 내로 유동될 수 있다.
[0008] 플라즈마는 약 550℃ 이하의 온도에서 형성될 수 있다. 주파수는 약 27 MHz 이상일 수 있다.
[0009] 일부 실시예들은, 실리콘-함유 전구체, 질소-함유 전구체, 및 이원자 수소를 반도체 프로세싱 챔버의 프로세싱 구역 내로 유동시키는 단계를 포함하는 방법들을 포함할 수 있다. 기판은 반도체 프로세싱 챔버의 프로세싱 구역 내에 하우징될 수 있다. 방법들은 또한, 실리콘-함유 전구체, 질소-함유 전구체, 및 이원자 수소의 플라즈마를 형성하는 단계를 포함할 수 있으며, 여기서, 플라즈마에는 질소-수소 결합을 갖는 임의의 재료가 없다. 추가로, 방법들은 기판 상에 실리콘 질화물 재료를 증착하는 단계를 포함할 수 있다. 실리콘 질화물 재료는 약 2.85 g/㎤ 이상의 밀도를 특징으로 할 수 있고, 실리콘 질화물 재료는 약 400 MPa 이상의 응력을 특징으로 할 수 있다.
[0010] 일부 실시예들에서, 실리콘 질화물 재료는 약 1.75 이상의 굴절률을 특징으로 할 수 있다. 실리콘 질화물 재료는 약 10% 이하의 수소를 포함할 수 있다.
[0011] 약 100 sccm 이상의 이원자 수소가 반도체 프로세싱 챔버의 프로세싱 구역 내로 유동될 수 있다. 약 5000 sccm 이하의 이원자 수소가 반도체 프로세싱 챔버의 프로세싱 구역 내로 유동될 수 있다.
[0012] 플라즈마는 약 550℃ 이하의 온도에서 형성될 수 있다. 플라즈마는 15 MHz 초과의 주파수에서 형성될 수 있다. 주파수는 약 27 MHz 이상일 수 있다.
[0013] 본 기술의 일부 실시예들은, 실리콘-함유 전구체, 질소-함유 전구체, 및 이원자 수소를 반도체 프로세싱 챔버의 프로세싱 구역 내로 유동시키는 방법들을 포함할 수 있다. 기판은 반도체 프로세싱 챔버의 프로세싱 구역 내에 하우징될 수 있다. 방법들은 또한, 실리콘-함유 전구체, 질소-함유 전구체, 및 이원자 수소의 플라즈마를 형성하는 단계를 포함할 수 있다. 추가로, 방법들은 기판 상에 실리콘 질화물 재료를 증착하는 단계를 포함할 수 있다. 약 100 sccm 이상의 이원자 수소가 반도체 프로세싱 챔버의 프로세싱 구역 내로 유동될 수 있고, 약 5000 sccm 이하의 이원자 수소가 반도체 프로세싱 챔버의 프로세싱 구역 내로 유동될 수 있다.
[0014] 일부 실시예들에서, 실리콘 질화물 재료는 약 2.85 g/㎤ 이상의 밀도를 특징으로 할 수 있다. 실리콘 질화물 재료는 약 400 MPa 이상의 응력을 특징으로 할 수 있다.
[0015] 그러한 기술은 종래의 시스템들 및 기법들에 비해 다수의 이익들을 제공할 수 있다. 예컨대, 고-주파수 플라즈마 증착 동안 실리콘-함유 및 질소 전구체들과 함께 이원자 수소를 포함하는 것은 개선된 특성들을 갖는 실리콘 질화물 막을 제공할 수 있다. 예컨대, 실리콘 질화물 막의 밀도 및 응력 둘 모두가 증가될 수 있다. 추가로, 실리콘 질화물 막에서의 수소의 혼입이 감소될 수 있다. 부가하여, 실리콘 질화물 막은 낮은 온도들에서 형성되어, 열 버짓(thermal budget)을 감소시키고 다른 층들에 대한 손상을 방지할 수 있다. 이들 및 다른 실시예들은, 이들의 이점들 및 특징들 중 다수와 함께, 아래의 설명 및 첨부된 도면들과 함께 더 상세히 설명된다.
[0016] 개시된 기술의 성질 및 이점들의 추가의 이해는 본 명세서의 나머지 부분들 및 도면들을 참조함으로써 실현될 수 있다.
[0017] 도 1은 본 기술의 일부 실시예들에 따른 예시적인 프로세싱 시스템의 평면도를 도시한다.
[0018] 도 2는 본 기술의 일부 실시예들에 따른 예시적인 플라즈마 시스템의 개략적인 단면도를 도시한다.
[0019] 도 3은 본 기술의 일부 실시예들에 따른 예시적인 반도체 프로세싱 방법의 동작들을 도시한다.
[0020] 도 4a 내지 도 4d는 일부 증착 프로세스들에서의 성장 메커니즘의 예를 예시한다.
[0021] 도 4e 내지 도 4g는 본 기술의 일부 실시예들에 따른 성장 메커니즘의 예를 예시한다.
[0022] 도 5a 내지 도 5f는 본 기술의 일부 실시예들에 따른 이원자 수소의 유량의 함수들로서 다양한 증착 및 막 파라미터들을 예시한다.
[0023] 도면들 중 몇몇은 개략도들로서 포함된다. 도면들은 예시적인 목적들을 위한 것이며, 실척대로인 것으로 구체적으로 언급되지 않는 한, 실척대로인 것으로 간주되지 않아야 한다는 것이 이해되어야 한다. 부가적으로, 개략도들로서, 도면들은 이해를 돕기 위해 제공되며, 현실적인 표현들과 비교하여 모든 양상들 또는 정보를 포함하지 않을 수 있으며, 예시적인 목적들을 위해 과장된 자료를 포함할 수 있다.
[0024] 첨부된 도면들에서, 유사한 컴포넌트들 및/또는 특징들은 동일한 참조 라벨을 가질 수 있다. 추가로, 동일한 유형의 다양한 컴포넌트들은, 참조 라벨 다음에 유사한 컴포넌트들 사이를 구별하는 문자에 의해 구별될 수 있다. 본 명세서에서 제1 참조 라벨만이 사용되는 경우, 설명은 문자와 무관하게 동일한 제1 참조 라벨을 갖는 유사한 컴포넌트들 중 임의의 컴포넌트에 적용가능하다.
[0025] 플라즈마 강화 증착 프로세스들은 기판 상의 막 형성을 가능하게 하기 위해 하나 이상의 구성성분 전구체들을 활성화할 수 있다. 전도성 및 유전체 막들뿐만 아니라, 재료들의 전사 및 제거를 가능하게 하기 위한 막들을 포함하는 반도체 구조들을 개발하기 위해, 임의의 수의 재료 막들이 생성될 수 있다. 예컨대, DRAM과 같은 메모리 개발에서, 셀 구조들을 생산하기 위해 막들의 증착이 수행될 수 있다. 열 버짓을 감소시키고, 이미 증착된 재료들에 대한 손상을 방지하기 위해, 저온 증착 프로세스를 사용하는 것이 바람직하다. 예컨대, 막의 증착 동안의 온도는 약 550℃ 이하, 약 450℃ 이하, 또는 심지어 그 미만일 수 있다.
[0026] 실리콘 질화물 막이 하드마스크로서 사용될 수 있으며, 이 경우, 고밀도, 고인장 응력, 및 고품질이 생성되는 특정 구조들에 대한 프로세싱을 개선할 수 있다. 고밀도 막은 더 많은 에칭 화학 물질들에 대해 더 내성이 있을 수 있고, 다양한 패턴들을 형성하기 위해, 막 위 또는 아래의 재료들에 비해 더 높은 에칭 선택비를 가질 수 있다. 실리콘 질화물 막이 종종, 형성에 사용되는 재료들 및 구조의 피처들로 인한 압축 응력을 특징으로 하는 웨이퍼 상에 형성될 수 있기 때문에, 인장 응력을 특징으로 하는 실리콘 질화물 막은 더 중립적인 응력 또는 평탄한 곡률을 생성할 수 있으며, 이는 파손, 박리, 또는 다른 프로세싱 효과들을 방지할 수 있다. 그러나, 많은 종래의 저온 증착 프로세스들은 실리콘 질화물 막들에 대해 밀도와 응력 사이에 절충점이 있어, 고밀도 및 고인장 응력 둘 모두를 달성하는 것은 가능하지 않다. 예컨대, 저온 PVD(physical vapor deposition) 프로세스들은 3 g/㎤의 밀도를 달성할 수 있지만, 결과적인 응력은 스퍼터링 프로세스(sputtering process)에 의해 생성되는 강한 이온 충격(ion bombardment)으로 인해 더 압축적일 수 있다. 이를테면 전구체 분포 또는 전력을 변경함으로써 응력을 증가시키기 위한 PVD 프로세스들에 대한 조정들은 밀도의 감소를 초래할 수 있다. 추가로, 반응성 전구체들로서 SiH4 및 NH3를 사용하고 통상적으로 13.56 MHz의 플라즈마 주파수를 사용하는 종래의 저온 플라즈마-강화 화학 기상 증착(PECVD) 프로세스들은, 더 높은 밀도 및 더 높은 응력 둘 모두를 특징으로 하는 실리콘 질화물 막들을 생성할 수 없다. 이는 적어도 부분적으로, 이 막들이 10% 초과의 높은 막-내 수소 함량을 갖기 때문일 수 있으며, 이는 후속되는 아웃개싱(outgassing)으로부터 발생할 수 있는 공극 형성으로 인해 막 품질을 감소시킬 수 있다. 수소 혼입은 또한, 수소 혼입이 감소될 때 형성되는 더 많은 사면체 네트워크를 감소시킴으로써 막 응력을 감소시킬 수 있다. 해리된 암모니아는, 막 내에 혼입될 가능성이 더 높을 수 있는 질소-및-수소 결합 라디칼들을 포함할 수 있고, 수소 혼입을 추가로 증가시킬 수 있다. 더욱이, 증착된 막 내의 N-H 결합들은 밀도 및 막 응력 둘 모두를 유해하게 감소시킬 수 있다.
[0027] 본 기술은 SiH4, N2 및 H2의 가스 혼합물들에 의해 형성되는 고-주파수 플라즈마를 활용하는 증착 프로세스를 수행함으로써 이 문제들을 극복할 수 있다. 일부 종래의 PECVD 프로세스들은 실리콘 질화물 막을 형성하기 위해 질소 소스로서 NH3 대신에 N2를 사용한다. N2가 24.3 eV의 높은 전자-충격 해리를 갖기 때문에, 플라즈마에서의 N2 해리는 약하여, N2는 13.56 MHz 플라즈마에서 완전히 해리되지 않을 수 있다. 따라서, 실리콘-질소 결합을 형성하기 위해 2개의 질소 원자들 사이의 결합을 파괴하는 것이 어렵기 때문에, 결과적인 실리콘 질화물 막은 높은 수소 함량을 갖는 경향이 있다. 더 높은 플라즈마 주파수에서, N2 해리 프로세스가 더 효율적이 될 수 있다. 그러나, SiH4 내의 실리콘-수소 결합이 완전히 제거될 수 없기 때문에, 막에는 여전히 높은 수소 함량이 존재한다. 따라서, 본 기술은 N2를 해리시키기 위해 주파수를 증가시킬 수 있고, 또한 플라즈마를 위한 전구체로서 H2가스를 포함할 수 있으며, 이는 유익하게, 질소-수소 결합의 탈착을 촉진하는 화학적 어닐링 분위기를 생성할 수 있다. 아래에서 더 상세히 논의되는 바와 같이, 막 내의 수소 혼입을 감소시키는 것이 목표일 수 있지만, 반직관적으로 증착 전구체들과 함께 H2 가스를 혼입하는 것이 종래의 저온 증착 기술들과 비교하여 실리콘 질화물 막에 형성되는 질소-수소 결합들의 수를 감소시킬 수 있고, 막의 밀도를 증가시킬 수 있고, 막의 응력을 증가시킬 수 있다. H2 가스를 혼입시키는 것은 또한, 막-내 수소 함량을 감소시키고 막 품질을 증가시킬 수 있다. 막 내의 질소-수소 결합들이 플라즈마 전구체들에서의 수소의 혼입에 의해 감소될 때, 더 휘발성인 수소 잔류물들이 증착 동안 막으로부터 회수되고, 프로세싱 챔버로부터 배기될 수 있다.
[0028] 나머지 개시내용은 개시된 기술을 이용하는 특정 증착 프로세스들을 일상적으로 식별할 것이지만, 시스템들 및 방법들은 다른 증착 및 세정 챔버들뿐만 아니라 설명된 챔버들에서 발생할 수 있는 프로세스들에도 동일하게 적용가능하다는 것이 쉽게 이해될 것이다. 따라서, 이 기술은 이 특정 증착 프로세스들 또는 챔버들에 사용하는 경우로만 제한되는 것으로 간주되지 않아야 한다. 본 개시내용은, 본 기술의 실시예들에 따른 부가적인 세부사항들이 설명되기 전에, 본 기술의 실시예들에 따른 증착 프로세스들을 수행하는 데 사용될 수 있는 하나의 가능한 시스템 및 챔버를 논의할 것이다.
[0029] 도 1은 실시예들에 따른, 증착, 에칭, 베이킹, 및 경화 챔버들의 프로세싱 시스템(100)의 일 실시예의 평면도를 도시한다. 도면에서, 한 쌍의 전방 개방 통합 포드들(102)은, 로봇 암들(104)에 의해 수용되고, 탠덤 섹션들(109a-c)에 포지셔닝된 기판 프로세싱 챔버들(108a-f) 중 하나 내로 위치되기 전에 저압 홀딩 영역(106) 내에 배치되는 다양한 크기들의 기판들을 공급한다. 제2 로봇 암(110)은 기판 웨이퍼들을 홀딩 영역(106)으로부터 기판 프로세싱 챔버들(108a-f)로 왕복으로 이송하는 데 사용될 수 있다. 각각의 기판 프로세싱 챔버(108a-f)는, 플라즈마-강화 화학 기상 증착, 원자층 증착, 물리 기상 증착, 에칭, 사전-세정, 탈가스, 배향, 및 어닐링, 애싱 등을 포함하는 다른 기판 프로세스들에 부가하여, 본원에서 설명되는 반도체 재료들의 스택들의 형성을 포함하는 다수의 기판 프로세싱 동작들을 수행하도록 장착될 수 있다.
[0030] 기판 프로세싱 챔버들(108a-f)은 기판 상에 유전체 또는 다른 막을 증착, 어닐링, 경화, 및/또는 에칭하기 위한 하나 이상의 시스템 컴포넌트들을 포함할 수 있다. 일 구성에서, 2개의 쌍들의 프로세싱 챔버들(예컨대, 108c-d 및 108e-f)이 기판 상에 유전체 재료를 증착하는 데 사용될 수 있고, 제3 쌍의 프로세싱 챔버들(예컨대, 108a-b)이 증착된 유전체를 에칭하는 데 사용될 수 있다. 다른 구성에서, 모든 3개의 쌍들의 챔버들(예컨대, 108a-f)이 기판 상에 교번하는 유전체 막들의 스택들을 증착하도록 구성될 수 있다. 설명된 프로세스들 중 임의의 하나 이상의 프로세스들은 상이한 실시예들에서 도시된 제작 시스템으로부터 분리된 챔버들에서 수행될 수 있다. 유전체 막들을 위한 증착, 에칭, 어닐링, 및 경화 챔버들의 부가적인 구성들이 시스템(100)에 의해 고려된다는 것이 인지될 것이다.
[0031] 도 2는 본 기술의 일부 실시예들에 따른 예시적인 플라즈마 시스템(200)의 개략적인 단면도를 도시한다. 플라즈마 시스템(200)은, 위에서 설명된 탠덤 섹션들(109) 중 하나 이상에 피팅될 수 있고, 본 기술의 실시예들에 따른, 그리고 아래에서 추가로 설명될 수 있는 덮개 스택 컴포넌트들을 포함할 수 있는, 한 쌍의 프로세싱 챔버들(108)을 예시할 수 있다. 플라즈마 시스템(200)은 일반적으로, 한 쌍의 프로세싱 구역들(220A 및 220B)을 정의하는, 측벽들(212), 최하부 벽(216), 및 내부 측벽(201)을 갖는 챔버 본체(202)를 포함할 수 있다. 프로세싱 구역들(220A-220B) 각각은 유사하게 구성될 수 있고, 동일한 컴포넌트들을 포함할 수 있다.
[0032] 예컨대, 프로세싱 구역(220B) ― 프로세싱 구역(220B)의 컴포넌트들이 프로세싱 구역(220A)에 포함될 수도 있음 ― 은, 플라즈마 시스템(200)의 최하부 벽(216)에 형성된 통로(222)를 통해 프로세싱 구역에 배치된 페디스털(pedestal)(228)을 포함할 수 있다. 페디스털(228)은, 본체 부분과 같은, 페디스털의 노출된 표면 상에 기판(229)을 지지하도록 구성된 가열기를 제공할 수 있다. 페디스털(228)은, 원하는 프로세스 온도로 기판 온도를 가열 및 제어할 수 있는 가열 엘리먼트들(232), 예컨대 저항성 가열 엘리먼트들을 포함할 수 있다. 페디스털(228)은 또한, 원격 가열 엘리먼트, 이를테면 램프 어셈블리(lamp assembly), 또는 임의의 다른 가열 디바이스에 의해 가열될 수 있다.
[0033] 페디스털(228)의 본체는 플랜지(233)에 의해 스템(stem)(226)에 커플링될 수 있다. 스템(226)은 페디스털(228)을 전력 콘센트 또는 전력 박스(203)와 전기적으로 커플링시킬 수 있다. 전력 박스(203)는, 프로세싱 구역(220B) 내의 페디스털(228)의 높이 및 이동을 제어하는 구동 시스템을 포함할 수 있다. 스템(226)은 또한, 페디스털(228)에 전력을 제공하기 위한 전력 인터페이스들을 포함할 수 있다. 전력 박스(203)는 또한, 전력 및 온도 표시기들을 위한 인터페이스들, 이를테면, 열전대 인터페이스를 포함할 수 있다. 스템(226)은 전력 박스(203)와 분리가능하게 커플링되도록 구성된 베이스 어셈블리(238)를 포함할 수 있다. 전력 박스(203) 위에는 원주형 링(235)이 도시되어 있다. 일부 실시예들에서, 원주형 링(235)은, 전력 박스(203)의 상부 표면과 베이스 어셈블리(238) 사이에 기계적 인터페이스를 제공하도록 구성된 기계적 스톱(stop) 또는 랜드(land)로서 적응되는 숄더(shoulder)일 수 있다.
[0034] 로드(230)는 프로세싱 구역(220B)의 최하부 벽(216)에 형성된 통로(224)를 통해 포함될 수 있고, 페디스털(228)의 본체를 통해 배치된 기판 리프트 핀들(261)을 포지셔닝하는 데 이용될 수 있다. 기판 리프트 핀들(261)은 기판 이송 포트(260)를 통해 프로세싱 구역(220B) 내외로 기판(229)을 이송하기 위해 이용되는 로봇을 이용한 기판(229)의 교환을 가능하게 하기 위해, 기판(229)을 페디스털로부터 선택적으로 이격시킬 수 있다.
[0035] 챔버 덮개(204)는 챔버 본체(202)의 상단 부분과 커플링될 수 있다. 덮개(204)는 덮개(204)에 커플링된 하나 이상의 전구체 분배 시스템들(208)을 수용할 수 있다. 전구체 분배 시스템(208)은, 반응물 및 세정 전구체들을 이중-채널 샤워헤드(218)를 통해 프로세싱 구역(220B) 내로 전달할 수 있는 전구체 유입 통로(240)를 포함할 수 있다. 이중-채널 샤워헤드(218)는, 면판(faceplate)(246)의 중간에 배치된 차단판(244)을 갖는 환형 베이스 플레이트(annular base plate)(248)를 포함할 수 있다. 무선 주파수("RF") 소스(265)가 이중 채널 샤워헤드(218)와 커플링될 수 있으며, 이는 이중 채널 샤워헤드(218)의 면판(246)과 페디스털(228) 사이에 플라즈마 구역을 생성하는 것을 가능하게 하기 위해 이중 채널 샤워헤드(218)에 전력을 공급할 수 있다. 일부 실시예들에서, RF 소스는, 플라즈마 생성을 가능하게 하기 위해, 챔버 본체(202)의 다른 부분들, 이를테면, 페디스털(228)과 커플링될 수 있다. RF 전력이 덮개(204)로 전도되는 것을 방지하기 위해, 유전성 절연체(258)가 덮개(204)와 이중-채널 샤워헤드(218) 사이에 배치될 수 있다. 섀도우 링(206)이 페디스털(228)과 맞물리는 페디스털(228)의 주변부 상에 배치될 수 있다. 이중-채널 샤워헤드(218)는 약 200 mil 이상, 약 300 mil 이상, 약 400 mil 이상, 약 500 mil 이상, 약 600 mil 이상, 또는 그 초과의 간격을 가질 수 있다. 대안적으로 또는 부가하여, 이중 채널 샤워헤드(218)는 약 1200 mil 이하, 약 1100 mil 이하, 약 1000 mil 이하, 약 900 mil 이하, 약 800 mil 이하, 또는 약 700 mil 이하 또는 그 미만의 간격을 가질 수 있다.
[0036] 동작 동안 환형 베이스 플레이트(248)를 냉각시키기 위해, 선택적인 냉각 채널(247)이 전구체 분배 시스템(208)의 환형 베이스 플레이트(248)에 형성될 수 있다. 베이스 플레이트(248)가 사전 정의된 온도로 유지될 수 있도록, 물, 에틸렌 글리콜, 가스 등과 같은 열 전달 유체가 냉각 채널(247)을 통해 순환될 수 있다. 챔버 본체(202)의 측벽들(201, 212)이 프로세싱 구역(220B) 내의 프로세싱 환경에 노출되는 것을 방지하기 위해, 라이너 어셈블리(227)가 측벽들(201, 212)에 매우 근접하게 프로세싱 구역(220B) 내에 배치될 수 있다. 라이너 어셈블리(227)는, 프로세싱 구역(220B)으로부터 가스들 및 부산물들을 배기하고 프로세싱 구역(220B) 내의 압력을 제어하도록 구성되는 펌핑 시스템(264)에 커플링될 수 있는 원주형 펌핑 공동(225)을 포함할 수 있다. 복수의 배기 포트들(231)이 라이너 어셈블리(227) 상에 형성될 수 있다. 배기 포트들(231)은, 시스템(200) 내의 프로세싱을 촉진하는 방식으로, 프로세싱 구역(220B)으로부터 원주형 펌핑 공동(225)으로 가스들의 유동을 허용하도록 구성될 수 있다.
[0037] 도 3은 본 기술의 일부 실시예들에 따른 예시적인 반도체 프로세싱 방법(300)의 동작들을 도시한다. 방법은, 위에서 설명된 프로세싱 시스템(200)뿐만 아니라 플라즈마 증착이 수행될 수 있는 임의의 다른 챔버를 포함하는 다양한 프로세싱 챔버들에서 수행될 수 있다. 방법(300)은 다수의 선택적인 동작들을 포함할 수 있으며, 이는 본 기술에 따른 방법들의 일부 실시예들과 구체적으로 연관될 수 있거나 연관되지 않을 수 있다. 방법(300)은 기판, 이를테면 기판(229) 상에 실리콘 질화물 막을 증착하는 데 사용될 수 있다.
[0038] 방법(300)은, 종래의 프로세스들에 비해 더 높은 밀도 및/또는 더 높은 응력을 갖도록 형성될 수 있는, 재료 막을 고주파수에서 형성하기 위한 동작들 또는 다른 증착 동작들, 이를테면 DRAM 메모리 또는 다른 재료들을 생성하기 위한 동작들을 포함할 수 있는 프로세싱 방법을 포함할 수 있다. 방법은 방법(300)의 개시 이전에 선택적인 동작들을 포함할 수 있거나, 방법은 부가적인 동작들을 포함할 수 있다. 예컨대, 방법(300)은 부가적인 증착, 제거 또는 처리 동작들을 포함하여, 방법의 시작 전에 수행되는 동작들을 포함할 수 있다. 일부 실시예들에서, 방법(300)은 동작(305)에서, 복수의 전구체들을 프로세싱 챔버 내로 유동시키는 단계를 포함할 수 있으며, 이는, 전구체들을, 예컨대 기판이 하우징될 수 있는 챔버의 프로세싱 구역 내로, 이를테면, 구역(220) 내로 전달할 수 있다. 전구체들은 이원자 수소 가스와 함께 전달될 수 있다. 추가로, 캐리어 가스들, 이를테면 아르곤 및/또는 헬륨이 전구체들을 전달하는 데 사용될 수 있다.
[0039] 일부 실시예들에서, 전구체들은 실리콘-함유 전구체, 이를테면, 실란, 및 질소-함유 전구체, 이를테면, 이원자 질소를 포함할 수 있다. 본 기술의 실시예들에서, 임의의 실리콘-함유 전구체가 사용될 수 있지만, 실란을 활용함으로써, 프로세싱 구역 내의 수소의 양이 더 양호하게 제어될 수 있고, 증착된 막 내의 수소 혼입이 감소될 수 있다. 전구체들은 산화물 층을 증착하기 위한 하나 이상의 산소-함유 전구체들 및/또는 캐리어 가스들과 같은 부가적인 전구체들을 포함할 수 있거나 또는 포함하지 않을 수 있다. 전구체들은 또한, 수소 가스를 포함할 수 있다. 아래에서 더 상세히 논의되는 바와 같이, 이원자 수소 가스를 전구체들과 함께 포함하는 것은, 종래의 저온 증착 기술들에 비해 실리콘 질화물 막에 형성되는 질소-수소 결합들의 수를 감소시킬 수 있고, 막의 밀도를 증가시킬 수 있고, 막의 응력을 증가시킬 수 있다. 부가적으로, 일부 실시예들에서, 프로세싱 전구체들은, 이전에 설명된 바와 같은 막 특성들에 영향을 미칠 수 있는, 질소-수소 결합을 포함하는 임의의 전구체들을 명시적으로 배제할 수 있다. 결과적으로, 일부 실시예들에서, 가스상(gas phase) 재료들은 임의의 질소-수소 결합들이 없을 수 있다.
[0040] 동작(310)에서, 이를테면, 프로세싱 구역(220) 내에서 플라즈마를 생성하기 위해 면판에 RF 전력을 제공함으로써, 프로세싱 구역 내에서 전구체들 및 이원자 수소의 플라즈마가 생성될 수 있지만, 플라즈마를 생성할 수 있는 임의의 다른 프로세싱 챔버가 유사하게 사용될 수 있다. 플라즈마는 이전에 설명된 주파수들 중 임의의 주파수에서 생성될 수 있고, 약 15 MHz 이상, 약 20 MHz 이상, 약 27 MHz 이상, 약 40 MHz 이상 또는 그 초과의 주파수에서 생성될 수 있다. 대안적으로 또는 부가하여, 플라즈마는 약 100 MHz 이하, 약 80 MHz 이하, 약 60 MHz 이하, 또는 그 미만의 주파수에서 생성될 수 있다. 더 높은 주파수 플라즈마를 활용함으로써, 질소의 해리가 증가될 수 있고, 증가된 증착 레이트(deposition rate)들로 인해 처리량이 증가될 수 있다.
[0041] 동작(315)에서, 플라즈마에서 형성된 재료가 기판 상에 증착될 수 있으며, 이는 실리콘-및-질소-함유 재료, 이를테면, 실리콘 질화물을 생성할 수 있다. 증착은 약 550℃ 이하, 약 500℃ 이하, 약 450℃ 이하, 약 400℃ 이하, 또는 그 미만의 기판 또는 페디스털 온도들에서 수행될 수 있다. 더 낮은 온도를 활용함으로써, DRAM 메모리에 대한 열 버짓이 초과되지 않을 수 있고, 기판 상에 이미 증착된 재료들에 대한 손상이 방지될 수 있다. 추가로, 증착은 약 25 W 이상, 약 250 W 이상, 약 500 W 이상, 약 750 W 이상, 약 1000 W 이상, 약 1250 W 이상, 또는 그 초과의 전력으로 수행될 수 있다. 더 높은 전력을 활용함으로써, 증착 레이트가 증가될 수 있으며, 이는 질소의 해리가 프로세스에서 제한 팩터(limiting factor)일 수 있음을 나타낸다. 그러나, 플라즈마 전력이 증가됨에 따라, 질소 해리가 추가로 증가할 수 있고, 부가적인 질소 라디칼들이 막 내에 혼입될 수 있으며, 이는 아래에서 논의되는 바와 같이, 실리콘-질소 네트워크 형성을 감소시킬 수 있다. 따라서, 일부 실시예들에서, 플라즈마 전력은 약 3000 W 이하, 약 2500 W 이하, 약 2000 W 이하, 약 1500 W 이하, 약 1250 W 이하, 약 1000 W 이하, 약 900 W 이하 또는 그 미만으로 유지될 수 있다. 부가하여, 압력은 약 2 Torr 이상, 약 3 Torr 이상, 약 4 Torr 이상, 약 5 Torr 이상, 또는 그 초과로 유지될 수 있다. 대안적으로 또는 부가하여, 압력은 약 10 Torr 이하, 약 9 Torr 이하, 약 8 Torr 이하, 약 7 Torr 이하, 약 6 Torr 이하, 또는 그 미만으로 유지될 수 있다.
[0042] 도 4a 내지 도 4d는 일부 증착 프로세스들에서의 성장 메커니즘의 예를 예시한다. 이러한 예에서, 저온 PECVD가 실란 및 이원자 질소 전구체들로 실리콘 질화물 막을 증착하는 데 사용된다. 도 4a에 도시된 바와 같이, 실란과 이원자 질소 사이에 어떠한 가스상 반응도 발생하지 않는다. 대신에, SiNxHy 증착은 성장 기판 상의 SiHm 라디칼들의 화학 흡착으로부터 시작된다. 도 4b에 도시된 바와 같이, 성장 기판의 반응 표면 상에 랜딩(landing)할 때, 질소 라디칼들이 실리콘 원자들과 결합하고 수소 원자들을 방출한다. 실리콘-수소 결합들의 낮은 에너지로 인해, 이는 거의 모든 실리콘-수소 결합 사이트(site)들에서 발생한다. 그러나, 도 4c에 도시된 바와 같이, 실리콘-질소 결합이 형성되자마자, 방출된 수소 라디칼들은 질소의 쌍을 이루지 않는 전자들에 재-부착되며, 이는 막 내에 증가된 응력을 제공할, 연신된(stretched) 실리콘-질소 결합들의 형성을 제한하거나 또는 방지한다. 다수의 질소-수소 결합들 및 실리콘-수소 결합들이 막에 남아 있으며, 이는 또한 밀도를 감소시킨다. 도 4d에 도시된 바와 같이, 질소 원자들은 실리콘-질소 결합들로 표면에 도달하는 임의의 SiHm 라디칼들을 포화시키는 증기압을 형성한다. 실리콘-질소 결합들이 낮은 결합 에너지를 갖기 때문에, 각각의 사이트에서 증가된 질소 결합이 발생할 수 있으므로, 막은 결국, 질소-풍부(nitrogen-rich)해지게 되며, 이는 네트워크 형성을 더 제한할 수 있다.
[0043] 도 4e-도 4g는 질소 및 수소 전구체들을 개별적으로 포함하는, 본 기술의 일부 실시예들에 따른 성장 메커니즘의 예를 예시한다. 이 예에서, 이원자 수소를 실란 및 이원자 질소 전구체들에 부가함으로써 실리콘 질화물 막을 증착하기 위해 저온 PECVD가 사용된다. 이원자 수소의 첨가는 질소-수소 결합의 탈착을 촉진하는 화학적 어닐링 분위기를 생성한다. 도 4e에 도시된 바와 같이, 이원자 수소 가스가 첨가될 때, 질소-수소 결합의 제거는 자유 H 라디칼의 존재에 의해 촉진된다. 이는 실리콘-수소 결합 제거 프로세스보다 에너지적으로 더 유리하다. 도 4f에 도시된 바와 같이, N 상의 쌍을 이루지 않은 전자 또는 전자들이 실리콘-질소 결합의 가교를 촉진하여, 인장 응력을 증가시킨다. 도 4g에 도시된 바와 같이, 더 적은 질소-수소 공극들을 갖기 때문에, 막 밀도는 더 높다. 이러한 콤팩트한 막에서, 질소 원자들이 실리콘-수소 사이트들 상에 랜딩하는 것이 더 어렵고, 이는 막이 더 많은 실리콘-수소 결합들을 갖게 하고 실리콘-풍부해지게 한다.
[0044] 도 5a 내지 도 5f는 본 기술의 일부 실시예들에 따른 이원자 수소의 유량의 함수들로서 다양한 증착 및 막 파라미터들을 예시한다. 이러한 예에서, 27 MHz 플라즈마가 PECVD 챔버에서 450℃의 온도에서 형성된다. 이원자 수소의 유량은 약 100 sccm 이상, 약 1000 sccm 이상, 약 2000 sccm 이상, 약 3000 sccm 이상, 약 4000 sccm 이상, 또는 그 초과일 수 있다. 그러나, 아래에서 설명되는 바와 같이, 수소 유동이 계속 증가함에 따라, 밀도를 안정화시킬 수 있는 질소-수소 결합이 제한될 수 있지만, 이용가능한 증가된 수소가 막 내의 실리콘-실리콘 결합의 형성에 영향을 미칠 수 있고, 막 내의 실리콘-실리콘 결합의 발생을 증가시킬 수 있으며, 이는 막 응력을 감소시킬 수 있다. 따라서, 일부 실시예들에서, 이원자 수소의 유량은 막 내의 목표 내부 응력에 따라, 약 5000 sccm 이하일 수 있고, 약 4000 sccm 이하, 약 3000 sccm 이하, 약 2000 sccm 이하 또는 그 미만으로 유지될 수 있다. 이원자 수소의 유량은, 아래에서 더 상세히 논의되는 바와 같이, 다양한 증착 및 막 특성들을 달성하도록 조정될 수 있다.
[0045] 도 5a에 도시된 바와 같이, 막의 증착 레이트는 이원자 수소의 유량의 함수로써 증가한다. 추가로, 각각의 유량에 대해, 1250 W의 더 높은 전력으로 증착된 막의 제1 증착 레이트(505)는 750 W의 더 낮은 전력으로 증착된 막의 제2 증착 레이트(510)보다 더 크다. 이 예에서, 증착 레이트는 약 1200 Å/min 이상, 약 1300 Å/min 이상, 약 1400 Å/min 이상, 약 1500 Å/min 이상, 약 1600 Å/min 이상, 약 1700 Å/min 이상, 약 1800 Å/min 이상, 또는 그 초과일 수 있다.
[0046] 도 5b에 도시된 바와 같이, 막의 응력은 전력에 따라 변하는 피크를 갖는다. 예컨대, 1250 W의 더 높은 전력으로 증착된 막의 제1 응력(515)은 750 W의 더 낮은 전력으로 증착된 막의 제2 응력(520)보다 더 큰 유량에서 피크를 갖는다. 이는, 더 높은 전력이 증착 프로세스 동안 막에 통합되는 더 많은 N 라디칼들을 생성하기 때문이다. 추가로, 더 높은 전력으로 증착된 막의 제1 응력(515)의 피크는 더 낮은 전력으로 증착된 막의 제2 응력(520)의 피크보다 더 낮을 수 있다. 이 예에서, 응력은 약 300 MPa 이상, 약 400 MPa 이상, 약 500 MPa 이상, 약 600 MPa 이상, 약 700 MPa 이상, 약 800 MPa 이상, 약 900 MPa 이상 또는 그 초과일 수 있다.
[0047] 도 5c에 도시된 바와 같이, 막의 밀도는 전력에 따라 변하는 프로파일을 갖는다. 예컨대, 1250 W의 더 높은 전력으로 증착된 막의 제1 밀도(525)는 레벨링 오프(leveling off) 전의 유량의 함수로써 증가한다. 다른 한편으로, 750 W의 더 낮은 전력으로 증착된 막의 제2 밀도(530)는 유량의 함수로써 더 빠르게 증가하고, 피크에 도달한 다음, 점진적으로 감소한다. 이 예에서, 밀도는 약 2.50 g/㎤ 이상, 약 2.55 g/㎤ 이상, 약 2.60 g/㎤ 이상, 약 2.65 g/㎤ 이상, 약 2.70 g/㎤ 이상, 약 2.75 g/㎤ 이상, 약 2.80 g/㎤ 이상, 약 2.85 g/㎤ 이상, 약 2.90 g/㎤ 이상, 또는 그 초과일 수 있다.
[0048] 도 5d에 도시된 바와 같이, 막의 굴절률은 이원자 수소의 유량의 함수로써 증가한다. 이는, 더 낮은 질소-수소 결합 밀도가 더 많은 Si-풍부 막을 초래하고, 이는 굴절률이 증가되게 할 수 있다는 것을 나타낸다. 추가로, 각각의 유량에 대해, 1250 W의 더 높은 전력으로 증착된 막의 제1 굴절률(605)은 750 W의 더 낮은 전력으로 증착된 막의 제2 굴절률(610)보다 더 낮다. 이 예에서, 굴절률은 약 1.75 이상, 약 1.80 이상, 약 1.85 이상, 약 1.90 이상, 약 1.95 이상, 약 2.00 이상, 약 2.05 이상, 약 2.10 이상, 약 2.15 이상, 약 2.20 이상, 또는 그 초과일 수 있다.
[0049] 도 5e에서 도시된 바와 같이, 실리콘-질소 결합 밀도에 대한 실리콘-수소 결합 밀도의 비율은 이원자 수소의 유량의 함수로써 증가한다. 추가로, 각각의 유량에 대해, 1250 W의 더 높은 전력으로 증착된 막의 실리콘-질소 결합 밀도에 대한 실리콘-수소 결합 밀도의 제1 비율(535)은 750 W의 더 낮은 전력에서 증착된 막의 실리콘-질소 결합 밀도에 대한 실리콘-수소 결합 밀도의 제2 비율(540)보다 낮다. 이 예에서, 실리콘-질소 결합 밀도에 대한 실리콘-수소 결합 밀도의 비율은 약 0.0% 이상, 약 0.4% 이상, 약 0.8% 이상, 약 1.2% 이상, 또는 그 초과일 수 있다.
[0050] 도 5f에 도시된 바와 같이, 실리콘-질소 결합 밀도에 대한 질소-수소 결합 밀도의 비율은 이원자 수소의 유량의 함수로써 감소한다. 추가로, 각각의 유량에 대해, 1250 W의 더 높은 전력으로 증착된 막의 실리콘-질소 결합 밀도에 대한 질소-수소 결합 밀도의 제1 비율(555)은 750 W의 더 낮은 전력에서 증착된 막의 실리콘-질소 결합 밀도에 대한 질소-수소 결합 밀도의 제2 비율(560)보다 낮다. 이 예에서, 실리콘-질소 결합 밀도에 대한 질소-수소 결합 밀도의 비율은 약 25% 이하, 약 20% 이하, 약 15% 이하, 약 10% 이하, 약 5% 이하, 또는 그 미만일 수 있다.
[0051] 도 5e 및 도 5f에 도시된 바와 같이, 소량의 이원자 수소가 첨가될 때, 질소-수소 결합 밀도는 극적으로 감소되는 반면, 실리콘-수소 결합 밀도는 완만하게 증가한다. 이는 막의 밀도 및 응력 둘 모두를 증가시킨다. 도 5d에 도시된 대응하는 굴절률의 증가에 의해 입증된 바와 같이, 더 낮은 질소-수소 결합 밀도가 더 Si-풍부한 막을 생성한다. 추가로, 도 5b 및 도 5c에 도시된 바와 같이, 제1 밀도(525) 및 제2 밀도(530) 둘 모두는 일반적으로, 도 5f에 도시된 질소-수소 결합 밀도의 충분한 감소에 대응하는 특정 유량 레벨 초과의 포화 레벨에 도달하지만, 제1 응력(515) 및 제2 응력은 이 유량 레벨 초과에서 더 압축적이게 된다. 이 구역에서, 실리콘-실리콘 결합들은 인장 응력을 효과적으로 해제한다.
[0052] 위에서 논의된 바와 같이, SiH4는 실리콘에 대한 전구체로서 사용될 수 있다. 실란의 유량은 약 10 sccm 이상, 약 100 sccm 이상, 약 200 sccm 이상, 약 300 sccm 이상, 약 400 sccm 이상, 또는 그 초과일 수 있다. 대안적으로 또는 부가하여, 실란의 유량은 약 1000 sccm 이하, 약 900 sccm 이하, 약 800 sccm 이하, 약 700 sccm 이하, 또는 그 미만일 수 있다. 추가로, 위에서 논의된 바와 같이, N2는 질소에 대한 전구체로서 사용될 수 있다. N2의 유량은 약 1000 sccm 이상, 약 2000 sccm 이상, 약 3000 sccm 이상, 약 4000 sccm 이상, 약 5000 sccm 이상, 또는 그 초과일 수 있다. 대안적으로 또는 부가하여, N2의 유량은 약 10,000 sccm 이하, 약 9000 sccm 이하, 약 8000 sccm 이하, 약 7000 sccm 이하, 또는 그 미만일 수 있다.
[0053] 위에서 논의된 방법들 중 일부는 전구체로서 NH3를 사용하지 않고 증착 프로세스를 수행할 수 있고, 암모니아를 명시적으로 포함하지 않을 수 있다. 다른 예들에서, NH3는 질소에 대한 전구체로서 사용될 수 있다. NH3의 유량은 약 1000 sccm 이상, 약 2000 sccm 이상, 약 3000 sccm 이상, 약 4000 sccm 이상, 약 5000 sccm 이상, 또는 그 초과일 수 있다. 대안적으로 또는 부가하여, NH3의 유량은 약 10,000 sccm 이하, 약 9000 sccm 이하, 약 8000 sccm 이하, 약 7000 sccm 이하, 또는 그 미만일 수 있다.
[0054] 추가로, 위에서 논의된 바와 같이, 캐리어 가스들, 이를테면 아르곤 및/또는 헬륨이 전구체들을 전달하기 위해 사용될 수 있다. 아르곤의 유량은 약 0 sccm 이상, 약 1000 sccm 이상, 약 2000 sccm 이상, 약 3000 sccm 이상, 약 4000 sccm 이상, 또는 그 초과일 수 있다. 대안적으로 또는 부가하여, 아르곤의 유량은 약 10,000 sccm 이하, 약 9000 sccm 이하, 약 8000 sccm 이하, 약 7000 sccm 이하, 또는 그 미만일 수 있다. 유사하게, 헬륨의 유량은 약 0 sccm 이상, 약 1000 sccm 이상, 약 2000 sccm 이상, 약 3000 sccm 이상, 약 4000 sccm 이상, 또는 그 초과일 수 있다. 대안적으로 또는 부가하여, 헬륨의 유량은 약 10,000 sccm 이하, 약 9000 sccm 이하, 약 8000 sccm 이하, 약 7000 sccm 이하, 또는 그 미만일 수 있다.
[0055] 위에서 설명된 방법들은 또한, 실리콘 질화물 막에 형성되는 수소의 양을 감소시키는 데 사용될 수 있다. 종래의 저온 PECVD 프로세스들은 통상적으로, 10% 초과의 수소 혼입율을 갖는 막들을 생성하며, 이는 후속하는 공극 형성으로 인해 막 품질을 감소시킬 수 있다. 대조적으로, 위에서 설명된 방법들은, 실리콘-함유 전구체 및 질소-함유 전구체와 함께 이원자 수소를 포함할 수 있으며, 이는 반직관적으로 막 내의 수소의 양을 약 10% 이하, 또는 약 9% 이하, 약 8% 이하, 약 7% 이하, 또는 그 미만으로 감소시킬 수 있다. 이는 막 품질을 개선하고, 질화물-특정 에천트(etchant)들을 이용한 후속 제거를 가능하게 할 수 있다.
[0056] 이전의 설명에서, 설명의 목적들을 위해, 본 기술의 다양한 실시예들의 이해를 제공하기 위해 다수의 세부사항들이 제시되었다. 그러나, 특정 실시예들이 일부 이러한 세부사항들 없이 또는 부가적인 세부사항들로 실시될 수 있다는 것은 당업자에게 명백할 것이다.
[0057] 몇몇 실시예들을 개시하였지만, 실시예들의 사상을 벗어나지 않으면서 다양한 수정들, 대안적인 구성들, 및 등가물들이 사용될 수 있다는 것이 당업자들에 의해 인식될 것이다. 부가적으로, 다수의 잘-알려진 프로세스들 및 엘리먼트들은 본 기술을 불필요하게 모호하게 하는 것을 피하기 위해 설명되지 않았다. 따라서, 위의 설명은 본 기술의 범위를 제한하는 것으로 간주되지 않아야 한다.
[0058] 값들의 범위가 주어진 경우, 그러한 값들의 범위의 상위 한계값과 하위 한계값 사이에 존재하는 각각의 값은, 문맥상 달리 명백히 표시되어 있지 않은 한 하위 한계값의 최소 자릿수의 단 단위 값의 10분의 1까지 또한 구체적으로 기재된 것으로 해석된다. 명시된 범위 내의 임의의 명시된 값들 또는 명시되지 않은 개재 값들과 그 명시된 범위 내의 임의의 다른 명시된 또는 개재된 값 사이의 임의의 더 좁은 범위가 포함된다. 이들 더 작은 범위들의 상한 및 하한은 독립적으로 그 범위에 포함되거나 배제될 수 있으며, 더 작은 범위에 두 제한 중 어느 하나 또는 둘 모두 포함되거나 둘 모두 포함되지 않는 각각의 범위도 명시된 범위의 임의의 특별히 배제된 제한이 적용되는 기술 내에 포함된다. 명시된 범위가 제한들 중 하나 또는 둘 모두를 포함하는 경우, 그러한 포함된 제한들 중 어느 하나 또는 둘 모두를 배제하는 범위들이 또한 포함된다.
[0059] 본원에서 그리고 첨부된 청구항들에서 사용되는 바와 같이, 단수 형태들은, 문맥상 명확하게 달리 지시되지 않는 한, 복수의 언급들을 포함한다. 따라서, 예컨대, "재료"에 대한 언급은 복수의 그러한 재료들을 포함하고, "전구체"에 대한 언급은 당업자들에게 공지된 하나 이상의 전구체들 및 이들의 등가물들에 대한 언급을 포함하는 식이다.
[0060] 또한, 본 명세서에서 그리고 다음의 청구항들에서 사용되는 경우, "포함한다(comprise)", "포함하는(comprising)", "함유한다(contain)", "함유하는(containing)", "포함한다(include)", 그리고 "포함하는(including)"이란 단어들은 진술된 특징들, 인티저(integer)들, 컴포넌트들 또는 동작들의 존재를 특정하는 것으로 의도되지만, 이들은 하나 이상의 다른 특징들, 인티저들, 컴포넌트들, 동작들, 액트들 또는 그룹들의 존재 또는 추가를 배제하지 않는다.

Claims (20)

  1. 방법으로서, 상기 방법은
    실리콘-함유 전구체, 질소-함유 전구체, 및 이원자 수소를 반도체 프로세싱 챔버의 프로세싱 구역 내로 유동시키는 단계 ― 기판은 상기 반도체 프로세싱 챔버의 상기 프로세싱 구역 내에 하우징됨 ―;
    상기 실리콘-함유 전구체, 상기 질소-함유 전구체, 및 상기 이원자 수소의 플라즈마를 형성하는 단계 ― 상기 플라즈마는 15 MHz 초과의 주파수에서 형성됨 ―; 및
    상기 기판 상에 실리콘 질화물 재료를 증착하는 단계를 포함하는, 방법.
  2. 제1항에 있어서, 상기 실리콘 질화물 재료는 약 2.85 g/㎤ 이상의 밀도를 특징으로 하는, 방법.
  3. 제1항에 있어서, 상기 실리콘 질화물 재료는 약 400 MPa 이상의 응력을 특징으로 하는, 방법.
  4. 제1항에 있어서, 상기 실리콘 질화물 재료는 약 1.75 이상의 굴절률을 특징으로 하는, 방법.
  5. 제1항에 있어서, 상기 실리콘 질화물 재료는 약 10% 이하의 수소를 포함하는, 방법.
  6. 제1항에 있어서, 약 100 sccm 이상의 이원자 수소가 상기 반도체 프로세싱 챔버의 상기 프로세싱 구역 내로 유동되는, 방법.
  7. 제6항에 있어서, 약 5000 sccm 이하의 이원자 수소가 상기 반도체 프로세싱 챔버의 상기 프로세싱 구역 내로 유동되는, 방법.
  8. 제1항에 있어서, 상기 플라즈마는 약 550℃ 이하의 온도에서 형성되는, 방법.
  9. 제1항에 있어서, 상기 주파수는 약 27 MHz 이상인, 방법.
  10. 방법으로서, 상기 방법은
    실리콘-함유 전구체, 질소-함유 전구체, 및 이원자 수소를 반도체 프로세싱 챔버의 프로세싱 구역 내로 유동시키는 단계 ― 기판은 상기 반도체 프로세싱 챔버의 상기 프로세싱 구역 내에 하우징됨 ―;
    상기 실리콘-함유 전구체, 상기 질소-함유 전구체, 및 상기 이원자 수소의 플라즈마를 형성하는 단계 ― 상기 플라즈마에는 질소-수소 결합을 갖는 임의의 재료가 없음 ―; 및
    상기 기판 상에 실리콘 질화물 재료를 증착하는 단계를 포함하며,
    상기 실리콘 질화물 재료는 약 2.85 g/㎤ 이상의 밀도를 특징으로 하고,
    상기 실리콘 질화물 재료는 약 400 MPa 이상의 응력을 특징으로 하는, 방법.
  11. 제10항에 있어서, 상기 실리콘 질화물 재료는 약 1.75 이상의 굴절률을 특징으로 하는, 방법.
  12. 제10항에 있어서, 상기 실리콘 질화물 재료는 약 10% 이하의 수소를 포함하는, 방법.
  13. 제10항에 있어서, 약 100 sccm 이상의 이원자 수소가 상기 반도체 프로세싱 챔버의 상기 프로세싱 구역 내로 유동되는, 방법.
  14. 제13항에 있어서, 약 5000 sccm 이하의 이원자 수소가 상기 반도체 프로세싱 챔버의 상기 프로세싱 구역 내로 유동되는, 방법.
  15. 제10항에 있어서, 상기 플라즈마는 약 550℃ 이하의 온도에서 형성되는, 방법.
  16. 제10항에 있어서, 상기 플라즈마는 15 MHz 초과의 주파수에서 형성되는, 방법.
  17. 제16항에 있어서, 상기 주파수는 약 27 MHz 이상인, 방법.
  18. 방법으로서, 상기 방법은
    실리콘-함유 전구체, 질소-함유 전구체, 및 이원자 수소를 반도체 프로세싱 챔버의 프로세싱 구역 내로 유동시키는 단계 ― 기판은 상기 반도체 프로세싱 챔버의 상기 프로세싱 구역 내에 하우징됨 ―;
    상기 실리콘-함유 전구체, 상기 질소-함유 전구체, 및 상기 이원자 수소의 플라즈마를 형성하는 단계; 및
    상기 기판 상에 실리콘 질화물 재료를 증착하는 단계를 포함하며,
    약 100 sccm 이상의 이원자 수소가 상기 반도체 프로세싱 챔버의 상기 프로세싱 구역 내로 유동되고,
    약 5000 sccm 이하의 이원자 수소가 상기 반도체 프로세싱 챔버의 상기 프로세싱 구역 내로 유동되는, 방법.
  19. 제18항에 있어서, 상기 실리콘 질화물 재료는 약 2.85 g/㎤ 이상의 밀도를 특징으로 하는, 방법.
  20. 제18항에 있어서, 상기 실리콘 질화물 재료는 약 400 MPa 이상의 응력을 특징으로 하는, 방법.
KR1020237010774A 2020-09-01 2021-08-26 고밀도 및 고인장 응력 막들을 증착하기 위한 위한 시스템들 및 방법들 KR20230058681A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US17/009,002 2020-09-01
US17/009,002 US11538677B2 (en) 2020-09-01 2020-09-01 Systems and methods for depositing high density and high tensile stress films
PCT/US2021/047650 WO2022051157A1 (en) 2020-09-01 2021-08-26 Systems and methods for depositing high density and high tensile stress films

Publications (1)

Publication Number Publication Date
KR20230058681A true KR20230058681A (ko) 2023-05-03

Family

ID=80356969

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020237010774A KR20230058681A (ko) 2020-09-01 2021-08-26 고밀도 및 고인장 응력 막들을 증착하기 위한 위한 시스템들 및 방법들

Country Status (6)

Country Link
US (1) US11538677B2 (ko)
JP (1) JP2023539678A (ko)
KR (1) KR20230058681A (ko)
CN (1) CN116324022A (ko)
TW (1) TW202225452A (ko)
WO (1) WO2022051157A1 (ko)

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2004009861A2 (en) 2002-07-19 2004-01-29 Asm America, Inc. Method to form ultra high quality silicon-containing compound layers
US20060084283A1 (en) 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods
US8138104B2 (en) 2005-05-26 2012-03-20 Applied Materials, Inc. Method to increase silicon nitride tensile stress using nitrogen plasma in-situ treatment and ex-situ UV cure
US8129290B2 (en) 2005-05-26 2012-03-06 Applied Materials, Inc. Method to increase tensile stress of silicon nitride films using a post PECVD deposition UV cure
WO2007044514A2 (en) 2005-10-07 2007-04-19 Lee, Michael, J. Method for improving refractive index control in pecvd deposited a-siny films
US9388491B2 (en) 2012-07-23 2016-07-12 Novellus Systems, Inc. Method for deposition of conformal films with catalysis assisted low temperature CVD
US20140273530A1 (en) * 2013-03-15 2014-09-18 Victor Nguyen Post-Deposition Treatment Methods For Silicon Nitride
TWI830751B (zh) 2018-07-19 2024-02-01 美商應用材料股份有限公司 低溫高品質的介電膜及其形成方法

Also Published As

Publication number Publication date
US20220068630A1 (en) 2022-03-03
CN116324022A (zh) 2023-06-23
US11538677B2 (en) 2022-12-27
JP2023539678A (ja) 2023-09-15
TW202225452A (zh) 2022-07-01
WO2022051157A1 (en) 2022-03-10

Similar Documents

Publication Publication Date Title
US11908684B2 (en) Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
CN110431661B (zh) 用于用非晶硅膜对高深宽比沟槽进行间隙填充的两步工艺
US7097886B2 (en) Deposition process for high aspect ratio trenches
TW202325879A (zh) 高蝕刻選擇性的非晶碳膜
JP7176106B2 (ja) 誘電体材料の堆積方法
JP7374308B2 (ja) 誘電体材料を堆積する方法及び装置
TW202219304A (zh) 氮化矽膜之多層沉積及處理
TWI831824B (zh) 非uv高硬度低介電常數膜沉積
KR102623407B1 (ko) 실리콘 질화물 막들의 건식 에칭 레이트 감소
WO2017074606A1 (en) Low temp single precursor arc hard mask for multilayer patterning application
US11538677B2 (en) Systems and methods for depositing high density and high tensile stress films
KR102599830B1 (ko) 결함 평탄화
KR20230134554A (ko) 펄스형 hfrf(high-frequency radio-frequency) 플라즈마를사용한 갭 충전 프로세스
US10283370B1 (en) Silicon addition for silicon nitride etching selectivity
US20240087882A1 (en) Fluorine-doped silicon-containing materials
US20220108881A1 (en) Method and system for forming silicon nitride on a sidewall of a feature
US11682554B2 (en) Catalytic thermal deposition of carbon-containing materials
US20230343609A1 (en) Substrate processing apparatus and substrate processing method
US20240071817A1 (en) Adhesion improvement between low-k materials and cap layers
JP2024503880A (ja) ドープされた酸化ケイ素の熱堆積
TW202223137A (zh) Hdp犧牲碳隙填充
KR20230066447A (ko) 저-k 증착 챔버들을 세정하기 위한 시스템들 및 방법들
JP2023535388A (ja) ホウ素がドープされたシリコン材料を利用した集積プロセス
WO2024044462A1 (en) Systems and methods for depositing low-κ dielectric films
TW202225442A (zh) 間隙填充的非晶碳