CN116324022A - 用于沉积高密度和高拉伸应力的膜的系统和方法 - Google Patents

用于沉积高密度和高拉伸应力的膜的系统和方法 Download PDF

Info

Publication number
CN116324022A
CN116324022A CN202180070005.XA CN202180070005A CN116324022A CN 116324022 A CN116324022 A CN 116324022A CN 202180070005 A CN202180070005 A CN 202180070005A CN 116324022 A CN116324022 A CN 116324022A
Authority
CN
China
Prior art keywords
nitrogen
less
silicon
hydrogen
silicon nitride
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202180070005.XA
Other languages
English (en)
Inventor
杨传曦
H·俞
Y·杨
C·Y·王
A·邱
韩新海
S·G·卡马斯
D·帕德希
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN116324022A publication Critical patent/CN116324022A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

半导体处理的示例性方法可包括将含硅前驱物、含氮前驱物和双原子氢流入半导体处理腔室的处理区域。基板可以被容纳在半导体处理腔室的处理区域内。方法还可以包括形成含硅前驱物、含氮前驱物和双原子氢的等离子体。等离子体可以在15MHz以上的频率下形成。方法还可以包括将氮化硅材料沉积在基板上。

Description

用于沉积高密度和高拉伸应力的膜的系统和方法
相关申请的交叉引用
本申请主张于2020年9月1日提交的题为“SYSTEMS AND METHODS FOR DEPOSITINGHIGH DENSITY AND HIGH TENSILE STRESS FILMS(用于沉积高密度和高拉伸应力的膜的系统和方法)”的美国专利申请第17/009,002号的利益和优先权,所述申请的全部内容通过引用并入本文。
技术领域
本技术涉及沉积工艺与腔室。更具体地,本技术涉及在低温下生产高密度和高拉伸应力的膜的方法。
背景技术
通过在基板表面上产生复杂的图案化材料层的工艺使集成电路成为可能。在基板上生产图案化材料需要形成和去除材料的受控方法。等离子体增强沉积可产生具有某些特性的膜,这些特性可能会影响器件的性能。可通过修改沉积条件(诸如等离子体的化学成分和频率)来调整或增强膜的特性。
因此,需要可用于生产高质量的器件和结构的改进系统和方法。本技术解决了这些和其他需求。
发明内容
半导体处理的示例性方法可包括将含硅前驱物、含氮前驱物和双原子氢流入半导体处理腔室的处理区域。基板可以被容纳在半导体处理腔室的处理区域内。方法还可以包括形成含硅前驱物、含氮前驱物和双原子氢的等离子体。等离子体可以在15MHz以上的频率下形成。方法还可以包括将氮化硅材料沉积在基板上。
在一些实施例中,氮化硅材料可由高于或约为2.85g/cm3的密度表征。氮化硅材料可由高于或约为400MPa的应力表征。氮化硅材料可由高于或约为1.75的折射率表征。氮化硅材料可包括小于或约为10%的氢。
大于或约为100sccm的双原子氢可流入半导体处理腔室的处理区域。小于或约为5000sccm的双原子氢可流入半导体处理腔室的处理区域。
等离子体可在低于或约为550℃的温度下形成。频率可高于或约为27MHz。
一些实施例可涵盖包括将含硅前驱物、含氮前驱物和双原子氢流入半导体处理腔室的处理区域的方法。基板可以被容纳在半导体处理腔室的处理区域内。方法还可以包括形成含硅前驱物、含氮前驱物和双原子氢的等离子体,其中等离子体不含任何具有氮-氢键的材料。此外,方法还可以包括将氮化硅材料沉积在基板上。氮化硅材料可由高于或约为2.85g/cm3的密度表征,并且氮化硅材料可由高于或约为400MPa的应力表征。
在一些实施例中,氮化硅材料可由高于或约为1.75的折射率表征。氮化硅材料可包括小于或约为10%的氢。
大于或约为100sccm的双原子氢可流入半导体处理腔室的处理区域。小于或约为5000sccm的双原子氢可流入半导体处理腔室的处理区域。
等离子体可在低于或约为550℃的温度下形成。等离子体可以在15MHz以上的频率下形成。频率可高于或约为27MHz。
本技术的一些实施例可涵盖将含硅前驱物、含氮前驱物和双原子氢流入半导体处理腔室的处理区域的方法。基板可以被容纳在半导体处理腔室的处理区域内。方法还可以包括形成含硅前驱物、含氮前驱物和双原子氢的等离子体。此外,方法还可包括在基板上沉积氮化硅材料。大于或约为100sccm的双原子氢可以流入半导体处理腔室的处理区域,并且小于或约为5000sccm的双原子氢可以流入半导体处理腔室的处理区域。
在一些实施例中,氮化硅材料可由高于或约为2.85g/cm3的密度表征。氮化硅材料可由高于或约为400MPa的应力表征。
此类技术可提供优于常规系统和技术的许多好处。例如,在高频等离子体沉积期间包括双原子氢与含硅和含氮前驱物可以提供具有改进特性的氮化硅膜。例如,氮化硅膜的密度和应力两者都可以提高。此外,氮化硅膜中的氢掺入量可以减少。另外,氮化硅膜可以在低温下形成,从而减少热预算并防止对其他层的损害。这些和其他实施例以及它们的许多优点和特征,将结合下面的描述和附图进行更详细的描述。
附图说明
通过参考说明书的其余部分和附图,可以实现对所公开技术的性质和优点的进一步理解。
图1示出了根据本技术的一些实施例的示例性处理系统的俯视平面图。
图2示出了根据本技术的一些实施例的示例性等离子体系统的示意性横截面图。
图3示出了根据本技术的一些实施例的半导体处理的示例性方法的操作。
图4A-4D说明一些沉积工艺中的生长机制的示例。
图4E-4G说明根据本技术的一些实施例的生长机制的示例。
图5A-5F说明根据本技术的一些实施例的作为双原子氢的流动速率的函数的各种沉积和膜参数。
其中若干附图被包括作为示意图。应理解的是附图是为了说明目的,并且除非特别说明是按比例的,否则不应视为按比例的。此外,作为示意图,附图是为了帮助理解而提供的,并且与现实表示相比,可能不包括所有方面或信息,并可能包括用于说明目的的夸大材料。
在附图中,类似的部件和/或特征可具有相同的附图标记。此外,同一类型的各种部件可以通过在附图标记后面加上区分类似部件的字母来加以区分。如果在说明书中仅使用第一附图标记,则无论字母如何,描述都适用于具有相同的第一附图标记的类似部件中的任何一者。
具体实施方式
等离子体增强沉积工艺可激发一种或多种成分前驱物以促进基板上的膜形成。可生产任何数量的材料膜以产生半导体结构,包括导电和介电膜,以及促进材料转移和移除的膜。例如,在诸如DRAM之类的存储器产生中,可执行膜的沉积来产生单元结构。为了减少热预算并防止损坏已经沉积的材料,期望使用低温沉积工艺。例如,膜的沉积期间的温度可小于或约为550℃,小于或约为450℃,甚至更低。
氮化硅膜可用作硬模,在这种情况下,高密度、高拉伸应力和高质量可改善对正在生产的某些结构的处理。高密度膜可能对更多的蚀刻化学物有更强的抗性,并且可能相对于膜上方或下方的材料具有更高的蚀刻选择性,以形成各种图案。因为通常可以在晶片上形成的氮化硅膜的特征在于由于结构的特征和形成时所用材料而产生的压缩应力,由拉伸应力表征的氮化硅膜可产生更中性的应力或平坦的曲率,这可以防止断裂、脱层或其他处理效果。然而,许多常规低温沉积工艺具有在氮化硅膜的密度和应力之间的权衡,因此不可能同时实现高密度和高拉伸应力。例如,低温物理气相沉积(PVD)工艺可以达到3g/cm3的密度,但由于溅射工艺产生的强离子轰击,产生的应力可能是更压缩性的。对PVD工艺的增加应力的调整(诸如通过改变前驱物分布或功率)可能会导致密度下降。此外,使用SiH4和NH3作为反应性前驱物并通常使用13.56MHz的等离子体频率的常规低温等离子体增强化学气相沉积(PECVD)工艺无法生产由较高密度和较高应力两者表征的氮化硅膜。这可能至少部分地是由于这些膜的膜内氢含量高于10%,这可能会由于后续脱气所可能发生的孔隙形成而导致膜的品质降低。氢掺入量也可以通过减少在降低氢掺入量时形成的更四面体的网络来降低膜应力。解离的氨可包括氮-氢键结的自由基,所述氨可能更有可能被掺入膜内,并可能进一步增加氢掺入量。此外,沉积膜内的N-H键可能不利地降低密度和膜应力两者。
本技术可通过利用由SiH4、N2和H2的混合气体形成的高频等离子体进行沉积工艺来克服这些问题。一些常规PECVD工艺使用N2替代NH3作为形成氮化硅膜的氮源。由于N2具有24.3eV的高电子冲击解离,N2在等离子体中的解离很弱,使得N2可能不会在13.56MHz的等离子体中被完全解离。因此,由于难以打破两个氮原子之间的键来形成硅-氮键,所产生的氮化硅膜倾向于具有高的氢含量。在较高的等离子体频率下,N2的解离工艺可以变得更高效。然而,因为SiH4中的硅-氢键不能被完全去除,膜中仍然有高的氢含量。因此,本技术可提高频率以解离N2,并且还可以包括H2气体作为等离子体的前驱物,这可有利地产生促进氮-氢键的脱附的化学退火气氛。正如下面进一步详细讨论的那样,尽管目标可能是减少膜中的氢掺入量,但反直觉的是,与常规的低温沉积技术相比,将H2气体与沉积前驱物一起掺入可以减少氮化硅膜中形成的氮-氢键的数量,增加膜的密度并增加膜的应力。掺入H2气体还可以减少膜内氢含量并提高膜的品质。当膜内的氮-氢键由于在等离子体前驱物中掺入氢而减少时,更挥发性的氢残余物可以在沉积期间从膜中抽出并从处理腔室中排出。
虽然其余的公开内容将常规地识别利用所公开的技术的特定沉积工艺,但将容易理解的是,系统和方法同样适用于其他沉积和清洗腔室,以及可能发生在所述腔室中的工艺。因此,不应认为本技术只限于与这些特定沉积工艺或腔室一起使用。在描述根据本技术的实施例的额外细节之前,本公开内容将讨论可用于执行根据本技术的实施例的沉积工艺的一个可能系统和腔室。
图1示出了根据实施例的沉积、蚀刻、烘烤和固化腔室的处理系统100的一个实施例的俯视平面图。在图中,一对前开式标准舱102提供各种尺寸的基板,这些基板被机械臂104接收并放入低压保持区106,然后被放入定位在串联部分109a-c中的基板处理腔室108a-f中的一者。第二机械臂110可用于将基板晶片从保持区106运送到基板处理腔室108a-f和返回。每个基板处理腔室108a-f可以被装备成执行多种基板处理操作,包括形成本文所述的半导体材料的堆叠,此外还有等离子体增强化学气相沉积、原子层沉积、物理气相沉积、蚀刻、预清洗、脱气、定向以及其他基板工艺,包括退火、灰化等。
基板处理腔室108a-f可包括一个或多个系统部件以用于在基板上沉积、退火、固化和/或蚀刻介电膜或其他膜。在一个配置中,两对处理腔室(例如108c-d和108e-f)可用于在基板上沉积介电材料,而第三对处理腔室(例如108a-b)可用于蚀刻沉积的电介质。在另一种配置中,所有三对处理腔室(例如108a-f)可被配置为在基板上沉积交替介电膜的堆叠。所描述的工艺中的任何一个或多个工艺可以在与不同实施例中所示的制造系统分离的腔室中进行。可以理解的是,系统100考虑到了用于介电膜的沉积、蚀刻、退火和固化腔室的额外配置。
图2示出了根据本技术的一些实施例的示例性等离子体系统200的示意性横截面图。等离子体系统200可示出可以被安装在上文所述的串联部分109中的一个或多个串联部分109中的一对处理腔室108,并且根据本技术的实施例,所述一对处理腔室108可包括盖堆叠部件,并且可以在下面进一步解释。等离子体系统200一般可包括具有侧壁212、底壁216和内部侧壁201的腔室主体202,内部侧壁201限定一对处理区域220A和220B。处理区域220A-220B中的每一者可以被类似地配置,并且可以包括相同的部件。
例如,其部件也可被包括在处理区域220A中的处理区域220B,可包括经由形成在等离子体系统200中的底壁216的通道222设置在处理区域中的基座228。基座228可提供适合于在基座的暴露表面(诸如主体部分)上支撑基板229的加热器。基座228可包括加热元件232(例如电阻式加热元件),加热元件232可加热和控制基板温度处于所需的工艺温度。基座228也可由远程加热元件加热,所述远程加热元件诸如灯组件或任何其他加热装置。
基座228的主体可通过凸缘233耦接到杆226。杆226可将基座228与电源插座或电源箱203电耦接。电源箱203可包括驱动系统,所述驱动系统控制处理区域220B内的基座228的升降和移动。杆226还可包括电功率接口以向基座228提供电功率。电源箱203还可包括用于电功率和温度指示器的接口,诸如热电偶接口。杆226可包括底座组件238,底座组件238适合于与电源箱203可拆卸地耦合。电源箱203上方示出了圆周环235。在一些实施例中,圆周环235可以是肩部,所述肩部适合于作为机械止动件或地面,配置为在底座组件238和电源箱203的上表面之间提供机械接口。
棒230可通过在处理区域220B的底壁216中形成的通道224而被包括,并且可用于定位穿过基座228的主体设置的基板升降杆261。基板升降杆261可选择性地将基板229与基座隔开,以方便用机器人进行基板229交换,所述机器人用于通过基板传送端口260将基板229送入和送出处理区域220B。
腔室盖204可与腔室主体202的顶部部分耦接。盖204可容纳与盖204耦接的一个或多个前驱物分布系统208。前驱物分布系统208可包括前驱物入口通道240,前驱物入口通道240可通过双通道喷头218将反应物和清洗前驱物送入处理区域220B。双通道喷头218可包括环形底板248,环形底板248具有设置在至面板246中间的区隔板244。射频(“RF”)源265可与双通道喷头218耦合,RF源265可提供功率给双通道喷头218,以促进在双通道喷头218的面板246和基座228之间生成等离子体区域。在一些实施例中,RF源可与腔室主体202的其他部分(诸如基座228)耦合以促进等离子体生成。介电隔离器258可设置在盖204和双通道喷头218之间,以防止将RF功率传导到盖204。遮蔽环206可设置在基座228的周边,与基座228接合。双通道喷头218可具有大于或约为200密耳、大于或约为300密耳、大于或约为400密耳、大于或约为500密耳、大于或约为600密耳、或更大的间隔。替代地或另外地,双通道喷头218可具有小于或约为1200密耳、小于或约为1100密耳、小于或约为1000密耳、小于或约为900密耳、小于或约为800密耳、小于或约为700密耳、或更小的间隔。
可选的冷却通道247可形成在前驱物分布系统208的环形底板248中,以在操作期间冷却环形底板248。诸如水、乙二醇、气体等的传热流体可通过冷却通道247进行循环,使得底板248保持在预定义的温度。衬垫组件227可设置在处理区域220B内、靠近腔室主体202的侧壁201、212,以防止侧壁201、212暴露于处理区域220B内的处理环境。衬垫组件227可包括圆周泵送腔225,圆周泵送腔225可与泵送系统264耦接,泵送系统264被配置为从处理区域220B排放气体和副产物并控制处理区域220B内的压力。多个排放口231可在衬垫组件227上形成。排放口231可被配置为允许气体以促进系统200内的处理的方式从处理区域220B流向圆周泵送腔225,。
图3示出了根据本技术的一些实施例的半导体处理的示例性方法300的操作。方法可在各种处理腔室中执行,包括上述的处理系统200,以及其中可执行等离子体沉积的任何其他腔室。方法300可包括多个可选的操作,这些操作可能会或可能不会与根据本技术的方法的一些实施例具体相关联。方法300可用于在基板(诸如基板229)上沉积氮化硅膜。
方法300可包括处理方法,所述处理方法可包括用于形成材料膜的操作或高频率下的其他沉积操作,诸如生产DRAM存储器或其他材料,相对于常规工艺,这些材料可以被形成为具有较高密度和/或较高应力。方法可包括在发起方法300之前的可选操作,或者方法可包括额外的操作。例如,方法300可包括在方法开始之前进行的操作,包括额外的沉积、移除或处理操作。在一些实施例中,方法300可包括在操作305处将多个前驱物流入处理腔室,操作305可将前驱物送入可容纳基板的腔室的处理区域,例如诸如区域220。前驱物可用双原子氢气输送。此外,诸如氩和/或氦之类的载气也可用于输送前驱物。
在一些实施例中,前驱物可包括含硅前驱物(诸如硅烷)和含氮前驱物(诸如双原子氮)。虽然任何含硅前驱物都可在本技术的实施例中使用,但通过使用硅烷,可以更好地控制处理区域内的氢量,并减少沉积膜内的氢掺入量。前驱物可以或可以不包括额外的前驱物,诸如载气和/或用于沉积氧化物层的一个或多个含氧前驱物。前驱物也可包括氢气。正如下面进一步详细讨论的那样,与常规低温沉积技术相比,包括双原子氢气和前驱物可以减少氮化硅膜中形成的氮-氢键的数量,增加膜的密度,并增加膜的应力。此外,在一些实施例中,处理前驱物可能明确排除如先前所述可能会影响膜特性的包括氮-氢键的任何前驱物。因此,在一些实施例中,气相材料可以不含任何氮-氢键。
在操作310处,可在处理区域内生成前驱物和双原子氢的等离子体,诸如通过向面板提供RF功率以在处理区域220内生成等离子体,尽管可以类似地使用能够生成等离子体的任何其他处理腔室。等离子体可在先前描述的频率中的任何频率下生成,并且可以在大于或约为15MHz、大于或约为20MHz、大于或约为27MHz、大于或约为40MHz或更高的频率下生成。替代地或另外地,等离子体可在低于或约为100MHz、低于或约为80MHz、低于或约为60MHz或更低的频率下生成。通过使用较高频率的等离子体,可以增加氮的解离,并且由于沉积速率的增加,产量也可增加。
在操作315处可将在等离子体中形成的材料沉积在基板上,这可产生含硅和氮的材料,诸如氮化硅。沉积可在基板或基座温度小于或约为550℃、小于或约为500℃、小于或约为450℃、小于或约为400℃或更低的温度下进行。通过使用较低的温度,可以不超出DRAM存储器的热预算,并且可以防止对已经沉积在基板上的材料的损害。此外,可在大于或约为25W、大于或约为250W、大于或约为500W、大于或约为750W、大于或约为1000W、大于或约为1250W或更大的功率下进行沉积。通过使用较高的功率,沉积速率可以增加,这表明氮的解离可能是工艺中的限制因素。然而,随着等离子体功率的增加,氮的解离可能进一步增加,额外的氮自由基可被掺入膜内,这可减少硅氮网络的形成,这将在下面讨论。因此,在一些实施例中,等离子体功率可维持在低于或约为3000W、低于或约为2500W、低于或约为2000W、低于或约为1500W、低于或约为1250W、低于或约为1000W、低于或约为900W或更低。另外,压力可维持在高于或约为2托、高于或约为3托、高于或约为4托、高于或约为5托或更高。替代地或另外地,压力可维持在低于或约为10托、低于或约为9托、低于或约为8托、低于或约为7托、低于或约为6托或更低。
图4A-4D说明了一些沉积工艺中的生长机制的示例。在此示例中,低温PECVD用于以硅烷和双原子氮前驱物沉积氮化硅膜。如图4A所示,硅烷和双原子氮之间没有发生气相反应。相反,SiNxHy沉积是从生长基板上的SiHm自由基的化学吸附开始的。如图4B所示,当降落在生长基板的反应表面上时,氮自由基与硅原子结合并释放出氢原子。由于硅-氢键的能量低,这几乎发生在所有的硅-氢键位点。然而,如图4C所示,一旦形成硅-氮键,释放的氢自由基就会重新附着在氮的未配对电子上,这限制或阻止了拉伸的硅-氮键的形成,而拉伸的硅-氮键会使膜内的应力增加。大量的氮-氢键和硅-氢键留在膜中,这也降低了密度。如图4D所示,氮原子累积蒸汽压力,以硅-氮键使任何到达表面的任何SiHm自由基达到饱和。由于硅-氮键具有低键能,膜最终会变得富含氮,因为增加的氮键结可能出现在每个位点,这可以进一步限制网络的形成。
图4E-4G说明根据本技术的一些实施例的分别包括氮和氢前驱物的生长机制的示例。在此示例中,通过添加双原子氢至硅烷和双原子氮前驱物,使用低温PECVD来沉积氮化硅膜。双原子氢的添加产生了促进氮-氢键的脱附的化学退火气氛。如图4E所示,当添加双原子氢气时,通过自由H自由基的存在来催化氮-氢键的去除。这在能量上比硅-氢键的去除工艺更有利。如图4F所示,N上的一个或多个未配对电子促进了硅-氮键的交联,从而增加了拉伸应力。如图4G所示,膜的密度较高,因为它有较少的氮-氢空隙。在这种紧凑的膜中,氮原子更难落在硅-氢位点上,这导致膜具有更多的硅-氢键并富含硅。
图5A-5F说明根据本技术的一些实施例的作为双原子氢的流动速率的函数的各种沉积和膜参数。在此示例中,27MHz的等离子体是在温度为450℃的PECVD腔室中形成的。双原子氢的流动速率可大于或约为100sccm、大于或约为1000sccm大于或约为2000sccm、大于或约为3000sccm、大于或约为4000sccm、或更大。然而,随着氢流量的持续增加,如下文将解释的,虽然氮-氢键结可能受到限制,这可能会稳定密度,但可用的氢增加可能会影响形成,并可能增加膜内硅-硅键结的产生,而这可能会降低膜应力。因此,在一些实施例中,双原子氢的流动速率可能小于或约为5000sccm,并可能保持在小于或约为4000sccm、小于或约为3000sccm、小于或约为2000sccm、或更小,这取决于膜内的目标内应力。可以调整双原子氢的流动速率,以实现各种沉积和膜特性,如下面进一步详细讨论。
如图5A所示,膜的沉积速率作为双原子氢的流动速率的函数而增加。此外,对于每个流动速率,在1250W的较高功率下沉积的膜的第一沉积速率505比在750W的较低功率下沉积的膜的第二沉积速率510大。在此示例中,沉积速率可以大于或约为
Figure BDA0004174360230000101
/分钟、大于或约为/>
Figure BDA0004174360230000102
/分钟、大于或约为/>
Figure BDA0004174360230000103
/分钟、大于或约为/>
Figure BDA0004174360230000104
/分钟、大于或约为
Figure BDA0004174360230000105
/分钟、大于或约为/>
Figure BDA0004174360230000106
/分钟、大于或约为/>
Figure BDA0004174360230000107
/分钟、或者更大。
如图5B所示,膜的应力具有根据功率而变化的峰值。例如,在1250W的较高功率下沉积的膜的第一应力515比在750W的较低功率下沉积的膜的第二应力520具有更大流动速率的峰值。这是因为较高功率产生了更多的N自由基,这些N自由基在沉积工艺期间被掺入到膜中。此外,在较高功率下沉积的膜的第一应力515的峰值可能低于在较低功率下沉积的膜的第二应力520的峰值。在此示例中,应力可以大于或约为300MPa、大于或约为400MPa、大于或约为500MPa、大于或约为600MPa、大于或约为700MPa、大于或约为800MPa、大于或约为900MPa、或者更大。
如图5C所示,膜的密度具有根据功率而变化的分布。例如,在趋于平缓之前,在1250W的较高功率下沉积的膜的第一密度525作为流动速率的函数而增加。另一方面,在750W的较低功率下沉积的膜的第二密度530作为流动速率的函数而更迅速地增加,达到峰值,然后逐渐下降。在此示例中,密度可以大于或约为2.50g/cm3、大于或约为2.55g/cm3、大于或约为2.60g/cm3、大于或约为2.65g/cm3、大于或约为2.70g/cm3、大于或约为2.75g/cm3、大于或约为2.80g/cm3、大于或约为2.85g/cm3、大于或约为2.90g/cm3、或者更大。
如图5D所示,膜的折射率作为双原子氢的流动速率的函数而增加。这表明较低的氮-氢键密度导致更富含Si的膜,这可能使折射率增加。此外,对于每个流动速率而言,在1250W的较高功率下沉积的膜的第一折射率605低于在750W的较低功率下沉积的膜的第二折射率610。在此示例中,折射率可以大于或约为1.75、大于或约为1.80、大于或约为1.85、大于或约为1.90、大于或约为1.95、大于或约为2.00、大于或约为2.05、大于或约为2.10、大于或约为2.15、大于或约为2.20、或者更大。
如图5E所示,硅-氢键密度与硅-氮键密度的比率作为双原子氢的流动速率的函数而增加。此外,对于每个流动速率而言,在1250W的较高功率下沉积的膜的硅-氢键密度与硅-氮键密度的第一比率535低于在750W的较低功率下沉积的膜的硅-氢键密度与硅-氮键密度的第二比率540。在此示例中,硅-氢键密度与硅-氮键密度的比率可以大于或约为0.0%、大于或约为0.4%、大于或约为0.8%、大于或约为1.2%、或更大
如图5F所示,氮-氢键密度与硅-氮键密度的比率作为双原子氢的流动速率的函数而降低。此外,对于每个流动速率而言,在1250W的较高功率下沉积的膜的氮-氢键密度与硅-氮键密度的第一比率555低于在750W的较低功率下沉积的膜的氮-氢键密度与硅-氮键密度的第二比率560。在此示例中,氮-氢键密度与硅-氮键密度的比率可低于或约为25%、低于或约为20%、低于或约为15%、低于或约为10%、低于或约为5%、或更低。
如图5E和图5F所示,当加入少量的双原子氢时,氮-氢键密度急剧下降,而硅-氢键密度适度增加。这增加了膜的密度和应力两者。较低的氮-氢键密度导致更富含硅的膜,这可以通过图5D中示出的折射率的相应增加来证明。此外,如图5B和图5C所示,第一密度525和第二密度530两者大体在一定的流动速率水平以上达到饱和水平,所述一定的流动速率水平对应于图5F所示的氮-氢键密度的充分下降,而第一应力515和第二应力在此流动速率水平以上变得更具压缩性。在此区域中,硅-硅键有效地释放了拉伸应力。
如上文所讨论的,SiH4可用作硅的前驱物。硅烷的流动速率可以大于或约为10sccm、大于或约为100sccm、大于或约为200sccm、大于或约为300sccm、大于或约为400sccm、或者更大。替代地或另外地,硅烷的流动速率可以小于或约为1000sccm、小于或约为900sccm、小于或约为800sccm、小于或约为700sccm、或更小。此外,如上文所讨论的,N2可用为氮的前驱物。N2的流动速率可以大于或约为1000sccm、大于或约为2000sccm、大于或约为3000sccm、大于或约为4000sccm、大于或约为5000sccm、或更大。替代地或另外地,N2的流动速率可以小于或约为10,000sccm、小于或约为9000sccm、小于或约为8000sccm、小于或约为7000sccm、或更小。
上文讨论的方法中的一些方法可以在不使用NH3作为前驱物的情况下进行沉积工艺,并且可以明确地不包括氨。在其他示例中,NH3可用作氮的前驱物。NH3的流动速率可以大于或约为1000sccm、大于或约为2000sccm、大于或约为3000sccm、大于或约为4000sccm、大于或约为5000sccm、或更大。替代地或另外地,NH3的流动速率可以小于或约为10,000sccm、小于或约为9000sccm、小于或约为8000sccm、小于或约为7000sccm、或更小。
此外,如上文所讨论的,可使用诸如氩和/或氦之类的载气来输送前驱物。氩的流动速率可以大于或约为0sccm、大于或约为1000sccm、大于或约为2000sccm、大于或约为3000sccm、大于或约为4000sccm、或更大。替代地或另外地,氩的流动速率可以小于或约为10,000sccm、小于或约为9000sccm、小于或约为8000sccm、小于或约为7000sccm、或更小。类似地,氦的流动速率可以大于或约为0sccm、大于或约为1000sccm、大于或约为2000sccm、大于或约为3000sccm、大于或约为4000sccm、或更大。替代地或另外地,氦的流动速率可以小于或约为10,000sccm、小于或约为9000sccm、小于或约为8000sccm、小于或约为7000sccm、或更小。
上述方法也可用于减少氮化硅膜中形成的氢的量。常规低温PECVD工艺通常产生的膜具有超过10%的氢掺入量,这可能会由于后续的孔隙形成而降低膜的品质。与此相反,上述方法可以包括双原子氢与含硅前驱物和含氮前驱物,这可以反直觉地将膜中的氢的量降低到低于或约为10%、低于或约为9%、低于或约为8%、低于或约为7%、或更低。这可以改善膜的质量,并便于随后用氮化物专用蚀刻剂去除。
在前面的描述中,为了解释的目的已经提出了许多细节,以提供对本技术的各种实施例的理解。然而,对于本领域的技术人员将显而易见的是,某些实施例可以在没有这些细节中的一些或在有额外细节的情况下实施。
已经公开了几个实施例,本领域的技术人员将认识到,在不背离实施例的精神的情况下,可以使用各种修改、替代结构和等效物。此外,没有描述多个众所周知的工艺和元件,以便避免不必要地模糊本技术。因此,上述描述不应视为对本技术范围的限制。
在提供值的范围的情况下,应理解,除非上下文另有明确规定,否侧此范围的上限和下限之间的每个中间值直到下限单位的最小分数也被具体公开。在阐明范围内的任何阐明值或未阐明的中间值与此阐明范围内的任何其他阐明值或中间值之间的任何较小范围都被包括。这些较小范围的上限和下限可以独立地被包括或排除在范围内,并且限值中的任何一者、两个都不或两个都被包括在较小范围内的每个范围也包含在本技术内,受限于阐明范围中任何具体排除的限值。在阐明范围包括限值中的一者或两者的情况下,排除这些包括的限值中的任何一者或两者的范围也被包括。
除非上下文明确规定,否则本文和所附权利要求书中所使用的单数形式的“一(a)”、“一个(an)”和“所述”包括复数指代。因此,例如,对“一材料”的指代包括多个此类材料,而对“所述前驱物”的指代包括对本领域技术人员已知的一个或多个前驱物及其等效物的指代,以此类推。
而且,在本说明书和所附权利要求书中使用时,术语“包括(comprise(s))”、“包括(comprising)”、“包含(contain(s))”、“包含(containing)”、“含有(include(s))”、“含有(including)”旨在指明所述特征、整数、部件或操作的存在,但不排除一个或多个其他特征、整数、部件、操作、行为或群组的存在或增加。

Claims (20)

1.一种方法,包括:
将含硅前驱物、含氮前驱物和双原子氢流入半导体处理腔室的处理区域中,其中基板被容纳在所述半导体处理腔室的所述处理区域中;
形成所述含硅前驱物、所述含氮前驱物和所述双原子氢的等离子体,其中所述等离子体在15MHz以上的频率下形成;以及
将氮化硅材料沉积在所述基板上。
2.如权利要求1所述的方法,其中所述氮化硅材料由高于或约为2.85g/cm3的密度表征。
3.如权利要求1所述的方法,其中所述氮化硅材料由高于或约为400MPa的应力表征。
4.如权利要求1所述的方法,其中所述氮化硅材料由高于或约为1.75的折射率表征。
5.如权利要求1所述的方法,其中所述氮化硅材料包括小于或约为10%的氢。
6.如权利要求1所述的方法,其中大于或约为100sccm的所述双原子氢流入所述半导体处理腔室的所述处理区域。
7.如权利要求6所述的方法,其中小于或约为5000sccm的所述双原子氢流入所述半导体处理腔室的所述处理区域。
8.如权利要求1所述的方法,其中所述等离子体在低于或约为550℃的温度下形成。
9.如权利要求1所述的方法,其中所述频率高于或约为27MHz。
10.一种方法,包括:
将含硅前驱物、含氮前驱物和双原子氢流入半导体处理腔室的处理区域中,其中基板被容纳在所述半导体处理腔室的所述处理区域中;
形成所述含硅前驱物、所述含氮前驱物和所述双原子氢的等离子体,其中所述等离子体不含任何具有氮-氢键的材料;以及
将氮化硅材料沉积在所述基板上,其中:
所述氮化硅材料由高于或约为2.85g/cm3的密度表征,并且
所述氮化硅材料由高于或约为400MPa的应力表征。
11.如权利要求10所述的方法,其中所述氮化硅材料由高于或约为1.75的折射率表征。
12.如权利要求10所述的方法,其中所述氮化硅材料包括小于或约为10%的氢。
13.如权利要求10所述的方法,其中大于或约为100sccm的所述双原子氢流入所述半导体处理腔室的所述处理区域。
14.如权利要求13所述的方法,其中小于或约为5000sccm的所述双原子氢流入所述半导体处理腔室的所述处理区域。
15.如权利要求10所述的方法,其中所述等离子体在低于或约为550℃的温度下形成。
16.如权利要求10所述的方法,其中所述等离子体在15MHz以上的频率下形成。
17.如权利要求16所述的方法,其中所述频率高于或约为27MHz。
18.一种方法,包括:
将含硅前驱物、含氮前驱物和双原子氢流入半导体处理腔室的处理区域中,其中基板被容纳在所述半导体处理腔室的所述处理区域中;
形成所述含硅前驱物、所述含氮前驱物和所述双原子氢的等离子体;以及
将氮化硅材料沉积在所述基板上,其中:
大于或约为100sccm的所述双原子氢流入所述半导体处理腔室的所述处理区域中,并且
小于或约为5000sccm的所述双原子氢流入所述半导体处理腔室的所述处理区域。
19.如权利要求18所述的方法,其中所述氮化硅材料由高于或约为2.85g/cm3的密度表征。
20.如权利要求18所述的方法,其中所述氮化硅材料由高于或约为400MPa的应力表征。
CN202180070005.XA 2020-09-01 2021-08-26 用于沉积高密度和高拉伸应力的膜的系统和方法 Pending CN116324022A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US17/009,002 2020-09-01
US17/009,002 US11538677B2 (en) 2020-09-01 2020-09-01 Systems and methods for depositing high density and high tensile stress films
PCT/US2021/047650 WO2022051157A1 (en) 2020-09-01 2021-08-26 Systems and methods for depositing high density and high tensile stress films

Publications (1)

Publication Number Publication Date
CN116324022A true CN116324022A (zh) 2023-06-23

Family

ID=80356969

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202180070005.XA Pending CN116324022A (zh) 2020-09-01 2021-08-26 用于沉积高密度和高拉伸应力的膜的系统和方法

Country Status (6)

Country Link
US (1) US11538677B2 (zh)
JP (1) JP2023539678A (zh)
KR (1) KR20230058681A (zh)
CN (1) CN116324022A (zh)
TW (1) TW202225452A (zh)
WO (1) WO2022051157A1 (zh)

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2004009861A2 (en) 2002-07-19 2004-01-29 Asm America, Inc. Method to form ultra high quality silicon-containing compound layers
US20060084283A1 (en) 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods
US8138104B2 (en) 2005-05-26 2012-03-20 Applied Materials, Inc. Method to increase silicon nitride tensile stress using nitrogen plasma in-situ treatment and ex-situ UV cure
US8129290B2 (en) 2005-05-26 2012-03-06 Applied Materials, Inc. Method to increase tensile stress of silicon nitride films using a post PECVD deposition UV cure
WO2007044514A2 (en) 2005-10-07 2007-04-19 Lee, Michael, J. Method for improving refractive index control in pecvd deposited a-siny films
US9388491B2 (en) 2012-07-23 2016-07-12 Novellus Systems, Inc. Method for deposition of conformal films with catalysis assisted low temperature CVD
US20140273530A1 (en) * 2013-03-15 2014-09-18 Victor Nguyen Post-Deposition Treatment Methods For Silicon Nitride
TWI830751B (zh) 2018-07-19 2024-02-01 美商應用材料股份有限公司 低溫高品質的介電膜及其形成方法

Also Published As

Publication number Publication date
KR20230058681A (ko) 2023-05-03
US20220068630A1 (en) 2022-03-03
US11538677B2 (en) 2022-12-27
JP2023539678A (ja) 2023-09-15
TW202225452A (zh) 2022-07-01
WO2022051157A1 (en) 2022-03-10

Similar Documents

Publication Publication Date Title
US11643724B2 (en) Method of forming structures using a neutral beam
US11908684B2 (en) Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11127589B2 (en) Method of topology-selective film formation of silicon oxide
TWI791678B (zh) 高蝕刻選擇性的非晶碳膜
CN110431661B (zh) 用于用非晶硅膜对高深宽比沟槽进行间隙填充的两步工艺
CN109791870B (zh) 半导体器件制造中高品质氧化硅膜的低温形成
CN109023311B (zh) 通过脉冲低频射频功率获得高选择性和低应力碳硬膜
KR102503141B1 (ko) 펄스화된 질화물 캡슐화
CN110265298B (zh) 半导体器件的制造方法、衬底处理装置
KR20200078613A (ko) 실리콘 질화물 막들의 건식 에칭 레이트 감소
CN116324022A (zh) 用于沉积高密度和高拉伸应力的膜的系统和方法
KR102599830B1 (ko) 결함 평탄화
US11495454B2 (en) Deposition of low-stress boron-containing layers
TWI842531B (zh) 氧化矽之拓撲選擇性膜形成之方法
US20220108881A1 (en) Method and system for forming silicon nitride on a sidewall of a feature
TW202333185A (zh) 含碳材料之催化熱沉積
KR20210024348A (ko) 박막 증착 장치 및 방법

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination