KR20220129110A - 블리스터에 대해 저항성을 갖는 다층 캡을 포함하는 euv 광학 요소 - Google Patents

블리스터에 대해 저항성을 갖는 다층 캡을 포함하는 euv 광학 요소 Download PDF

Info

Publication number
KR20220129110A
KR20220129110A KR1020227031455A KR20227031455A KR20220129110A KR 20220129110 A KR20220129110 A KR 20220129110A KR 1020227031455 A KR1020227031455 A KR 1020227031455A KR 20227031455 A KR20227031455 A KR 20227031455A KR 20220129110 A KR20220129110 A KR 20220129110A
Authority
KR
South Korea
Prior art keywords
layer
group
multilayer
materials
absorber
Prior art date
Application number
KR1020227031455A
Other languages
English (en)
Other versions
KR102466812B1 (ko
Inventor
노베르트 알. 바우어링
Original Assignee
에이에스엠엘 네델란즈 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠엘 네델란즈 비.브이. filed Critical 에이에스엠엘 네델란즈 비.브이.
Publication of KR20220129110A publication Critical patent/KR20220129110A/ko
Application granted granted Critical
Publication of KR102466812B1 publication Critical patent/KR102466812B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G21NUCLEAR PHYSICS; NUCLEAR ENGINEERING
    • G21KTECHNIQUES FOR HANDLING PARTICLES OR IONISING RADIATION NOT OTHERWISE PROVIDED FOR; IRRADIATION DEVICES; GAMMA RAY OR X-RAY MICROSCOPES
    • G21K1/00Arrangements for handling particles or ionising radiation, e.g. focusing or moderating
    • G21K1/06Arrangements for handling particles or ionising radiation, e.g. focusing or moderating using diffraction, refraction or reflection, e.g. monochromators
    • G21K1/062Devices having a multilayer structure
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B1/00Optical elements characterised by the material of which they are made; Optical coatings for optical elements
    • G02B1/10Optical coatings produced by application to, or surface treatment of, optical elements
    • G02B1/14Protective coatings, e.g. hard coatings
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B5/00Optical elements other than lenses
    • G02B5/08Mirrors
    • G02B5/0816Multilayer mirrors, i.e. having two or more reflecting layers
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B5/00Optical elements other than lenses
    • G02B5/08Mirrors
    • G02B5/0816Multilayer mirrors, i.e. having two or more reflecting layers
    • G02B5/085Multilayer mirrors, i.e. having two or more reflecting layers at least one of the reflecting layers comprising metal
    • G02B5/0875Multilayer mirrors, i.e. having two or more reflecting layers at least one of the reflecting layers comprising metal the reflecting layers comprising two or more metallic layers
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B5/00Optical elements other than lenses
    • G02B5/08Mirrors
    • G02B5/0891Ultraviolet [UV] mirrors

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Optics & Photonics (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Engineering & Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Optical Elements Other Than Lenses (AREA)
  • Packages (AREA)
  • Physical Vapour Deposition (AREA)

Abstract

다층 미러는 다층 구조체를 갖는 캡을 포함하며, 다층 구조체가 상단층 및 일련의 이중층(bilayer)을 가지며, 각각의 이중층이 흡수체층 및 스페이서층을 가지며, 상단층, 흡수체층, 및 스페이서층을 위한 재료는 블리스터링에 저항하도록 선택된다.

Description

블리스터에 대해 저항성을 갖는 다층 캡을 포함하는 EUV 광학 요소{EUV OPTICAL ELEMENT HAVING BLISTER-RESISTANT MULTILAYER CAP}
관련 출원에 대한 상호 참조
본 출원은 2014년 2월 7일자로 출원된 유럽 특허 출원 번호 14154265.4를 우선권으로 주장하며, 이 특허 출원은 그 전체 내용이 원용에 의해 본 명세서에 통합되어 있다.
본 발명은 광학 요소가 오염 및 마모를 겪을 수도 있는 환경에서 동작하도록 설계된 광학 요소에 관한 것이다. 이러한 환경의 예는 타겟 재료의 방전 또는 레이저 어블레이션(laser ablation)을 통해 생성된 플라즈마로부터 극자외("EUV") 방사선을 발생하기 위한 장치의 진공 챔버이다. 본 출원에서, 광학 요소는 예컨대 반도체 광리소그래피를 위한 진공 챔버의 외측에서의 이용을 위해 방사선을 예컨대 집광하고 지향시키기 위해 사용된다.
예컨대 약 13.5nm의 파장에서 방사선을 포함하고 약 50nm 이하의 파장을 갖는 전자기 방사선(소프트 x-선이라고도 함)과 같은 극자외(extreme ultraviolet, EUV) 방사선이 예컨대 실리콘 웨이퍼와 같은 기판에 극소형의 피처(feature)를 생성하기 위해 광리소그래피 공정에 사용될 수 있다.
EUV 방사선을 발생하는 방법은 타겟 재료를 액체 상태로부터 플라즈마 상태로 전환하는 단계를 포함한다. 타겟 재료는 EUV 대역에서 하나 이상의 방출선을 갖는 예컨대 크세논, 리튬 또는 주석과 같은 적어도 하나의 원소를 포함하는 것이 바람직하다. 한 가지 이러한 방법에서, 레이저 생성 플라즈마("LPP")로도 지칭되는 요구된 플라즈마는 요구된 선-방출 원소(line-emitting element)를 갖는 타겟 재료를 조사(irradiate)하기 위해 레이저빔을 사용함으로써 생성될 수 있다.
한 가지 LPP 기술은, 타겟 재료 액적의 스트림을 생성하는 것과, 액적의 적어도 일부를 레이저 방사선 펄스로 조사하는 것을 수반한다. 보다 이론적인 용어에서, LPP 소스는 레이저 에너지를 크세논(Xe), 주석(Sn), 또는 리튬(Li)과 같은 적어도 하나의 EUV 방출 원소를 갖는 타겟 재료에 퇴적하여, 수십 eV의 전자 온도를 갖는 고이온화된 플라즈마(highly ionized plasma)를 생성함으로써 EUV 방사선을 발생한다.
이들 이온의 탈여기(de-excitation) 및 재결합 동안 발생된 에너지틱 방사선(energetic radiation)이 플라즈마로부터 모든 방향으로 방출된다. 한 가지 보편적인 구성에서, 근수직 입사 미러(near-normal-incidence mirror)("콜렉터 미러" 또는 단순히 "콜렉터"로도 지칭됨)가 방사선을 집광하고 지향시키며 몇몇 구성에서는 중간 지점에 포커싱하도록 위치된다. 그리고나서, 집광된 방사선은 중간 지점으로부터 스캐너 광학장치의 세트 및 궁극적으로는 웨이퍼로 중계될 수도 있다.
스펙트럼의 EUV 부분에서는, 일반적으로 콜렉터를 위해 반사성 광학장치를 사용하는 것이 필수적인 것으로 간주된다. 수반된 파장에서, 콜렉터는 다층 미러("MLM")로서 구현되는 것이 이롭다. 그 명칭이 암시하는 바와 같이, 이 MLM은 일반적으로 파운데이션(foundation) 또는 기판 위에 재료의 교번층(alternating layers of material)으로 이루어진다.
광학 요소는 EUV 방사선을 집광하고 리다이렉트(redirect)하기 위해 플라즈마를 갖는 진공 챔버 내에 배치되어야 한다. 챔버 내의 환경은 광학 요소에 해로우며(inimical), 이로써 예컨대 자신의 반사율을 열화시킴으로써 광학 요소의 유용한 수명을 제한한다. 환경 내의 광학 요소는 타겟 재료의 고에너지 이온 또는 입자에 노출될 수도 있다. 타겟 재료의 입자는 광학 요소의 노출된 표면을 오염시킬 수 있다. 타겟 재료의 입자는 또한 MLM 표면의 물리적인 손상 및 국소화된 가열을 야기할 수 있다. 타겟 재료는 특히 예컨대 몰리브덴 및 실리콘과 같은 광학 요소 표면의 적어도 하나의 층을 구성하는 재료와 반응할 수도 있다. 온도 안정성, 이온-임플란테이션, 및 확산 문제는 예컨대 주석, 인듐 또는 크세논과 같은 반응성이 적은 타겟 재료를 이용하는 경우에도 해소될 필요가 있을 것이다. MLM 코팅의 블리스터링(blistering) 또한 방지되어야 한다.
이들 가혹한 조건에도 불구하고 광학 요소 수명을 증가시키기 위해 채용될 수 있는 기술이 있다. 예컨대, 광학 요소의 표면을 보호하기 위해 광학 요소 상에 캡핑층(capping layer)이 배치될 수 있다. 캡핑층을 보다 반사성으로 되도록 하기 위해, 캡핑층은 반사될 방사선의 파장에서의 반사율을 증가시키기 위해 이격된 다수의 층을 가질 수도 있다.
그러나, 이러한 다층 캡핑층은 수소 확산 및 블리스터링과 같은 메카니즘을 통해 그 자체가 손상되기 쉽다. 몇몇 시스템에서는, 잔해 경감(debris mitigation)을 위해 0.5 내지 3 mbar 범위의 압력에서의 H2 가스가 진공 챔버에 사용된다. 가스의 부재 시에, 진공 압력에서는, 플라즈마로부터 방출된 타겟 재료 잔해로부터 콜렉터를 적절하게 보호하는 것이 불가능하지 않다면 어려울 것이다. 수소는 약 13.5mm의 파장을 갖는 EUV 방사선에 비교적 투명하고, 그러므로 약 13.5mm에서 더 높은 흡수를 나타내는 He, Ar 또는 기타 가스와 같은 다른 후보 가스보다 선호된다.
H2 가스는 플라즈마에 의해 생성된 타겟 재료의 에너지틱 잔해(이온, 원자 및 클러스터)를 느려지게 하기 위해 진공 챔버에 제공된다. 잔해는 가스 분자와의 충돌에 의해 느려지게 된다. 이러한 목적을 위해, H2 가스의 흐름이 사용되며, 이 흐름은 잔해 궤도에 반대로 될 수도 있다. 이것은 콜렉터의 광학 코팅 상에의 침적, 임플란테이션, 및 스퍼터링 타겟 재료의 손상을 감소시키도록 작용한다. 이 방법을 사용하는 것은, 플라즈마 장소(plasma site)와 콜렉터 표면 간의 거리에 걸쳐 이들 압력에서의 다수의 가스 충돌에 의해 수 keV 내지 수십 eV의 에너지를 갖는 에너지틱 입자를 느리게 하는 것이 가능한 것으로 믿겨진다.
H2 가스를 진공 챔버 내로 제공하는 또 다른 이유는 콜렉터 표면의 세정을 용이하게 하는 것이다. 플라즈마에 의해 발생된 EUV 방사선은 H2 분자를 해리(dissociation)함으로써 수소 라디컬을 생성한다. 수소 라디컬은 콜렉터 표면 상의 타겟 재료 침적물(target material deposit)로부터 콜렉터 표면을 세정하는데 도움을 준다. 예컨대, 주석을 타겟 재료로 하는 경우에, 수소 라디컬은 멀리 펌핑될 수 있는 휘발성 가스 스타난(volatile gaseous stannane)(SnH4)의 형성을 야기하는 콜렉터 표면 상의 반응에 참여한다. 이 화학적 경로가 효율적이 되도록 하기 위해서는, 수소 라디컬이 SnH4를 형성하도록 Sn에 부착하지 않고 이용 가능하게 되도록 콜렉터 표면 상의 H 재결합률(다시 H2 분자를 형성하기 위한)이 낮은 것이 바람직하다. 일반적으로, 질화물, 탄화물, 붕화물(boride), 및 산화물과 같은 비금속성 화합물로 이루어진 표면은 순수 금속으로 이루어진 표면에 비하여 낮은 H 재결합률을 갖는다.
그러나, H2 가스의 사용은 코팅 상의 가벼운 수소 원자와 분자 둘 모두에 의해 콜렉터에 가해진 코팅에 부정적 영향을 가질 수 있다. 수소 원자는 매우 작아서, 이들이 여러 개의 층을 다층 미러로서 구성된 콜렉터 내로 깊게 용이하게 확산할 수 있도록 하는 것으로 믿겨진다. 수소는 이온 감속이 불충분하다면 임플란트될 수 있고, 또한 콜렉터 캡 및 콜렉터 캡 아래의 다층 미러의 층 내로 확산할 수 있다. 이들 현상은 최외각층에 가장 심하게 영향을 준다.
원자 수소(atomic hydrogen)가 다층 미러의 바디에 침입할 때, 원자 수소는 Si와 결합할 수 있고, 이는 층 경계 및 계면 또는 둘 모두에 트랩된다. 이들 영향의 크기는 선량 및 이들 영역에서의 수소의 농도에 좌우된다. 수소 농도가 어떠한 임계치 위이면, 수소는 가스 수소 화합물의 버블을 형성할 수 있어서, H2 분자로 재결합하거나 또는 아마도 SiH4를 형성한다. 이것은 전형적으로 캡 층 아래에서 또는 최외각 Si 층에서 가장 극심하게 발생한다. 가스 버블이 형성을 시작하는 때에, 추가의 수소의 존재에서 성장할 확률이 높다. 이러한 버블이 형성을 시작하면, 버블의 내부 가스 압력이 버블 위의 층을 변형시킬 것이다. 그러므로, 버블 위의 층이 파열(burst)될 수 있고, 가스를 방출하고, 전형적으로 수십 nm의 크기로 코팅 상의 블리스터의 형성을 야기한다.
블리스터링된 코팅은 여러 가지의 문제점을 야기한다. 블리스터링된 코팅은 더 큰 표면적을 가지며, 산화 및 기타 오염에 의해 및 타겟 재료의 침적에 의해 열화되기가 더 쉽다. 더 높은 흡수로 인해, 이것은 일반적으로 EUV 반사율의 감소를 야기한다. 블리스터링된 코팅은 또한 더 큰 거칠기로 인해 더 많은 광을 산란하며, 그러므로 그 아래의 손상되지 않은 층이 여전히 EUV 광의 반사에 기여하고 있고, 타겟 재료 침적물이 세정에 의해 제거되고 있음에도 불구하고, 현저히 감소된 EUV 반사율을 야기한다.
이들 작용에 부가하여, 수소 포착(hydrogen uptake) 및 침투(penetration) 또한 금속층의 취화(embrittlement)를 야기할 수 있고, 그러므로 층 열화를 초래한다.
그러므로, 다층 캡핑층을 사용하여 EUV 반사율을 향상시키면서 이와 동시에 블리스터링에 대해 저항성을 나타내는 캡핑층을 갖는 것에 대한 장점들을 활용하고자 하는 요구가 있다.
이하에서는 본 발명의 실시예에 대한 기본적인 이해를 제공하기 위해 하나 이상의 실시예의 간략화된 개요를 제공한다. 이 개요는 모든 숙고된 실시예의 광범위한 개관(overview)은 아니며, 모든 실시예의 핵심적 요소 또는 중요 요소를 식별하려는 것도 아니고 임의의 실시예 또는 모든 실시예의 범위에 대한 제한을 설정하려는 것도 아니다. 이러한 개요는 하나 이상의 실시예의 몇몇 개념을 추후에 제공되는 보다 상세한 설명에 대한 서두로서 간략화된 형태로 제공하는 것을 유일한 목적으로 한다.
일양태에 따라, 다층 미러가 제공되며, 상기 다층 미러는, 기판, 상기 기판 상의 다층 코팅, 및 상기 다층 코팅 상의 캡핑층(capping layer)을 포함하며, 상기 캡핑층이, 타겟 재료 침적에 대해 높은 저항을 갖는 재료를 포함하는 최외각층과, 상기 최외각층과 상기 기판 사이에 위치되며, 복수의 이중층(bilayer)을 포함하는 다층 구조체를 포함하며, 각각의 상기 이중층이, 수소 확산 및 블리스터링(blistering)에 대해 저항성을 갖는 재료를 포함하는 스페이서층과, 이온 침투에 대해 저항성을 갖는 재료를 포함하는 흡수체층을 포함한다.
상기 최외각층은 ZrN, Si3N4, YN, ZrO2, Nb2O5, 및 TiO2와 같은 타겟 재료 침적에 대한 높은 저항, 입사 이온에 대한 양호한 에너지 감소, 및 낮은 2차 전자 수득률(secondary electron yield)을 갖는 질화물 또는 산화물이어도 된다. 상기 스페이서층은 질화물, 탄화물 및 붕화물과 같은 수소-확산 및 블리스터에 대해 저항성을 갖는 재료로 이루어지는 것이 바람직하다. 상기 흡수체층은 입사 이온의 침투를 감소시킬 수 있는 적합한 산화물, 질화물 또는 금속층으로 이루어지는 것이 바람직하다. 질화물층에 적합한 재료는 Si3N4 및 YN을 포함한다. 탄화물층 및 붕화물층에 적합한 재료는 B4C, C, ZrC 및 YB6를 포함한다. 산화물층에 적합한 재료는 ZrO2, TiO2, Ta2O5, 및 Nb2O5를 포함한다. 금속층에 적합한 재료는 Mo2C, Mo 및 W를 포함한다.
도 1은 본 발명의 양태에 따른 레이저 생성 플라즈마 EUV 방사선 소스 시스템에 대한 전체적인 넓은 구상의, 실척으로 도시되지 않은 개략도이다.
도 2는 다층 캡핑층을 갖는 EUV 광학 요소의 단면의 실척으로 도시되지 않은 개략도이다.
도면 전반에 걸쳐 유사 구성요소를 지칭하기 위해 유사 도면 부호가 사용되어 있는 도면을 참조하여 다양한 실시예를 설명한다. 이하의 설명에서, 설명을 목적으로, 하나 이상의 실시예의 완전한 이해를 추구하기 위해 다수의 구체적인 세부내용이 설명된다. 그러나, 몇몇 경우 또는 모든 경우에, 아래에 설명된 임의의 실시예가 아래에 설명된 구체적인 설계 세부내용을 채택하지 않고서도 실시될 수 있다는 것은 명백할 것이다. 다른 경우에, 널리 공지된 구조 및 디바이스가 하나 이상의 실시예의 설명을 용이하게 하기 위해 블록도 형태로 도시되어 있다.
먼저 도 1을 참조하면, 본 발명의 실시예의 일양태에 따른 예컨대 레이저 생성 플라즈마 EUV 방사선 소스(20)와 같은 일례의 EUV 방사선 소스의 개략도가 도시되어 있다. 도시된 바와 같이, EUV 방사선 소스(20)는 예컨대 10.6㎛에서 방사선을 생성하는 펄스식 가스 방전 CO2 레이저 소스이어도 되는 펄스식 또는 연속식 레이저 소스(pulsed or continuous laser source)(22)를 포함할 수도 있다. 펄스식 가스 방전 CO2 레이저 소스는 높은 파워 및 높은 펄스 반복율로 작동하는 DC 또는 RF 여기를 가질 수 있다.
EUV 방사선 소스(20)는 또한 타겟 재료를 액상 액적 또는 연속적인 액상 스트림의 형태로 전달하기 위한 타겟 재료 전달 시스템(24)을 포함한다. 타겟 재료는 다른 재료가 사용될 수 있지만 주석 또는 주석 화합물로 이루어질 수도 있다. 타겟 재료 전달 시스템(24)은 타겟 재료를 챔버(26)의 내부 내로 조사 영역(irradiation region)(28)에 제공하며, 이 조사 영역에서 타겟 재료가 플라즈마를 생성하도록 조사될 수도 있다. 몇몇 경우에, 전기 전하는 타겟 재료로 하여금 조사 영역(28) 쪽으로 또는 조사 영역으로부터 멀어지게 이동하도록 하기 위해 타겟 재료 상에 배치된다. 여기에서 사용된 바와 같이, 조사 영역은 타겟 재료 조사가 발생할 수도 있는 영역이며, 조사가 실제로 발생하지 않는 때의 시간에서도 조사 영역이라는 것에 유의하여야 한다.
도 1을 계속 참조하면, 방사선 소스(20)는 또한 하나 이상의 광학 요소를 포함한다. 이하의 논의에서는, 콜렉터(30)가 이러한 광학 요소의 예로서 사용되지만, 이 논의는 마찬가지로 다른 광학 요소에도 적용된다. 콜렉터(30)는 열적으로 유기된 층간 확산(thermally-induced interlayer diffusion)을 효율적으로 차단하기 위해 각각의 계면에 침적된 예컨대 B4C, ZrC, Si3N4 또는 C와 같은 추가의 얇은 장벽층을 갖는 몰리브덴/실리콘(Mo/Si) 다층으로 코팅된 탄화규소(SiC) 기판과 같은 예컨대 MLM으로서 구현된 수직 입사 반사기이어도 된다. 알루미늄(Al) 또는 실리콘(Si)과 같은 다른 기판 재료 또한 사용될 수 있다. 콜렉터(30)는 애퍼처를 갖는 편장 타원형(prolate ellipsoid)의 형태로 될 수 있으며, 이 애퍼처가 레이저 방사선으로 하여금 이 애퍼처를 통과하여 조사 영역(28)에 도달하게 한다. 콜렉터(30)는 예컨대 조사 영역(28)에 있는 제1 포커스 및 소위 중간 포인트(40)에 있는 제2 포커스(또한 중간 포커스(40)로도 지칭됨)를 갖는 타원형의 형태로 될 수도 있으며, 이 중간 포인트에서 EUV 방사선이 EUV 방사선 소스(20)로부터 출력되고 예컨대 집적회로 리소그래피 툴(50)에 입력될 수 있으며, 이 집적회로 리소그래피 툴(50)이 예컨대 공지의 방식으로 실리콘 웨이퍼 워크피스(52)를 처리하기 위해 방사선을 사용한다. 실리콘 웨이퍼 워크피스(52)는 그리고나서 집적회로 디바이스를 획득하기 위해 공지의 방식으로 추가로 처리된다.
전술한 바와 같이, 콜렉터(30)와 같은 광학 요소의 설계에서의 기술적인 과제 중의 하나는 그 수명을 연장시키는 것이다. 콜렉터(30)의 수명을 연장시키기 위한 한 가지 방법은 최외각 캡층을 사용함으로써 콜렉터를 손상으로부터 보호하는 것을 포함한다. 캡층 시스템은 그 자체가 콜렉터 미러 코팅의 향상된 EUV 반사율(예컨대, 13.5nm 파장에서의)을 제공하기 위해 여러 개의 교번하는 스페이서층과 흡수체층으로 구성된 다층 시스템인 것이 이롭다. 콜렉터(30)의 메인(Mo/Si) 코팅의 다층과 마찬가지로, 다층화된 캡층 시스템 또한 콜렉터(30)의 반경을 함수로 하여 입사각에 매칭된 이중층 간격(bilayer spacing)을 갖는 그레이디드 설계(graded design)를 가져야만 한다.
다층 캡을 갖는 MLM 콜렉터(30)의 예가 도 2에 도시되어 있으며, 도 2는 이러한 콜렉터의 일부분을 통과하는 단면이다. 여기에서 알 수 있는 바와 같이, 콜렉터(30)는 기판(100)을 포함한다. 다층 코팅(110)은 기판(30) 상에 위치된다. 다층 코팅(110)은 공지의 양상으로 예컨대 몰리브덴 및 실리콘과 같은 재료의 교번층으로 이루어진다. 다층 코팅(110) 상에는 최외각층(130) 및 일련의 반복 이중층(140)으로 이루어진 캡핑층(120)이 위치한다. 각각의 이중층(140)은 스페이서층(150)과 흡수체층(160)을 포함하는 것이 바람직하다. 도 2는 5개의 이중층을 갖는 배열을 도시하고 있지만, 당업자는 다른 개수의 이중층이 사용될 수도 있다는 것을 용이하게 이해할 것이다.
다층 캡의 용도는 대상 파장(wavelengths of interest), 예컨대 13.5nm에서 콜렉터(30)의 전체적인 반사율을 과도하게 감소시키지 않고서 콜렉터(30)를 보호하는 것이다. 그러나, 블리스터링 및 수소 확산에 대해 저항성을 가질 다층 캡 내의 층을 위한 재료를 선택하는 것이 바람직하다. 예컨대, 지르코늄 니트라이드/실리콘(ZrN/Si) 이중층 또는 텅스텐/실리콘(W/Si) 이중층와 같은 실리콘을 포함하는 다층화된 캡 이중층은 블리스터링되기 쉬울 수도 있다. 이것은 층 경계에서의 단글링 본드(dangling bond)가 수소와 반응하는 Si 층 내에서 및 층의 대부분(the bulk of the layer)에서의 수소 반응에 의한 것이다. 이 반응은 실리콘층의 내부에 수소 블리스터 및 SiH4(실란)를 형성할 수 있다. 몰리브덴/이트륨(Mo/Y)과 같은 다른 이중층 조합은 수소 확산에 대해 효율적인 장벽을 제공하지 못할 수도 있다.
그러므로, 타겟 재료(예컨대, 주석) 침적, 수소 이온 침투, 수소 확산, 및 수소 또는 산소 유기 블리스터링(hydrogen or oxygen induced blistering)에 대해 콜렉터(30) 코팅을 보호하는 캡층 시스템을 제공하는 것이 이롭다.
적합한 질화물, 탄화물, 및 붕화물(트리실리콘 테트라니트라이드(Si3N4), 지르코늄 니트라이드(ZrN), 실리콘 카바이드(SiC), 탄소(C), 이트륨 니트라이드(YN), 이트륨 헥사보라이드(YB6), 지르코늄 카바이드(ZrC), 실리콘 헥사보라이드(SiB6), 및 보론 카바이드(B4C)와 같은)의 형태로 캡 다층 시스템의 스페이서층을 위한 재료를 선택함으로써, 다층 코팅 내로의 수소 확산이 감소되며, 스페이서층에서의 수소와의 반응이 감소되어, 수소-유기 블리스터의 형성에 대항하는 저항성을 야기한다. 적합한 산화물, 질화물, 또는 금속층(탄탈륨 펜트옥사이드(Ta2O5), 티타늄 디옥사이드(TiO2), 지르코늄 디옥사이드(ZrO2), 니오븀 펜트옥사이드(Nb2O5), 이트륨 옥사이드(Y2O3), 알루미늄 옥사이드(Al2O3), 티타늄-알루미늄-옥시니트라이드(TiAlON), ZrN, 실리콘 니트라이드(SiN), 티타늄 니트라이드(TiN), Mo, W, 및 Zr과 같은)의 형태의 흡수체층으로서의 재료를 선택함으로써, 주석 침적에 대한 최외각층의 보호가 증가되며, 수소 침투 및 타겟 재료 침투에 대한 보호 및 부분적으로는 수소 확산에 대한 보호가 증가된다.
다시 도 2를 참조하면, 캡(120)의 최상단층(130)은 타겟 재료 침적에 대해 높은 저항을 갖는 질화물 또는 산화물인 것이 바람직하다. 실제로, 이들은 스타난의 높은 형성률을 가능하게 하기 위해 원자 수소를 위한 낮은 재결합률을 갖는 재료인 것이 바람직하다. 이들은 전형적으로는 약 10-4 내지 약 10-3의 범위의 수소 재결합 계수를 갖는 재료일 것이다. 효율적으로는, 이것은 H가 H2로 재결합하기 전에 Sn과 반응할 수 있으므로 선호된 재료가 양호한 주석 세정률을 나타낸다는 것을 의미한다. 일례로서, 금속 스테인리스 스틸은 2.2×10-3의 재결합 계수를 갖는다. 캡(120)의 최외각층(130)을 위한 선호되는 재료 또한 입사 이온에 대한 양호한 에너지 감소 및 낮은 2차 전자 수득률을 나타내는 것이 바람직하다. 낮은 재결합 계수, 입사 이온에 대한 양호한 에너지 감소, 및 낮은 2차 전자 수득률을 갖는 재료의 예는 ZrN, TiO2, Ta2O5, 및 ZrO2을 포함한다.
스페이서층은 질화물 및 탄화물과 같은 수소-확산 및 블리스터에 대해 저항성을 갖는 재료로 이루어지는 것이 바람직하다. 스페이서층은 수소 확산을 위한 효율적인 장벽으로서 작용하도록 비정질적으로(amorphously) 성장되는 것이 바람직하다. 몇몇 재료는 얇은 층에서 미소결정질 성장(microcrystalline growth)을 나타낸다. 이러한 재료에 대해, 수소는 결정질 층에서의 그레인 경계를 따라 보다 용이하게 확산할 수 있으며, 따라서 비정질적으로 성장된 층 및 낮은 결함 밀도를 갖는 층이 수소 장벽으로서 선호된다. 탄화물, 붕화물 및 질화물은 양호한 수소 확산 장벽층으로서 인지된다. 일반적으로, 세라믹은 H 확산을 위한 양호한 장벽으로 간주된다. 또한, 스페이서층은 수소와의 반응에 대해 비교적 불활성인 재료로 이루어지는 것이 바람직하다. 예컨대, SiC(탄화규소)는 Si와 C 간의 모든 결합이 포화되며, 그러므로 블리스터링이 덜 쉽게 된다. 이트륨 니트라이드(YN)은 미소-결정질 성장을 보여주는 순수 이트륨에 비하여 수소 확산에 대한 더 우수한 장벽층이다.
흡수체층은 입사 이온의 침투를 감소시킬 수 있는 적합한 산화물층 또는 금속층으로 이루어지는 것이 바람직하다. 즉, 흡수체층을 위한 재료는 수소 이온에 충격을 주기 위한 비교적 높은 저지능(stopping power)을 갖는 것이 바람직하다. 이것은 비교적 큰 선호된 저지 단면(relatively large preferred stopping cross section)을 의미한다. 바람직하게는, 약 100eV 에너지의 에너지를 갖는 수소 이온이 수 나노미터보다 큰 정도로 재료를 침투하는 것이 가능하지 않아야 한다. ZrO2는 이러한 재료의 예이다. 금속에 대해 말하자면, 몰리브덴은 선호되는 재료이며, 몇몇 응용예에 대해서는 몰리브덴 카바이드(Mo2C)가 Mo와 거의 동일한 EUV 반사율을 갖지만 더 우수한 성장 특성 및 H 확산에 대한 더 우수한 특성을 갖기 때문에 몰리브덴 카바이드가 "금속" 재료로서 선호된다.
이들 특성 외에, 캡층에서의 층 재료 또한 13.5nm 파장에서 EUV 방사선에 대해 양호한 투명도(transparency)를 가져야 한다.
질화물층에 적합한 재료는 Si3N4, ZrN, YN, SiN, NbN, TiN, 및 BN을 포함한다.
탄화물층에 적합한 재료는 SiC, B4C, C, 및 ZrC를 포함한다.
붕화물층에 적합한 재료는 ZrB2, NbB2, YB6, 및 SiB6를 포함한다.
산화물층에 적합한 재료는 ZrO2, TiO2, Ta2O5, Nb2O5, Y2O3, Al2O3, 및 티타늄-알루미늄-옥시니트라이드(TiAlON)를 포함한다.
금속층에 적합한 재료는 Mo, W, 및 Mo2C를 포함한다.
흡수체/스페이서 이중층을 위한 재료의 현재 선호되는 조성물은, 흡수체를 위한 재료로서의 Mo 및 스페이서를 위한 재료로서의 Si3N4, YN, B4C, ZrC, C, 또는 YB6와; 흡수체를 위한 재료로서의 W 및 스페이서를 위한 재료로서의 Si3N4, YN, B4C, ZrC, C, 또는 YB6와; 흡수체를 위한 재료로서의 ZrO2 및 스페이서를 위한 재료로서의 Si3N4, YN, B4C, ZrC, C, 또는 YB6와; 흡수체를 위한 재료로서의 Nb2O5 및 스페이서를 위한 재료로서의 Si3N4, YN, B4C, ZrC, C, 또는 YB6와; 흡수체를 위한 재료로서의 TiO2 및 스페이서를 위한 재료로서의 Si3N4, YN, B4C, ZrC, C, 또는 YB6와; 흡수체를 위한 재료로서의 Mo2C 및 스페이서를 위한 재료로서의 Si3N4, YN, B4C, ZrC, C, 또는 YB6를 포함한다.
위의 설명은 하나 이상의 실시예의 예를 포함한다. 당연히, 전술한 실시예를 설명하기 위한 목적을 위해 부품 또는 방법의 모든 상정 가능한 조합을 기술할 수는 없지만, 당업자는 다양한 실시예의 다수의 추가의 조합 및 치환이 가능하다는 것을 인지할 수 있을 것이다. 따라서, 전술한 실시예는 첨부된 청구항들의 사상 및 범위 내에 있는 이러한 변경, 수정 및 변형 모두를 포괄하도록 의도된다. 더욱이, "포함한다(includes)"라는 표현이 상세한 설명 또는 청구항들 중의 어느 하나에 사용되는 경우에, 이러한 표현은 "포함하는(comprising)"이라는 표현이 그 채용 시에 청구항에서의 이행구(tansitional word)로서 이해되기 때문에 "포함하는(comprising)"이라는 표현과 유사한 양상으로 포괄적인 것으로 의도된다. 더욱이, 전술한 양태 및/또는 실시예의 구성요소가 단수로 설명되거나 청구될 수도 있지만, 단수로의 한정이 명시적으로 언급되지 않는 한은 복수로도 고려된다. 이에 부가하여, 임의의 양태 및/또는 실시예의 전부 또는 일부분은 달리 언급되지 않는다면 임의의 다른 양태 및/또는 실시예의 전부 또는 일부분으로 활용될 수도 있다.

Claims (18)

  1. 다층 미러에 있어서,
    기판;
    상기 기판 상의 다층 코팅; 및
    상기 다층 코팅 상의 캡핑층(capping layer)
    을 포함하며, 상기 캡핑층은,
    타겟 재료 침적에 대해 높은 저항성을 갖는 재료를 포함하는 최외각층; 및
    상기 최외각층과 상기 다층 코팅 사이에 위치되고 복수의 이중층(bilayer)을 포함하는 다층 구조체
    를 포함하되, 각각의 상기 이중층은,
    수소 확산 및 블리스터링(blistering)에 대해 저항성을 갖는 재료를 포함하는 스페이서층, 및
    이온 침투에 대해 저항성을 갖는 재료를 포함하는 흡수체층
    을 포함하되,
    상기 스페이서층은 Si3N4, ZrN, YN, SiN, NbN, TiN, BN, SiC, B4C, C, ZrC, ZrB2, NbB2, YB6 및 SiB6 로 이루어진 군에서 선택되는 것이고,
    상기 흡수체층은 ZrO2, TiO2, Ta2O5, Nb2O5, Y2O3, Al2O3, 티타늄-알루미늄-옥시니트라이드(TiAlON), Mo, W 및 Mo2C 로 이루어진 군에서 선택되는 것인, 다층 미러.
  2. 제1항에 있어서,
    상기 최외각층은 제1 산화물 재료 또는 제1 질화물 재료를 포함하는, 다층 미러.
  3. 제2항에 있어서,
    상기 제1 산화물 재료는 ZrO2, TiO2, 및 Nb2O5를 포함하는 재료의 군 중에서 하나의 재료를 포함하는, 다층 미러.
  4. 제2항에 있어서,
    상기 제1 질화물 재료는 ZrN 및 YN을 포함하는 재료의 군 중에서 하나의 재료를 포함하는, 다층 미러.
  5. 다층 미러에 있어서,
    기판;
    상기 기판 상의 다층 코팅; 및
    상기 다층 코팅 상의 다층 캡핑층(capping layer)
    을 포함하며, 상기 다층 캡핑층은,
    타겟 재료 침적에 대해 높은 저항성을 갖는 재료를 포함하는 최외각층; 및
    상기 다층 코팅과는 별개로, 상기 최외각층과 상기 다층 코팅 사이에 위치되고 복수의 이중층(bilayer)을 포함하는 다층 구조체
    를 포함하되, 각각의 상기 이중층은,
    수소 확산 및 블리스터링(blistering)에 대해 저항성을 갖는 재료를 포함하는 스페이서층, 및
    이온 침투에 대해 저항성을 갖는 재료를 포함하는 흡수체층
    을 포함하되,
    상기 스페이서층은 Si3N4, ZrN, YN, SiN, NbN, TiN, BN, SiC, B4C, C, ZrC, ZrB2, NbB2, YB6 및 SiB6 로 이루어진 군에서 선택되는 것인, 다층 미러.
  6. 다층 미러에 있어서,
    기판;
    상기 기판 상의 다층 코팅; 및
    상기 다층 코팅 상의 다층 캡핑층(capping layer)
    을 포함하며, 상기 다층 캡핑층은,
    타겟 재료 침적에 대해 높은 저항성을 갖는 재료를 포함하는 최외각층; 및
    상기 다층 코팅과는 별개로, 상기 최외각층과 상기 다층 코팅 사이에 위치되고 복수의 이중층(bilayer)을 포함하는 다층 구조체
    를 포함하되, 각각의 상기 이중층은,
    수소 확산 및 블리스터링(blistering)에 대해 저항성을 갖는 재료를 포함하는 스페이서층, 및
    이온 침투에 대해 저항성을 갖는 재료를 포함하는 흡수체층
    을 포함하되,
    상기 흡수체층은 ZrO2, TiO2, Ta2O5, Nb2O5, Y2O3, Al2O3, 티타늄-알루미늄-옥시니트라이드(TiAlON), Mo, W 및 Mo2C 로 이루어진 군에서 선택되는 것인, 다층 미러.
  7. 제5항 또는 제6항에 있어서,
    상기 최외각층은 제1 산화물 재료 또는 제1 질화물 재료를 포함하는, 다층 미러.
  8. 제7항에 있어서,
    상기 제1 산화물 재료는 ZrO2, TiO2, 및 Nb2O5를 포함하는 재료의 군 중에서 하나의 재료를 포함하는, 다층 미러.
  9. 제7항에 있어서,
    상기 제1 질화물 재료는 ZrN 및 YN을 포함하는 재료의 군 중에서 하나의 재료를 포함하는, 다층 미러.
  10. 제6항에 있어서,
    상기 스페이서층의 적어도 하나는 제2 질화물 재료, 탄화물 재료, 및 붕화물 재료를 포함하는 재료의 군 중에서 하나의 재료를 포함하는, 다층 미러.
  11. 제10항에 있어서,
    상기 제2 질화물 재료는 Si3N4 또는 YN을 포함하는, 다층 미러.
  12. 제10항에 있어서,
    상기 탄화물 재료는 B4C, C, 및 ZrC를 포함하는 재료의 군 중에서 하나의 재료를 포함하는, 다층 미러.
  13. 제10항에 있어서,
    상기 붕화물 재료는 YB6를 포함하는, 다층 미러.
  14. 제5항 또는 제6항에 있어서,
    상기 스페이서층의 적어도 하나가 수소 확산에 대한 효율적인 장벽으로서 작용하도록 비정질식으로 성장되는, 다층 미러.
  15. 제5항에 있어서,
    상기 흡수체층의 적어도 하나가 제2 산화물 재료를 포함하는, 다층 미러.
  16. 제15항에 있어서,
    상기 제2 산화물 재료는 ZrO2, TiO2, 및 Nb2O5를 포함하는 재료의 군 중에서 하나의 재료를 포함하는, 다층 미러.
  17. 제5항에 있어서,
    상기 흡수체층의 적어도 하나는 금속성 재료를 포함하는, 다층 미러.
  18. 제17항에 있어서,
    상기 금속성 재료는 Mo2C, Mo, 및 W를 포함하는 재료의 군 중에서 하나의 재료를 포함하는, 다층 미러.
KR1020227031455A 2014-02-07 2015-01-29 블리스터에 대해 저항성을 갖는 다층 캡을 포함하는 euv 광학 요소 KR102466812B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
EP14154265.4 2014-02-07
EP14154265.4A EP2905637A1 (en) 2014-02-07 2014-02-07 EUV optical element having blister-resistant multilayer cap
KR1020167024229A KR20160118308A (ko) 2014-02-07 2015-01-29 블리스터에 대해 저항성을 갖는 다층 캡을 포함하는 euv 광학 요소
PCT/EP2015/051809 WO2015117887A1 (en) 2014-02-07 2015-01-29 Euv optical element having blister-resistant multilayer cap

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020167024229A Division KR20160118308A (ko) 2014-02-07 2015-01-29 블리스터에 대해 저항성을 갖는 다층 캡을 포함하는 euv 광학 요소

Publications (2)

Publication Number Publication Date
KR20220129110A true KR20220129110A (ko) 2022-09-22
KR102466812B1 KR102466812B1 (ko) 2022-11-16

Family

ID=50064495

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020167024229A KR20160118308A (ko) 2014-02-07 2015-01-29 블리스터에 대해 저항성을 갖는 다층 캡을 포함하는 euv 광학 요소
KR1020227031455A KR102466812B1 (ko) 2014-02-07 2015-01-29 블리스터에 대해 저항성을 갖는 다층 캡을 포함하는 euv 광학 요소

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020167024229A KR20160118308A (ko) 2014-02-07 2015-01-29 블리스터에 대해 저항성을 갖는 다층 캡을 포함하는 euv 광학 요소

Country Status (7)

Country Link
US (1) US11215736B2 (ko)
EP (1) EP2905637A1 (ko)
JP (1) JP6527154B2 (ko)
KR (2) KR20160118308A (ko)
CN (1) CN105940324B (ko)
TW (1) TWI649011B (ko)
WO (1) WO2015117887A1 (ko)

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10128016B2 (en) 2016-01-12 2018-11-13 Asml Netherlands B.V. EUV element having barrier to hydrogen transport
US9888554B2 (en) * 2016-01-21 2018-02-06 Asml Netherlands B.V. System, method and apparatus for target material debris cleaning of EUV vessel and EUV collector
DE102016213831A1 (de) 2016-07-27 2018-02-01 Carl Zeiss Smt Gmbh Reflektives optisches Element für die EUV-Lithographie
KR20180027712A (ko) 2016-09-06 2018-03-15 삼성전자주식회사 포토마스크
DE102016226202A1 (de) 2016-12-23 2018-06-28 Carl Zeiss Smt Gmbh Optisches Element, insbesondere für eine mikrolithographische Projektionsbelichtungsanlage
DE102017200667A1 (de) 2017-01-17 2018-07-19 Carl Zeiss Smt Gmbh Spiegel, insbesondere für eine mikrolithographische Projektionsbelichtungsanlage oder ein Inspektionssystem
KR102310124B1 (ko) * 2017-03-28 2021-10-08 삼성전자주식회사 극자외선 노광용 펠리클, 포토마스크 조립체 및 펠리클의 제조 방법
DE102017211824A1 (de) 2017-07-11 2017-09-21 Carl Zeiss Smt Gmbh Spiegel, insbesondere für eine mikrolithographische Projektionsbelichtungsanlage
DE102017213172A1 (de) 2017-07-31 2017-09-14 Carl Zeiss Smt Gmbh Verfahren zum Aufbringen einer Deckschicht und reflektives optisches Element
DE102017213178A1 (de) 2017-07-31 2018-06-21 Carl Zeiss Smt Gmbh Spiegel, insbesondere für eine mikrolithographische Projektionsbelichtungsanlage
SG11202001132SA (en) * 2017-08-08 2020-03-30 Supriya Jaiswal Materials, component, and methods for use with extreme ultraviolet radiation in lithography and other applications
WO2019077736A1 (ja) 2017-10-20 2019-04-25 ギガフォトン株式会社 極端紫外光用ミラー及び極端紫外光生成装置
NL2022644A (en) 2018-03-05 2019-09-10 Asml Netherlands Bv Prolonging optical element lifetime in an euv lithography system
CN112933422A (zh) * 2019-12-11 2021-06-11 中硼(厦门)医疗器械有限公司 用于中子线产生装置的靶材
US20210335599A1 (en) * 2020-04-28 2021-10-28 Taiwan Semiconductor Manufacturing Co., Ltd. Euv photomask and related methods
KR20240029758A (ko) 2021-07-12 2024-03-06 에이지씨 가부시키가이샤 반사형 마스크 블랭크 및 그의 제조 방법

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2005091887A2 (en) * 2004-03-10 2005-10-06 Cymer, Inc. Euv light source optical elements
DE102012207125A1 (de) * 2012-04-27 2013-03-28 Carl Zeiss Smt Gmbh Optisches Element und optisches System für die EUV-Lithographie sowie Verfahren zum Optimieren eines Schutzlagensystems für ein optisches Element

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10125580A (ja) 1996-10-21 1998-05-15 Toppan Printing Co Ltd X線マスク
US7843632B2 (en) 2006-08-16 2010-11-30 Cymer, Inc. EUV optics
JP3708916B2 (ja) * 2001-08-24 2005-10-19 株式会社半導体エネルギー研究所 発光装置
US6756163B2 (en) * 2002-06-27 2004-06-29 Intel Corporation Re-usable extreme ultraviolet lithography multilayer mask blank
JP4222966B2 (ja) * 2004-04-22 2009-02-12 三菱電機株式会社 薄膜トランジスタおよびその製造方法
US20060024589A1 (en) * 2004-07-28 2006-02-02 Siegfried Schwarzl Passivation of multi-layer mirror for extreme ultraviolet lithography
EP2053463B1 (en) * 2007-10-23 2011-06-08 Imec Detection of contamination in EUV systems
US20100071720A1 (en) * 2008-09-19 2010-03-25 Carl Zeiss Smt Ag Method and system for removing contaminants from a surface
DE102009045170A1 (de) * 2009-09-30 2011-04-07 Carl Zeiss Smt Gmbh Reflektives optisches Element und Verfahren zum Betrieb einer EUV-Lithographievorrichtung
WO2011073157A1 (en) * 2009-12-15 2011-06-23 Carl Zeiss Smt Gmbh Reflective optical element for euv lithography
JP2011204864A (ja) 2010-03-25 2011-10-13 Nikon Corp 反射型マスク、露光装置、露光方法及びデバイス製造方法
WO2012113591A1 (en) 2011-02-24 2012-08-30 Asml Netherlands B.V. Grazing incidence reflector, lithographic apparatus, method for manufacturing grazing incidence reflector and method for manufacturing a device
JP6093753B2 (ja) 2011-03-23 2017-03-08 カール・ツァイス・エスエムティー・ゲーエムベーハー Euvミラー機構、euvミラー機構を備えた光学系、及びeuvミラー機構を備えた光学系を操作する方法
DE102011076011A1 (de) * 2011-05-18 2012-11-22 Carl Zeiss Smt Gmbh Reflektives optisches Element und optisches System für die EUV-Lithographie
DE102011077983A1 (de) * 2011-06-22 2012-12-27 Carl Zeiss Smt Gmbh Verfahren zur Herstellung eines reflektiven optischen Elements für die EUV-Lithographie
JP6157874B2 (ja) 2012-03-19 2017-07-05 Hoya株式会社 Euvリソグラフィー用多層反射膜付き基板及びeuvリソグラフィー用反射型マスクブランク、並びにeuvリソグラフィー用反射型マスク及び半導体装置の製造方法
DE102012207141A1 (de) * 2012-04-27 2013-10-31 Carl Zeiss Laser Optics Gmbh Verfahren zur Reparatur von optischen Elementen sowie optisches Element
JP6389896B2 (ja) * 2013-09-23 2018-09-12 カール・ツァイス・エスエムティー・ゲーエムベーハー 多層ミラー
EP3080322B1 (en) * 2013-12-11 2019-08-28 ArcelorMittal Martensitic steel with delayed fracture resistance and manufacturing method
DE102014209830A1 (de) * 2014-05-23 2014-08-21 Carl Zeiss Smt Gmbh DUV - Absorberschicht für EUV - SPIEGEL

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2005091887A2 (en) * 2004-03-10 2005-10-06 Cymer, Inc. Euv light source optical elements
DE102012207125A1 (de) * 2012-04-27 2013-03-28 Carl Zeiss Smt Gmbh Optisches Element und optisches System für die EUV-Lithographie sowie Verfahren zum Optimieren eines Schutzlagensystems für ein optisches Element

Also Published As

Publication number Publication date
JP2017506356A (ja) 2017-03-02
EP2905637A1 (en) 2015-08-12
TWI649011B (zh) 2019-01-21
CN105940324A (zh) 2016-09-14
US11215736B2 (en) 2022-01-04
KR102466812B1 (ko) 2022-11-16
KR20160118308A (ko) 2016-10-11
TW201536111A (zh) 2015-09-16
CN105940324B (zh) 2019-09-06
US20160349412A1 (en) 2016-12-01
WO2015117887A1 (en) 2015-08-13
JP6527154B2 (ja) 2019-06-05

Similar Documents

Publication Publication Date Title
KR102466812B1 (ko) 블리스터에 대해 저항성을 갖는 다층 캡을 포함하는 euv 광학 요소
US10128016B2 (en) EUV element having barrier to hydrogen transport
TWI609605B (zh) 嚴峻環境光學元件保護技術
KR101905223B1 (ko) Euv 리소그래피용 반사 광학 소자 및 광학계
EP1896197B1 (en) Method of cleaning and after treatment of optical surfaces in an irradiation unit
US8901523B1 (en) Apparatus for protecting EUV optical elements
US20220179329A1 (en) Optical element and euv lithographic system
NL2015521A (en) Radiation source-collector and method for manufacture.
EP1656591B1 (en) A method of retaining a substance originating from a radiation source by means of a filter
US20230076667A1 (en) Optical element, euv lithography system, and method for forming nanoparticles
JP2023543850A (ja) 光学素子を製造する方法、光学素子、光学素子を製造する装置、二次ガス、及び投影露光システム

Legal Events

Date Code Title Description
A107 Divisional application of patent
E701 Decision to grant or registration of patent right
GRNT Written decision to grant