KR20220025898A - 동시 기판 이송을 위한 로봇 - Google Patents

동시 기판 이송을 위한 로봇 Download PDF

Info

Publication number
KR20220025898A
KR20220025898A KR1020227004616A KR20227004616A KR20220025898A KR 20220025898 A KR20220025898 A KR 20220025898A KR 1020227004616 A KR1020227004616 A KR 1020227004616A KR 20227004616 A KR20227004616 A KR 20227004616A KR 20220025898 A KR20220025898 A KR 20220025898A
Authority
KR
South Korea
Prior art keywords
shaft
coupled
baseplate
transport
housing
Prior art date
Application number
KR1020227004616A
Other languages
English (en)
Other versions
KR102629482B1 (ko
Inventor
폴 지. 워스
찰스 티. 칼슨
제이슨 엠. 샬러
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Priority to KR1020247002289A priority Critical patent/KR20240015150A/ko
Publication of KR20220025898A publication Critical patent/KR20220025898A/ko
Application granted granted Critical
Publication of KR102629482B1 publication Critical patent/KR102629482B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J11/00Manipulators not otherwise provided for
    • B25J11/0095Manipulators transporting wafers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J15/00Gripping heads and other end effectors
    • B25J15/0014Gripping heads and other end effectors having fork, comb or plate shaped means for engaging the lower surface on a object to be transported
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J9/00Programme-controlled manipulators
    • B25J9/0009Constructional details, e.g. manipulator supports, bases
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J9/00Programme-controlled manipulators
    • B25J9/02Programme-controlled manipulators characterised by movement of the arms, e.g. cartesian coordinate type
    • B25J9/04Programme-controlled manipulators characterised by movement of the arms, e.g. cartesian coordinate type by rotating at least one arm, excluding the head movement itself, e.g. cylindrical coordinate type or polar coordinate type
    • B25J9/041Cylindrical coordinate type
    • B25J9/042Cylindrical coordinate type comprising an articulated arm
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J9/00Programme-controlled manipulators
    • B25J9/10Programme-controlled manipulators characterised by positioning means for manipulator elements
    • B25J9/12Programme-controlled manipulators characterised by positioning means for manipulator elements electric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68792Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the construction of the shaft
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Mechanical Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

예시적인 기판 프로세싱 시스템들은 복수의 프로세싱 구역들과 유체 커플링된 이송 구역을 정의하는 이송 구역 하우징을 포함할 수 있다. 이송 구역 하우징의 측벽은 기판들을 제공 및 수용하기 위한 밀봉가능 액세스를 정의할 수 있다. 시스템들은, 이송 구역 하우징을 통해 이송 구역 내로 원위 단부에서 연장되는 샤프트를 포함하는 중심 허브를 갖는 이송 장치를 포함할 수 있다. 이송 장치는, 이송 구역 하우징의 외부 표면과 커플링되고 샤프트의 적어도 하나의 방향의 측방향 이동을 제공하도록 구성된 측방향 병진 장치를 포함할 수 있다. 시스템들은 또한, 이송 구역 내에서 샤프트와 커플링된 엔드 이펙터를 포함할 수 있다. 엔드 이펙터는 이송 구역에서 복수의 기판 지지부들의 기판 지지부들의 수와 동일한 수의 암들을 갖는 복수의 암들을 포함할 수 있다.

Description

동시 기판 이송을 위한 로봇
[0001] 본 출원은, 2019년 7월 12일자로 출원된 미국 가특허출원 번호 제62/873,480호에 대한 우선권을 주장하며, 이로써 그 내용들은 모든 목적들을 위해 그 전체가 인용에 의해 포함된다.
[0002] 본 기술은, 모두가 2019년 7월 12일자로 동시 출원되고, "ROBOT FOR SIMULTANEOUS SUBSTRATE TRANSFER"(미국 가출원 번호 제62/873,400호), "ROBOT FOR SIMULTANEOUS SUBSTRATE TRANSFER"(미국 가출원 번호 제62/873,432호), "ROBOT FOR SIMULTANEOUS SUBSTRATE TRANSFER"(미국 가출원 번호 제62/873,458호), "MULTI-LID STRUCTURE FOR SEMICONDUCTOR PROCESSING SYSTEMS"(미국 가출원 번호 제62/873,518호) 및 "HIGH-DENSITY SUBSTRATE PROCESSING SYSTEMS AND METHODS"(미국 가출원 번호 제62/873,503호)로 명명된 출원들에 관련된다. 이로써, 이들 출원들 각각은 모든 목적들을 위해 그 전체가 인용에 의해 포함된다.
[0003] 본 기술은 반도체 프로세스들 및 장비에 관한 것이다. 더 구체적으로, 본 기술은 기판 핸들링 시스템들에 관한 것이다.
[0004] 반도체 프로세싱 시스템들은 대개, 다수의 프로세스 챔버들을 함께 통합하기 위해 클러스터 툴들을 활용한다. 이러한 구성은, 제어되는 프로세싱 환경으로부터 기판을 제거하지 않으면서 여러 순차적인 프로세싱 동작들의 수행을 가능하게 할 수 있거나, 또는 이러한 구성은 다양한 챔버들에서 다수의 기판들에 대해 유사한 프로세스가 한꺼번에 수행되게 할 수 있다. 이들 챔버들은 예컨대 탈기 챔버들, 전처리 챔버들, 이송 챔버들, 화학 기상 증착 챔버들, 물리 기상 증착 챔버들, 에칭 챔버들, 계측 챔버들 및 다른 챔버들을 포함할 수 있다. 클러스터 툴 내의 챔버들의 조합뿐만 아니라, 이들 챔버들이 실행되는 동작 조건들 및 파라미터들은, 특정 프로세스 레시피들 및 프로세스 흐름들을 사용하여 특정 구조들을 제작하도록 선택된다.
[0005] 클러스터 툴들은 대개, 일련의 챔버들 및 프로세스 동작들을 통해 기판들을 연속적으로 통과시킴으로써 다수의 기판들을 프로세싱한다. 프로세스 레시피들 및 시퀀스들은 통상적으로, 클러스터 툴을 통해 각각의 기판의 프로세싱을 지시, 제어 및 모니터링할 마이크로프로세서 제어기에 프로그래밍될 것이다. 일단 웨이퍼들의 전체 카세트가 클러스터 툴을 통해 성공적으로 프로세싱되었다면, 카세트는 추가적인 프로세싱을 위해 또 다른 클러스터 툴 또는 독립형 툴, 이를테면, 화학적 기계적 연마기(polisher)에 전달될 수 있다.
[0006] 로봇들은 통상적으로, 다양한 프로세싱 및 홀딩 챔버들을 통해 웨이퍼들을 이송하기 위해 사용된다. 각각의 프로세스 및 핸들링 동작에 요구되는 시간의 양(amount)은 단위 시간당 기판들의 스루풋에 직접적인 영향을 미친다. 클러스터 툴에서의 기판 스루풋은 이송 챔버에 포지셔닝된 기판 핸들링 로봇의 속도와 직접 관련될 수 있다. 프로세싱 챔버 구성들이 추가로 개발되기 때문에, 종래의 웨이퍼 이송 시스템들은 부적합할 수 있다.
[0007] 따라서, 클러스터 툴 환경들 내에서 기판들을 효율적으로 지향시키기 위해 사용될 수 있는 개선된 시스템들 및 방법들이 필요하다. 이들 및 다른 요구들은 본 기술에 의해 해결된다.
[0008] 예시적인 기판 프로세싱 시스템들은 복수의 프로세싱 구역들과 유체 커플링된 이송 구역을 정의하는 이송 구역 하우징을 포함할 수 있다. 이송 구역 하우징의 측벽은 기판들을 제공 및 수용하기 위한 밀봉가능 액세스를 정의할 수 있다. 복수의 기판 지지부들이 이송 구역 내에 배치될 수 있다. 시스템들은, 이송 구역 하우징을 통해 이송 구역 내로 원위 단부에서 연장되는 샤프트를 포함하는 중심 허브를 갖는 이송 장치를 포함할 수 있다. 이송 장치는 이송 구역 하우징의 외부 표면과 커플링된 측방향 병진 장치를 포함할 수 있다. 측방향 병진 장치는 샤프트의 적어도 하나의 방향의 측방향 이동을 제공하도록 구성될 수 있다. 시스템들은 또한, 이송 구역 내에서 샤프트의 원위 단부에서 샤프트와 커플링된 엔드 이펙터를 포함할 수 있다. 엔드 이펙터는 복수의 기판 지지부들의 기판 지지부들의 수와 동일한 수의 암(arm)들을 갖는 복수의 암들을 포함할 수 있다.
[0009] 일부 실시예들에서, 복수의 기판 지지부들은 적어도 4개의 기판 지지부들을 포함할 수 있다. 이송 장치는, 샤프트와 커플링되고 샤프트를 관통하는 중심 축을 중심으로 샤프트를 회전시키도록 구성된 제1 구동부를 포함할 수 있다. 제1 구동부는 샤프트 주위로 연장되는 프레임리스(frameless) 모터를 포함할 수 있다. 이송 장치는, 샤프트와 커플링되고 샤프트의 수직 병진을 제공하도록 구성된 제2 구동부를 포함할 수 있다. 제1 구동부는 가이드들과 커플링된 하우징 내에 포함될 수 있고, 이러한 가이드들을 따라, 제2 구동부가 하우징을 안내(direct)할 수 있으며, 제2 구동부는 제1 구동부 및 샤프트를 수직으로 병진시킬 수 있다. 측방향 병진 장치는 제1 측방향으로 병진가능한 제1 스테이지를 포함할 수 있고, 중심 허브는 제1 스테이지와 커플링될 수 있다. 측방향 병진 장치는 제2 측방향으로 병진가능한 제2 스테이지를 포함할 수 있고, 제2 스테이지는 제1 스테이지와 수직으로 정렬되고 제1 스테이지와 커플링될 수 있다. 제2 측방향은 제1 측방향에 직교(orthogonal)할 수 있다. 이송 장치는 벨로우즈(bellows)를 포함할 수 있고, 이러한 벨로우즈를 통해 샤프트가 연장된다. 벨로우즈는 제1 단부에서 이송 장치의 베이스플레이트와 고정식으로 커플링될 수 있다. 벨로우즈는 벨로우즈의 볼륨 내에서 샤프트의 측방향 병진을 제공하도록 사이즈가 정해질 수 있다.
[0010] 본 기술의 일부 실시예들은 중심 애퍼처를 정의하는 베이스플레이트를 포함하는 이송 장치들을 포함할 수 있다. 장치들은 샤프트를 포함할 수 있으며, 샤프트의 원위 단부는 베이스플레이트의 중심 애퍼처를 통해 적어도 부분적으로 연장될 수 있다. 장치들은 베이스플레이트와 커플링된 측방향 병진 장치를 포함할 수 있다. 측방향 병진 장치는, 베이스플레이트의 중심 애퍼처 내에서 샤프트의 적어도 하나의 방향의 측방향 이동을 제공하도록 구성될 수 있다. 장치들은, 베이스플레이트와 커플링되고 베이스플레이트의 중심 애퍼처와 축방향으로 정렬된 벨로우즈를 포함할 수 있다. 샤프트는 벨로우즈를 통해 적어도 부분적으로 연장될 수 있다. 장치들은, 샤프트와 커플링되고 샤프트의 중심 축을 중심으로 샤프트를 회전시키도록 구성된 제1 구동부를 포함할 수 있다.
[0011] 일부 실시예들에서, 이송 장치들은 측방향 병진 장치와 커플링된 지지부를 포함할 수 있다. 지지부는 샤프트를 향하는, 지지부의 표면을 따라 수직으로 연장되는 하나 이상의 가이드들을 포함할 수 있다. 샤프트는 하우징 내에 적어도 부분적으로 포함될 수 있고, 하우징은 지지부의 가이드들과 이동가능하게 커플링될 수 있다. 벨로우즈의 제1 단부는 베이스플레이트와 커플링될 수 있고, 벨로우즈의 제2 단부는 하우징과 커플링될 수 있다. 장치들은 지지부의 베이스와 커플링된 제2 구동부를 포함할 수 있다. 제2 구동부는, 지지부의 가이드들을 따라 수직으로 하우징을 구동시켜서 샤프트의 수직 병진을 제공하도록 구성될 수 있다. 제2 구동부는 제1 구동부로부터 측방향으로 오프셋될 수 있다. 제2 구동부는 제1 구동부와 축방향으로 정렬될 수 있다. 측방향 병진 장치는, 베이스플레이트와 커플링되고 제1 측방향으로 병진가능한 제1 스테이지를 포함할 수 있다. 벨로우즈는 제1 스테이지를 통해 적어도 부분적으로 연장될 수 있다. 측방향 병진 장치는 제1 측방향에 직교하는 제2 측방향으로 병진가능한 제2 스테이지를 포함할 수 있다. 제2 스테이지는 제1 스테이지와 수직으로 정렬되고 제1 스테이지와 커플링될 수 있다. 벨로우즈는 제2 스테이지를 통해 적어도 부분적으로 연장될 수 있다.
[0012] 본 기술의 일부 실시예들은 기판 프로세싱 시스템들을 포함할 수 있다. 시스템들은 복수의 프로세싱 구역들과 유체 커플링된 이송 구역을 정의하는 이송 구역 하우징을 포함할 수 있다. 이송 구역 하우징의 측벽은 기판들을 제공 및 수용하기 위한 밀봉가능 액세스를 정의할 수 있다. 이송 구역 하우징의 베이스가 애퍼처를 정의할 수 있다. 시스템들은 이송 구역 내에 배치된 복수의 기판 지지부들을 포함할 수 있다. 시스템들은 이송 구역 내에 이송 장치를 포함할 수 있다. 이송 장치는 중심 애퍼처를 정의하는 베이스플레이트를 포함할 수 있다. 베이스플레이트는 이송 구역 하우징의 베이스의 외부와 커플링될 수 있다. 베이스플레이트의 중심 애퍼처는 이송 구역 하우징의 베이스를 관통하는 애퍼처 주위로 연장될 수 있다. 이송 장치는 샤프트를 포함할 수 있으며, 샤프트의 원위 단부는 베이스플레이트의 중심 애퍼처를 통해 적어도 부분적으로 연장될 수 있다. 이송 장치는 또한, 베이스플레이트와 커플링된 측방향 병진 장치를 포함할 수 있다. 측방향 병진 장치는, 베이스플레이트의 중심 애퍼처 내에서 샤프트의 적어도 하나의 방향의 측방향 이동을 제공하도록 구성될 수 있다. 이송 장치는, 베이스플레이트와 커플링되고 베이스플레이트의 중심 애퍼처와 축방향으로 정렬된 벨로우즈를 포함할 수 있다. 샤프트는 벨로우즈를 통해 적어도 부분적으로 연장될 수 있다. 이송 장치는 또한, 이송 구역 내에서 샤프트의 원위 단부에서 샤프트와 커플링된 엔드 이펙터를 포함할 수 있다. 엔드 이펙터는 기판들을 지지하도록 구성된 복수의 암들을 포함할 수 있다.
[0013] 그러한 기술은 종래의 시스템들 및 기법들에 비해 많은 이익들을 제공할 수 있다. 예컨대, 이송 시스템들은, 기판 이송을 위한 회전 이동에 추가하여, 측방향 이송 능력들을 제공할 수 있다. 추가적으로, 이송 시스템들은 본 기술의 일부 실시예들에 따른 구성들을 이용하여 굽힘(bending), 회전 및 다른 모멘트들을 수용하거나 또는 제한할 수 있다. 이들 및 다른 실시예들은, 이들의 많은 장점들 및 특징들과 함께, 아래의 설명 및 첨부된 도면들과 함께 더 상세히 설명된다.
[0014] 개시되는 기술의 성질 및 장점들의 추가적인 이해는 도면들 및 본 명세서의 나머지 부분들을 참조함으로써 실현될 수 있다.
[0015] 도 1a는 본 기술의 일부 실시예들에 따른 예시적인 프로세싱 툴의 일 실시예의 개략적인 평면도를 도시한다.
[0016] 도 1b는 본 기술의 일부 실시예들에 따른 예시적인 프로세싱 시스템의 일 실시예의 개략적인 부분 단면도를 도시한다.
[0017] 도 2는 본 기술의 일부 실시예들에 따른 예시적인 기판 프로세싱 시스템의 이송 섹션의 개략적인 등각도를 도시한다.
[0018] 도 3은 본 기술의 일부 실시예들에 따른 예시적인 이송 장치의 개략적인 등각도를 도시한다.
[0019] 도 4는 본 기술의 일부 실시예들에 따른 예시적인 이송 장치의 개략적인 단면도를 도시한다.
[0020] 도 5는 본 기술의 일부 실시예들에 따른 예시적인 이송 장치의 개략적인 등각도를 도시한다.
[0021] 도 6은 본 기술의 일부 실시예들에 따른 예시적인 기판 프로세싱 시스템의 이송 섹션의 개략적인 입단면도를 도시한다.
[0022] 도면들 중 몇몇은 개략도들로서 포함된다. 도면들은 예시 목적들이며, 구체적으로 실측 또는 비율대로라고 언급되지 않는 한 실측 또는 비율대로인 것으로 간주되지 않아야 한다고 이해되어야 한다. 추가적으로, 개략도들로서, 도면들은 이해를 돕기 위해 제공되며, 현실적인 표현들과 비교하여 모든 양상들 또는 정보를 포함하는 것은 아닐 수 있으며, 예시 목적들로 과장된 자료를 포함할 수 있다.
[0023] 첨부된 도면들에서, 유사한 컴포넌트들 및/또는 특징들은 동일한 참조 라벨을 가질 수 있다. 추가로, 동일한 타입의 다양한 컴포넌트들은, 참조 라벨 다음에 유사한 컴포넌트들 사이를 구별하는 문자가 뒤따름으로써 구별될 수 있다. 본 명세서에서 첫 번째 참조 라벨만이 사용된다면, 설명은 문자에 관계 없이 동일한 첫 번째 참조 라벨을 갖는 유사한 컴포넌트들 중 임의의 한 컴포넌트에 적용가능하다.
[0024] 기판 프로세싱은 웨이퍼 또는 반도체 기판 상의 재료들을 추가, 제거 또는 달리 개질하기 위한 시간-집약적인 동작들을 포함할 수 있다. 기판의 효율적인 이동은 대기열 시간(queue time)들을 감소시키고 기판 스루풋을 개선할 수 있다. 클러스터 툴 내에서 프로세싱되는 기판들의 수를 개선하기 위해, 추가적인 챔버들이 메인프레임 상에 통합될 수 있다. 툴을 연장시킴으로써 이송 로봇들 및 프로세싱 챔버들이 계속 추가될 수 있지만, 이는 클러스터 툴의 풋프린트가 스케일링됨에 따라 공간 비효율적이 될 수 있다. 이에 따라서, 본 기술은 정의된 풋프린트 내에 증가된 수의 프로세싱 챔버들을 갖는 클러스터 툴들을 포함할 수 있다. 이송 로봇들을 중심으로 제한된 풋프린트를 수용하기 위해, 본 기술은 로봇으로부터 측방향 바깥쪽으로 프로세싱 챔버들의 수를 증가시킬 수 있다. 예컨대, 일부 종래의 클러스터 툴들은, 중심에 위치된 이송 로봇을 중심으로 반경방향으로 챔버들의 수를 최대화하기 위해, 이러한 중심에 위치된 이송 로봇의 섹션들 주위에 포지셔닝된 하나의 또는 2개의 프로세싱 챔버들을 포함할 수 있다. 본 기술은 챔버들의 다른 행 또는 그룹으로서 측방향 바깥쪽으로 추가적인 챔버들을 통합함으로써 이러한 개념을 확장시킬 수 있다. 예컨대, 본 기술은 하나 이상의 로봇 액세스 포지션들 각각에서 액세스가능한 3개, 4개, 5개, 6개 또는 그 초과의 프로세싱 챔버들을 포함하는 클러스터 툴들이 적용될 수 있다.
[0025] 그러나, 추가적인 프로세스 위치들이 추가됨에 따라, 중심 로봇으로부터 이들 위치들에 액세스하는 것은 각각의 위치에서의 추가적인 이송 능력들 없이는 더 이상 실현가능하지 않을 수 있다. 일부 종래의 기술들은, 전이(transition) 동안 기판들이 안착된 상태로 유지되는 웨이퍼 캐리어들을 포함할 수 있다. 그러나, 웨이퍼 캐리어들은 기판들 상의 열적 불균일성 및 입자 오염에 기여할 수 있다. 본 기술은, 추가적인 웨이퍼 포지션들에 액세스하기 위해 중심 로봇과 협력하여 동작할 수 있는 캐러셀(carousel) 또는 이송 장치, 그리고 프로세싱 챔버 구역들과 수직으로 정렬된 이송 섹션을 통합함으로써 이들 문제들을 극복한다. 본 기술은, 일부 실시예들에서 종래의 웨이퍼 캐리어들을 사용하지 않을 수 있고, 이송 구역 내에서 하나의 기판 지지부로부터 상이한 기판 지지부로 특정 웨이퍼들을 이송할 수 있다. 나머지 개시내용은 본 구조들 및 방법들이 이용될 수 있는 특정 구조들, 이를테면, 4-포지션 이송 구역들을 통상적으로 식별할 것이지만, 시스템들 및 방법들은 설명된 이송 능력들로부터 이익을 얻을 수 있는 임의의 수의 구조들 및 디바이스들에 동일하게 적용가능하다는 것이 용이하게 이해될 것이다. 이에 따라서, 본 기술은, 임의의 특정 구조들에만 사용되게 제한되는 것으로 간주되지 않아야 한다. 게다가, 예시적인 툴 시스템이 본 기술에 대한 기초를 제공하기 위해 설명될 것이지만, 본 기술은 설명될 시스템들 및 동작들 중 일부 또는 전부로부터 이익을 얻을 수 있는 임의의 수의 반도체 프로세싱 챔버들 및 툴들과 통합될 수 있다는 것이 이해되어야 한다.
[0026] 도 1a는 본 기술의 일부 실시예들에 따른, 증착, 에칭, 베이킹 및 경화 챔버들의 기판 프로세싱 툴 또는 프로세싱 시스템(100)의 일 실시예의 평면도를 도시한다. 도면에서, 한 세트의 전면-개방 통합 포드들(102)이 다양한 사이즈들의 기판들을 공급하며, 이러한 기판들은, 로봇 암들(104a 및 104b)에 의해 팩토리 인터페이스(103) 내에 수용되며, 그리고 챔버 시스템들 또는 쿼드 섹션들(109a-109c)에 포지셔닝된 기판 프로세싱 구역들(108) 중 하나에 전달되기 전에 로드 락 또는 저압 홀딩 영역(106) 내에 배치되며, 챔버 시스템들 또는 쿼드 섹션들(109a-109c)은 각각, 복수의 프로세싱 구역들(108)과 유체 커플링된 이송 구역을 갖는 기판 프로세싱 시스템일 수 있다. 쿼드 시스템이 예시되지만, 독립형 챔버들, 트윈 챔버들 및 다른 다수의 챔버 시스템들을 통합하는 플랫폼들이 본 기술에 의해 동일하게 포함되는 것으로 이해되어야 한다. 이송 챔버(112)에 하우징된 제2 로봇 암(110)은 기판 웨이퍼들을 홀딩 영역(106)으로부터 쿼드 섹션들(109)로 그리고 그 반대로 수송하기 위해 사용될 수 있고, 제2 로봇 암(110)은 쿼드 섹션들 또는 프로세싱 시스템들 각각과 연결될 수 있는 이송 챔버에 하우징될 수 있다. 각각의 기판 프로세싱 구역(108)은, 순환 층 증착, 원자 층 증착, 화학 기상 증착, 물리 기상 증착을 포함하는 임의의 수의 증착 프로세스들뿐만 아니라 에칭, 사전-세정, 어닐링, 플라즈마 프로세싱, 탈기, 배향 및 다른 기판 프로세스들을 포함하는 다수의 기판 프로세싱 동작들을 수행하도록 갖춰질 수 있다.
[0027] 각각의 쿼드 섹션(109)은, 제2 로봇 암(110)으로부터 기판들을 수용하고 기판들을 제2 로봇 암(110)에 전달할 수 있는 이송 구역을 포함할 수 있다. 챔버 시스템의 이송 구역은 제2 로봇 암(110)을 갖는 이송 챔버와 정렬될 수 있다. 일부 실시예들에서, 로봇이 이송 구역에 측방향으로 액세스가능할 수 있다. 후속 동작들에서, 이송 섹션들의 컴포넌트들은 상부(overlying) 프로세싱 구역들(108) 내로 기판들을 수직으로 병진시킬 수 있다. 유사하게, 이송 구역들은 또한, 각각의 이송 구역 내의 포지션들 사이에서 기판들을 회전시키도록 동작가능할 수 있다. 기판 프로세싱 구역들(108)은 기판 또는 웨이퍼 상에 재료 막을 증착, 어닐링, 경화 및/또는 에칭하기 위한 임의의 수의 시스템 컴포넌트들을 포함할 수 있다. 일 구성에서, 2개의 세트들의 프로세싱 구역들, 이를테면, 쿼드 섹션(109a 및 109b)의 프로세싱 구역들은 기판 상에 재료를 증착하기 위해 사용될 수 있고, 제3 세트의 프로세싱 챔버들, 이를테면, 쿼드 섹션(109c)의 프로세싱 챔버들 또는 구역들은 증착된 막들을 경화, 어닐링 또는 처리하기 위해 사용될 수 있다. 다른 구성에서, 3개의 세트들의 챔버들 전부, 이를테면, 예시된 12개의 챔버들 전부가 기판 상에 막을 증착 및/또는 경화시키도록 구성될 수 있다.
[0028] 도면에 예시된 바와 같이, 제2 로봇 암(110)은 다수의 기판들을 동시에 전달 및/또는 회수(retrieving)하기 위한 2개의 암들을 포함할 수 있다. 예컨대, 각각의 쿼드 섹션(109)은 제2 로봇 암과 측방향으로 정렬될 수 있는 이송 구역의 하우징의 표면을 따라 2개의 액세스들(107)을 포함할 수 있다. 액세스들은 이송 챔버(112)에 인접한 표면을 따라 정의될 수 있다. 예시된 바와 같은 일부 실시예들에서, 제1 액세스는 쿼드 섹션의 복수의 기판 지지부들 중 제1 기판 지지부와 정렬될 수 있다. 추가적으로, 제2 액세스는 쿼드 섹션의 복수의 기판 지지부들 중 제2 기판 지지부와 정렬될 수 있다. 일부 실시예들에서, 제1 기판 지지부는 제2 기판 지지부에 인접할 수 있고, 2개의 기판 지지부들은 기판 지지부들의 제1 행을 정의할 수 있다. 예시된 구성에 도시된 바와 같이, 기판 지지부들의 제2 행이 기판 지지부들의 제1 행 뒤에 이송 챔버(112)로부터 측방향 바깥쪽으로 포지셔닝될 수 있다. 제2 로봇 암(110)의 2개의 암들은, 이송 구역 내의 기판 지지부들에 하나의 또는 2개의 기판들을 전달하거나 또는 회수하기 위해, 2개의 암들이 쿼드 섹션 또는 챔버 시스템에 동시에 진입할 수 있게 하도록 이격될 수 있다.
[0029] 설명된 이송 구역들 중 임의의 하나 이상은 상이한 실시예들에 도시된 제작 시스템과 분리된 추가적인 챔버들과 통합될 수 있다. 재료 막들을 위한 증착, 에칭, 어닐링 및 경화 챔버들의 추가적인 구성들이 프로세싱 시스템(100)에 의해 고려된다는 것이 인식될 것이다. 추가적으로, 임의의 수의 다른 프로세싱 시스템들이 본 기술로 활용될 수 있으며, 본 기술은 기판 이동과 같은 특정 동작들 중 임의의 동작을 수행하기 위한 이송 시스템들을 통합할 수 있다. 일부 실시예들에서, 다양한 섹션들, 이를테면, 언급된 홀딩 및 이송 영역들에서 진공 환경을 유지하면서 다수의 프로세싱 챔버 구역들로의 액세스를 제공할 수 있는 프로세싱 시스템들은, 이산 프로세스들 사이에 특정 진공 환경을 유지하면서 다수의 챔버들에서 동작들이 수행될 수 있게 할 수 있다.
[0030] 도 1b는 본 기술의 일부 실시예들에 따른, 이를테면 챔버 시스템을 자른, 예시적인 프로세싱 툴의 일 실시예의 개략적인 입단면도를 도시한다. 도 1b는 임의의 쿼드 섹션(109) 내의 임의의 2개의 인접 프로세싱 구역들(108)을 자른 단면도를 예시할 수 있다. 입면도는 이송 구역(120)과 하나 이상의 프로세싱 구역들(108)의 구성 또는 유체 커플링을 예시할 수 있다. 예컨대, 연속적인 이송 구역(120)이 이송 구역 하우징(125)에 의해 정의될 수 있다. 하우징은 다수의 기판 지지부들(130)이 배치될 수 있는 개방 내부 볼륨을 정의할 수 있다. 예컨대, 도 1a에 예시된 바와 같이, 예시적인 프로세싱 시스템들은, 이송 구역 주위의 하우징 내에 분배된 복수의 기판 지지부들(130)을 포함하여, 4개 이상을 포함할 수 있다. 기판 지지부들은 예시된 바와 같이 페데스탈들일 수 있지만, 다수의 다른 구성들이 또한 사용될 수 있다. 일부 실시예들에서, 페데스탈들은 이송 구역(120)과 이송 구역 위에 놓인 프로세싱 구역들 사이에서 수직으로 병진가능할 수 있다. 기판 지지부들은 챔버 시스템 내의 제1 포지션과 제2 포지션 사이의 경로를 따라 기판 지지부의 중심 축을 따라 수직으로 병진가능할 수 있다. 이에 따라서, 일부 실시예들에서, 각각의 기판 지지부(130)는 하나 이상의 챔버 컴포넌트들에 의해 정의된 상부 프로세싱 구역(108)과 축방향으로 정렬될 수 있다.
[0031] 개방 이송 구역은, 캐러셀과 같은 이송 장치(135)가, 다양한 기판 지지부들 사이에서 기판들과 맞물리고 이를테면 회전식으로 이동하는 능력을 제공할 수 있다. 이송 장치(135)는 중심 축을 중심으로 회전가능할 수 있다. 이는 기판들이 프로세싱 시스템 내의 프로세싱 구역들(108) 중 임의의 프로세싱 구역 내에 프로세싱을 위해 포지셔닝되게 할 수 있다. 이송 장치(135)는, 기판 지지부들 주위에서의 이동을 위해 기판들의 위, 아래로부터 기판들과 맞물릴 수 있거나 또는 기판들의 외부 에지들과 맞물릴 수 있는 하나 이상의 엔드 이펙터들을 포함할 수 있다. 이송 장치는 이전에 설명된 로봇(110)과 같은 이송 챔버 로봇으로부터 기판들을 수용할 수 있다. 이어서, 이송 장치는 추가적인 기판들의 전달을 가능하게 하기 위해 기판들을 교번하는 기판 지지부들로 회전시킬 수 있다.
[0032] 일단 포지셔닝되고 프로세싱을 기다리고 있다면, 이송 장치는 기판 지지부들 사이에 엔드 이펙터들 또는 암들을 포지셔닝할 수 있으며, 이는 기판 지지부들이 이송 장치(135)를 지나 상승될 수 있게 하고, 이송 구역으로부터 수직으로 오프셋될 수 있는 프로세싱 구역들(108) 내로 기판들을 전달할 수 있게 한다. 예컨대, 그리고 예시된 바와 같이, 기판 지지부(130a)는 프로세싱 구역(108a) 내로 기판을 전달할 수 있는 한편, 기판 지지부(130b)는 프로세싱 구역(108b) 내로 기판을 전달할 수 있다. 이는 다른 2개의 기판 지지부들 및 프로세싱 구역들에 대해 발생할 뿐만 아니라, 추가적인 프로세싱 구역들이 포함되는 실시예들에서 추가적인 기판 지지부들 및 프로세싱 구역들에 대해 발생할 수 있다. 이러한 구성에서, 기판 지지부들은, 이를테면 제2 포지션에서 기판들을 프로세싱하기 위해 동작가능하게 맞물려질 때, 아래로부터 프로세싱 구역(108)을 적어도 부분적으로 정의할 수 있고, 프로세싱 구역들은 연관된 기판 지지부와 축방향으로 정렬될 수 있다. 프로세싱 구역들은 페이스플레이트(faceplate)(140)뿐만 아니라 다른 덮개 스택 컴포넌트들에 의해 위로부터 정의될 수 있다. 일부 실시예들에서, 각각의 프로세싱 구역은 개별적인 덮개 스택 컴포넌트들을 가질 수 있지만, 일부 실시예들에서 컴포넌트들은 다수의 프로세싱 구역들(108)을 수용할 수 있다. 이러한 구성에 기반하여, 일부 실시예들에서, 각각의 프로세싱 구역(108)은, 챔버 시스템 또는 쿼드 섹션 내의 각각의 다른 프로세싱 구역으로부터 위로부터 유체 격리되면서, 이송 구역과 유체 커플링될 수 있다.
[0033] 일부 실시예들에서, 페이스플레이트(140)는 프로세싱 구역(108) 내에 로컬 플라즈마를 생성하기 위한, 시스템의 전극으로서 동작할 수 있다. 예시된 바와 같이, 각각의 프로세싱 구역은 별개의 페이스플레이트를 활용하거나 또는 통합할 수 있다. 예컨대, 페이스플레이트(140a)는 프로세싱 구역(108a) 위로부터 정의하도록 포함될 수 있고, 페이스플레이트(140b)는 프로세싱 구역(108b) 위로부터 정의하도록 포함될 수 있다. 일부 실시예들에서, 기판 지지부는 페이스플레이트와 기판 지지부 사이에 용량-결합 플라즈마를 생성하기 위한 짝(companion) 전극으로서 동작할 수 있다. 펌핑 라이너(145)는 볼륨 기하학적 구조에 따라 측방향으로 또는 반경방향으로 프로세싱 구역(108)을 적어도 부분적으로 정의할 수 있다. 다시, 각각의 프로세싱 구역에 대해 별개의 펌핑 라이너들이 활용될 수 있다. 예컨대, 펌핑 라이너(145a)는 프로세싱 구역(108a)을 적어도 부분적으로 반경방향으로 정의할 수 있고, 펌핑 라이너(145b)는 프로세싱 구역(108b)을 적어도 부분적으로 반경방향으로 정의할 수 있다. 실시예들에서, 차단기 플레이트(150)는 덮개(155)와 페이스플레이트(140) 사이에 포지셔닝될 수 있고, 다시, 각각의 프로세싱 구역 내의 유체 분배를 가능하게 하기 위해 별개의 차단기 플레이트들이 포함될 수 있다. 예컨대, 차단기 플레이트(150a)는 프로세싱 구역(108a)을 향한 분배를 위해 포함될 수 있고, 차단기 플레이트(150b)는 프로세싱 구역(108b)을 향한 분배를 위해 포함될 수 있다.
[0034] 덮개(155)는 각각의 프로세싱 구역에 대한 별개의 컴포넌트일 수 있거나, 또는 하나 이상의 공통 양상들을 포함할 수 있다. 예시된 바와 같은 일부 실시예들에서, 덮개(155)는 개별적인 프로세싱 구역들로의 유체 전달을 위한 다수의 애퍼처들(160)을 정의하는 단일 컴포넌트일 수 있다. 예컨대, 덮개(155)는 프로세싱 구역(108a)으로의 유체 전달을 위한 제1 애퍼처(160a)를 정의할 수 있고, 덮개(155)는 프로세싱 구역(108b)으로의 유체 전달을 위한 제2 애퍼처(160b)를 정의할 수 있다. 추가적인 애퍼처들이 포함되는 경우 각각의 섹션 내의 추가적인 프로세싱 구역들에 대해 정의될 수 있다. 일부 실시예들에서, 각각의 쿼드 섹션(109) 또는 4개 초과의 또는 4개 미만의 기판들을 수용할 수 있는 다중-프로세싱-구역 섹션은 플라즈마 배출물들을 프로세싱 챔버 내로 전달하기 위한 하나 이상의 원격 플라즈마 유닛들(165)을 포함할 수 있다. 일부 실시예들에서, 각각의 챔버 프로세싱 구역에 대해 개별적인 플라즈마 유닛들이 통합될 수 있지만, 일부 실시예들에서는 더 적은 원격 플라즈마 유닛들이 사용될 수 있다. 예컨대, 예시된 바와 같이, 단일 원격 플라즈마 유닛(165)이 특정 쿼드 섹션에 대한 다수의 챔버들, 이를테면, 2개, 3개, 4개 또는 그 초과의 챔버들, 최대로 모든 챔버들에 사용될 수 있다. 본 기술의 실시예들에서 프로세싱 또는 세정을 위한 플라즈마 배출물들의 전달을 위해 파이핑이 원격 플라즈마 유닛(165)으로부터 각각의 애퍼처(160)까지 연장될 수 있다.
[0035] 언급된 바와 같이, 프로세싱 시스템(100), 또는 더 구체적으로는 프로세싱 시스템(100) 또는 다른 프로세싱 시스템들과 통합된 쿼드 섹션들 또는 챔버 시스템들은 예시된 프로세싱 챔버 구역들 아래에 포지셔닝된 이송 섹션들을 포함할 수 있다. 도 2는 본 기술의 일부 실시예들에 따른 예시적인 챔버 시스템(200)의 이송 섹션의 개략적인 등각도를 도시한다. 도 2는 위에서 설명된 이송 구역(120)의 추가적인 양상들 또는 양상들의 변화(variation)들을 예시할 수 있고, 설명된 컴포넌트들 또는 특성들 중 임의의 것을 포함할 수 있다. 예시된 시스템은, 다수의 컴포넌트들이 포함될 수 있는 이송 구역을 정의하는 이송 구역 하우징(205)을 포함할 수 있다. 이송 구역은 추가적으로, 이송 구역과 유체 커플링된 프로세싱 챔버들 또는 프로세싱 구역들, 이를테면, 도 1a의 쿼드 섹션들(109)에 예시된 프로세싱 챔버 구역들(108)에 의해 위로부터 적어도 부분적으로 정의될 수 있다. 이송 구역 하우징의 측벽은, 이를테면 위에서 논의된 바와 같은 제2 로봇 암(110)에 의해 기판들이 전달 및 회수될 수 있는 하나 이상의 액세스 위치들(207)을 정의할 수 있다. 액세스 위치들(207)은, 일부 실시예들에서 이송 영역 하우징(205) 내에 밀폐 환경을 제공하기 위한 도어들 또는 다른 밀봉 메커니즘들을 포함하는 슬릿 밸브들 또는 다른 밀봉가능 액세스 포지션들일 수 있다. 2개의 그러한 액세스 위치들(207)로 예시되지만, 일부 실시예들에서, 단지 단일 액세스 위치(207)뿐만 아니라 이송 구역 하우징의 다수의 측들의 액세스 위치들이 포함될 수 있다는 것이 이해되어야 한다. 예시된 이송 섹션은, 임의의 수의 기하학적 구조들 또는 형상들을 특징으로 하는 기판들을 포함하여, 200 mm, 300 mm, 450 mm 또는 더 큰 또는 더 작은 기판들을 포함하는 임의의 기판 사이즈를 수용하도록 사이즈가 정해질 수 있다는 것이 또한 이해되어야 한다.
[0036] 이송 구역 하우징(205) 내에는 이송 구역 볼륨 주위에 포지셔닝된 복수의 기판 지지부들(210)이 있을 수 있다. 4개의 기판 지지부들이 예시되지만, 임의의 수의 기판 지지부들이 본 기술의 실시예들에 의해 유사하게 포함되는 것으로 이해되어야 한다. 예컨대, 본 기술의 실시예들에 따라, 약 3개, 4개, 5개, 6개, 8개 또는 그 초과의 기판 지지부들(210)이 이송 구역들에 수용될 수 있다. 제2 로봇 암(110)은 액세스들(207)을 통해 기판 지지부들(210a 또는 210b) 중 어느 하나 또는 둘 모두에 기판을 전달할 수 있다. 유사하게, 제2 로봇 암(110)은 이들 위치들로부터 기판들을 회수할 수 있다. 리프트 핀들(212)은 기판 지지부들(210)로부터 돌출될 수 있고, 로봇이 기판들 아래에 액세스하게 할 수 있다. 리프트 핀들은 기판 지지부들 상에 고정될 수 있거나, 또는 기판 지지부들이 아래로 리세스될 수 있는 위치에 고정될 수 있거나, 또는 리프트 핀들은 추가적으로, 일부 실시예들에서 기판 지지부들을 관통하여 상승되거나 또는 하강될 수 있다. 기판 지지부들(210)은 수직으로 병진가능할 수 있고, 일부 실시예들에서, 이송 구역 하우징(205) 위에 포지셔닝된, 기판 프로세싱 시스템들의 프로세싱 챔버 구역들, 이를테면, 프로세싱 챔버 구역들(108)까지 연장될 수 있다.
[0037] 이송 구역 하우징(205)은, 예시된 바와 같이 이송 구역 하우징의 애퍼처를 통해 연장될 수 있으며 레이저, 카메라, 또는 인접 애퍼처를 통해 통과하거나 또는 돌출하는 다른 모니터링 디바이스와 함께 동작할 수 있으며 그리고 병진되고 있는 기판이 적절히 정렬되는지 여부를 결정할 수 있는 정렬기를 포함할 수 있는 정렬 시스템들에 대한 액세스(215)를 제공할 수 있다. 이송 구역 하우징(205)은 또한, 다양한 기판 지지부들 사이에서 기판들을 이동시키고 기판들을 포지셔닝하기 위해 다수의 방식들로 동작될 수 있는 이송 장치(220)를 포함할 수 있다. 일 예에서, 이송 장치(220)는 기판 지지부들(210a 및 210b) 상의 기판들을 기판 지지부들(210c 및 210d)로 이동시킬 수 있으며, 이는 추가적인 기판들이 이송 챔버 내로 전달되게 할 수 있다. 추가적인 이송 동작들은 상부 프로세싱 구역들에서의 부가적인 프로세싱을 위해 기판 지지부들 사이에서 기판들을 회전시키는 것을 포함할 수 있다.
[0038] 이송 장치(220)는 이송 챔버 내로 연장되는 하나 이상의 샤프트들을 포함할 수 있는 중심 허브(225)를 포함할 수 있다. 엔드 이펙터(235)가 샤프트와 커플링될 수 있다. 엔드 이펙터(235)는 중심 허브로부터 반경방향 또는 측방향 바깥쪽으로 연장되는 복수의 암들(237)을 포함할 수 있다. 암들이 연장되는 중심 바디로 예시되지만, 엔드 이펙터는 추가적으로, 다양한 실시예들에서 샤프트 또는 중심 허브와 각각 커플링된 별개의 암들을 포함할 수 있다. 임의의 수의 암들이 본 기술의 실시예들에 포함될 수 있다. 일부 실시예들에서, 암들(237)의 수는 챔버에 포함된 기판 지지부들(210)의 수와 유사하거나 또는 동일할 수 있다. 따라서, 예시된 바와 같이, 4개의 기판 지지부들의 경우, 이송 장치(220)는 엔드 이펙터로부터 연장되는 4개의 암들을 포함할 수 있다. 암들은 직선형 프로파일들 또는 아치형 프로파일들과 같은 임의의 수의 형상들 및 프로파일들을 특징으로 할 수 있을 뿐만 아니라, 기판을 지지하고 그리고/또는 이를테면 정렬 또는 맞물림을 위해 기판으로의 액세스를 제공하기 위한 후크들, 링들, 포크들 또는 다른 설계들을 포함하는 임의의 수의 원위 프로파일들을 포함할 수 있다.
[0039] 엔드 이펙터(235), 또는 엔드 이펙터의 컴포넌트들 또는 부분들은 이송 또는 이동 동안 기판들과 접촉하기 위해 사용될 수 있다. 이들 컴포넌트들뿐만 아니라 엔드 이펙터는 전도성 및/또는 절연성 재료들을 포함하는 다수의 재료들로 제조되거나 또는 이를 포함할 수 있다. 일부 실시예들에서, 재료들은 상부 프로세싱 챔버로부터 이송 챔버 내로 통과할 수 있는 전구체들 또는 다른 화학물들과의 접촉을 견디도록 코팅되거나 또는 도금될 수 있다.
[0040] 추가적으로, 재료들은 온도와 같은 다른 환경 특성들을 견디도록 제공되거나 또는 선택될 수 있다. 일부 실시예들에서, 기판 지지부들은 지지부 상에 배치된 기판을 가열하도록 동작가능할 수 있다. 기판 지지부들은 표면 또는 기판 온도를 약 100 ℃ 이상, 약 200 ℃ 이상, 약 300 ℃ 이상, 약 400 ℃ 이상, 약 500 ℃ 이상, 약 600 ℃ 이상, 약 700 ℃ 이상, 약 800 ℃ 이상, 또는 그 초과의 온도들로 증가시키도록 구성될 수 있다. 이들 온도들 중 임의의 온도가 동작들 동안 유지될 수 있고, 따라서 이송 장치(220)의 컴포넌트들은 이들 진술된 또는 포함된 온도들 중 임의의 온도에 노출될 수 있다. 결과적으로, 일부 실시예들에서, 재료들 중 임의의 재료가 이들 온도 체제(regime)들을 수용하도록 선택될 수 있고, 비교적 낮은 열 팽창 계수들 또는 다른 유익한 특성들을 특징으로 할 수 있는 세라믹들 및 금속들과 같은 재료들을 포함할 수 있다.
[0041] 컴포넌트 커플링들은 또한, 고온 및/또는 부식성 환경들에서의 동작을 위해 구성(adapt)될 수 있다. 예컨대, 엔드 이펙터들 및 단부 부분들이 각각 세라믹인 경우, 커플링은, 온도에 따라 팽창 및 수축될 수 있고 세라믹들에 균열을 유발할 수 있는 볼트들과 같은 추가적인 재료들을 포함하지 않을 수 있는 프레스 피팅들, 스냅 피팅들 또는 다른 피팅들을 포함할 수 있다. 일부 실시예들에서, 단부 부분들은 엔드 이펙터들과 연속적일 수 있고, 엔드 이펙터들과 모놀리식으로(monolithically) 형성될 수 있다. 동작 동안 저항(resistance) 또는 동작을 가능하게 할 수 있는 임의의 수의 다른 재료들이 활용될 수 있으며, 본 기술에 의해 유사하게 포함된다.
[0042] 이송 장치(220)는 다수의 방향들로의 엔드 이펙터의 이동을 가능하게 할 수 있는 다수의 컴포넌트들 및 구성들을 포함할 수 있으며, 이는 엔드 이펙터에 커플링될 수 있는 구동 시스템 컴포넌트들을 이용하여 하나 이상의 방식들로의 회전 이동뿐만 아니라 수직 이동 또는 측방향 이동을 가능하게 할 수 있다. 도 3은 본 기술의 일부 실시예들에 따른 예시적인 이송 장치(300)의 개략적인 등각도를 도시하지만, 설명될 회전, 수직 및/또는 측방향 이동을 제공하는 임의의 다른 구성들이 본 기술에 의해 유사하게 포함되는 것으로 이해되어야 한다.
[0043] 이송 장치(300)는, 하나 이상의 방식들로 이송 챔버 하우징과 커플링될 수 있는 베이스플레이트(305)를 포함할 수 있으며, 본 기술의 실시예들에서 베이스플레이트와 커플링되거나 또는 연관된 다양한 컴포넌트들을 갖는 중심 허브(225)로서 동작할 수 있다. 예컨대, 베이스플레이트(305)는 이전에 예시된 이송 구역 하우징(205)의 외부, 이를테면, 플랜지(307), 또는 베이스플레이트의 외부 부분과 커플링될 수 있다. 베이스플레이트(305)의 일부분이 이송 챔버 하우징의 베이스를 통해 연장되거나 또는 이를테면 이송 구역 하우징에 정의된 애퍼처를 통해 이송 챔버 하우징의 베이스 내에서 적어도 부분적으로 연장될 수 있으며, 일부 실시예들에서 이송 구역 하우징 내에 중심에 위치될 수 있다.
[0044] 샤프트(310)는 베이스플레이트(305)를 통해 이송 구역 볼륨 내로 연장될 수 있고, 이전에 설명된 바와 같이 엔드 이펙터를 지지할 수 있다. 엔드 이펙터는 이송 구역 내로 연장되는 샤프트(310)의 원위 단부와 커플링될 수 있다. 일부 실시예들에서, 베이스플레이트(305)는 이송 구역 하우징과 커플링된 유일한 컴포넌트일 수 있고, 이송 장치(300)의 다른 컴포넌트들은 이송 챔버 하우징과 제한된 접촉을 갖거나 또는 접촉을 갖지 않을 수 있다. 베이스플레이트(305)는 애퍼처(308)를 정의할 수 있고, 애퍼처(308)를 통해 샤프트(310)가 연장될 수 있다. 일부 실시예들에서, 애퍼처(308)는 이송 구역 하우징을 관통하는 애퍼처와 적어도 부분적으로 정렬될 수 있고, 애퍼처(308)는 아래에서 추가로 설명될 바와 같이 샤프트(310)의 일정량의 측방향 이동을 수용하도록 사이즈가 정해질 수 있다.
[0045] 측방향 병진 장치(315)가 베이스플레이트(305)와 커플링될 수 있으며, 측방향 병진 장치(315)는 이송 구역 하우징과 커플링된 표면에 대향하는, 베이스플레이트(305)의 표면 상에 커플링될 수 있다. 측방향 병진 장치(315)는 일부 실시예들에서 샤프트(310)를 관통하는 중심 축에 직교하는 평면을 따라 하나 이상의 방향들로의 이동을 제공하는 다수의 컴포넌트들을 포함할 수 있고, 베이스플레이트의 중심 애퍼처 내에서 샤프트의 측방향 이동을 가능하게 할 수 있다. 측방향 병진 장치(315)는 제1 스테이지(320)를 포함할 수 있으며, 제1 스테이지(320)의 일부분이 베이스플레이트(305)와 커플링될 수 있다. 제1 스테이지(320)는 아래에서 설명될 바와 같은 다수의 컴포넌트들을 포함할 수 있고, 샤프트(310)를 관통하는 중심 축에 수선(normal)인 제1 방향으로 스테이지와 커플링된 컴포넌트들을 이동시키기 위해 모터에 의해 구동될 수 있는 하나 이상의 가이드들을 포함할 수 있다.
[0046] 일부 실시예들에서, 측방향 병진 장치(315)는 또한, 제2 스테이지(325)를 포함할 수 있으며, 제2 스테이지(325)의 일부분이 제1 스테이지(320)와 커플링될 수 있다. 제2 스테이지(325)는 제1 스테이지(320)와 유사한 컴포넌트들을 포함할 수 있고, 일부 실시예들에서, 제1 스테이지(320)와 제2 스테이지(325)는 유사하거나 또는 동일할 수 있다. 스테이지들은 추가적인 병진 능력들을 가능하게 하기 위해 하나 이상의 방식들로 오프셋될 수 있다. 예컨대, 일부 실시예들에서, 제2 스테이지(325)는 샤프트(310)를 관통하는 중심 축에 수선인 제2 방향으로의 컴포넌트 이동을 제공할 수 있다. 제2 방향은 또한, 제1 방향으로부터 오프셋될 수 있고, 일부 실시예들에서, 제2 방향은 샤프트(310)를 관통하는 중심 축에 직교(orthogonal)하는 평면 내에서 제1 방향에 직교할 수 있다. 이에 따라서, 측방향 병진 장치(315)는, 샤프트(310) 및 샤프트와 커플링된 엔드 이펙터를 포함하는 이송 장치(300)의 컴포넌트들의 적어도 하나의 방향의 측방향 이동을 제공할 수 있다. 측방향 병진 장치의 양상들은 아래에서 추가로 논의될 것이다. 샤프트(310)의 측방향 이동은 프로세싱 챔버 내의 기판에 대한 증가된 제어를 제공할 수 있으며, 정확도를 보장하고 오정렬로 인한 기판에 대한 손상을 제한하기 위해, 기판 지지부로의 전달을 위한 기판 포지션의 보정을 가능하게 할 수 있다.
[0047] 일부 실시예들에서, 지지 구조(330)가 측방향 병진 장치(315)와 커플링될 수 있으며, 지지 구조(330)는 측방향 병진 장치(315)로부터 연장될 수 있다. 샤프트(310)는 원위 단부에서 측방향 병진 장치(315)를 통해 연장되어서 이송 구역 하우징 또는 다른 챔버 내로 연장될 수 있다. 샤프트(310)의 근위 단부는, 하나 이상의 방식들로, 샤프트(310) 및 커플링된 엔드 이펙터를 이동시키기 위한 하나 이상의 구동 시스템들과 커플링될 수 있다. 도 3은 샤프트(310)의 회전 이동을 생성하도록 구성된 제1 구동부(335)의 일 실시예를 예시한다. 제1 구동부(335)는 샤프트(310)의 중심 축을 중심으로 샤프트(310)의 회전을 생성하는 임의의 수의 구동부들 또는 모터들일 수 있다. 도면에 예시된 바와 같이, 일 실시예는, 샤프트(310) 주위로 연장되고 샤프트와 동심인 모터를 포함할 수 있다. 모터는 임의의 타입의 모터일 수 있고, 샤프트를 회전시키기 위해 다수의 방식들로 샤프트와 커플링될 수 있다. 하나의 비-제한적인 예로서, 프레임리스 모터가 샤프트 주위로 연장될 수 있고, 회전자가 샤프트와 자기적으로 커플링될 수 있거나 또는 샤프트와 커플링되는 다수의 베어링들을 통합할 수 있다. 임의의 다른 타입의 구동 시스템, 이를테면, 벨트-구동 시스템, 기어-구동 시스템, 또는 샤프트를 회전시키도록 구성된 다른 시스템이 사용될 수 있다.
[0048] 일부 실시예들에서, 하우징(340)은 하나 이상의 컴포넌트들 주위로 연장될 수 있고, 샤프트 주위로 적어도 부분적으로 연장될 수 있다. 일부 실시예들에서, 제1 구동부(335)는 하우징(340) 내에 포함될 수 있지만, 추가적인 실시예들은 아래에서 추가로 설명될 바와 같이 하우징 외부의 제1 구동부(335)의 양상들을 포함할 수 있다. 이송 장치(300)가 샤프트(310)의 회전 이동 및/또는 측방향 이동에 추가하여 수직 이동을 제공하는 일부 실시예들에서, 하우징(340)은 지지 구조(330)와 커플링될 수 있다. 일부 실시예들에서, 지지 구조(330)는 선형 가이드들 또는 레일들과 같은 하나 이상의 가이드들(345)을 포함할 수 있고, 하나 이상의 가이드들(345)을 따라 하우징(340)이 구동될 수 있다. 하나 이상의 브래킷들 또는 베어링들이 하우징(340)에 부착되어서, 하우징이 가이드들(345)을 따라 병진하고 가이드들(345)과 이동가능하게 커플링되게 할 수 있다. 이는 하우징 및 샤프트를 수직으로 병진시킬 수 있으며, 이는 이어서, 이송 장치와 기판 지지부들 사이에서 기판들과 맞물리게 하고 맞물림해제하는 것을 가능하게 하기 위해 엔드 이펙터의 수직 모션을 가능하게 할 수 있다. 제2 구동부(350)는 하우징(340) 및/또는 샤프트(310)와 커플링될 수 있으며, 제2 구동부(350)는 지지 구조(330) 상의 선형 가이드들을 따라 샤프트 및/또는 다른 컴포넌트들을 구동시키기 위한 임의의 수의 모터들 또는 재료들을 포함할 수 있다. 도 3에 예시된 바와 같이, 일부 실시예들에서, 제1 구동부 및 제2 구동부는 동작 동안 모멘트들을 제한하기 위해 샤프트와 수직으로 정렬될 수 있다. 예컨대, 예시된 바와 같이, 제2 구동부(350)는 지지 구조(330)의 베이스 상에 중심에 그리고 샤프트 및 제1 구동부 둘 모두와 일렬로 장착될 수 있다. 이는 이송 디바이스 및 연관된 컴포넌트들, 이를테면, 샤프트(310)에 대한 임의의 회전 또는 굽힘 모멘트들을 감소시키거나 또는 제한할 수 있다.
[0049] 도 4는 시스템의 추가적인 컴포넌트들을 예시할 수 있는, 본 기술의 일부 실시예들에 따른 예시적인 이송 장치(300)를 자른 개략적인 단면도를 도시한다. 단면도는 컴포넌트들 사이의 예시적인 커플링들뿐만 아니라 이송 장치(300)의 컴포넌트들의 정렬의 일 예를 예시할 수 있다. 예컨대, 도면은 샤프트(310)와 제1 구동부(335)의 동심 정렬과 함께 샤프트(310)와 제2 구동부(350)의 정렬을 도시한다.
[0050] 일부 실시예들에서, 이송 구역 ―이러한 이송 구역 내로 샤프트(310)가 연장될 수 있음― 은 진공 조건들 하에 있을 수 있다. 본 기술의 일부 실시예들은 진공 환경 외부의 구동 컴포넌트들 각각을 유지할 수 있다. 샤프트의 이동뿐만 아니라 상이한 환경 압력들 둘 모두를 수용하기 위해, 벨로우즈(355) 및 밀봉부(360)가 또한, 시스템 내에 통합될 수 있다. 벨로우즈(355)는 샤프트 주위로 연장될 수 있고, 샤프트(310)는 이송 구역에 진입하기 전에 원위 단부에서 벨로우즈를 통해 적어도 부분적으로 연장될 수 있다. 벨로우즈(355)는 베이스플레이트(305)를 관통하는 애퍼처와 축방향으로 정렬될 수 있으며, 베이스플레이트(305)를 통해 샤프트(310)가 연장될 수 있다. 벨로우즈(355)는, 이를테면 베이스플레이트(305)가 이송 구역 하우징과 커플링될 수 있는 제1 표면에 대향하는 제2 표면에서, 베이스플레이트(305)의 표면과 커플링될 수 있다. 벨로우즈(355)는 벨로우즈의 제1 단부(357)에서 베이스플레이트(305)와 고정식으로 커플링될 수 있다. 벨로우즈의 제2 단부(359)는 하우징(340)과 고정식으로 커플링되는 것을 포함하여 커플링될 수 있다. 립 밀봉부 또는 다른 밀봉 디바이스일 수 있는 밀봉부(360)는, 샤프트(310) 주위로 그리고 벨로우즈들에 인접하여 연장되어서 차동 압력(pressure differential)을 생성할 수 있으며, 여기서, 벨로우즈들을 통해 그리고 샤프트(310)의 원위 단부 주위에서 이송 구역 환경의 압력으로 유지될 수 있는 한편, 예컨대 이송 장치(300)의 다른 예시된 컴포넌트들은 더 높은 압력으로 유지될 수 있다. 이송 장치(300)의 컴포넌트들이 노출될 수 있는 온도들 때문에, 이러한 구성은 또한, 베이스플레이트(305)뿐만 아니라 하우징(340) 및 그 내부에 포함된 컴포넌트들을 냉각시키는 것을 가능하게 할 수 있으며, 이는 컴포넌트들에 대한 온도 영향들을 제한할 수 있다. 예컨대, 유체 냉각 재킷(fluid cooling jacketing)을 포함하는 재킷이 프로세싱 동작들 동안 컴포넌트들의 온도를 제한하기 위해 이들 컴포넌트들 중 어느 하나 주위로 연장될 수 있다. 추가적인 냉각이 유사하게 사용될 수 있으며, 본 기술에 의해 또한 포함된다.
[0051] 벨로우즈(355)는 하우징의 이동에 따라 플렉싱(flexing)함으로써 샤프트(310)의 수직 병진을 수용할 수 있다. 추가적으로, 벨로우즈(355)는 샤프트(310)의 측방향 이동을 수용하도록 특정하게 구성될 수 있다. 이전에 논의된 바와 같이, 측방향 병진 장치(315)는 베이스플레이트(305)와 커플링될 수 있다. 예시된 바와 같이, 측방향 병진 디바이스(315)의 제1 스테이지(320)는 또한, 선형 가이드들 또는 레일들을 포함할 수 있으며, 이러한 선형 가이드들 또는 레일들을 따라 스테이지가 이동할 수 있다. 예컨대, 제1 스테이지(320)의 레일들은 베이스플레이트(305)와 커플링될 수 있고, 제1 스테이지(320)는 이를테면 예시된 단면의 평면에 직교하는 제1 방향으로 이들 레일들을 따라 구동될 수 있다. 레일들을 따라 스테이지를 구동시키기 위해 모터가 제1 스테이지와 커플링될 수 있다. 유사하게, 제2 스테이지(325)는 제1 스테이지(320)와 커플링될 수 있고, 동일한 평면에서의, 그러나 제1 스테이지(320)에 직교하는 측방향 이동을 제공하기 위해, 제1 스테이지(320)로부터 이를테면 90도 회전될 수 있다. 제2 모터, 이를테면, 모터(327)가 제1 스테이지와 커플링될 수 있는 레일들을 따라 제2 스테이지를 구동시킬 수 있다. 지지 구조(330)는 제2 스테이지와 커플링될 수 있고, 따라서 제1 스테이지 및/또는 제2 스테이지의 동작은, 구조 또는 구조와 커플링된 다른 컴포넌트들과 커플링될 수 있는 연관된 컴포넌트들 각각을 이동시킬 수 있다. 따라서, 어느 한 스테이지의 이동은 베이스플레이트(305)를 관통하는 애퍼처 내에서 측방향으로 샤프트를 간접적으로 이동시킬 것이다.
[0052] 이동은 또한, 일부 실시예들에서 벨로우즈의 양상들을 적어도 부분적으로 이동시킬 수 있을 뿐만 아니라, 벨로우즈(355) 내에서 측방향으로 샤프트를 이동시킬 수 있다. 도시된 바와 같이, 제1 스테이지(320) 및 제2 스테이지(325) 둘 모두는 벨로우즈(355)가 연장될 수 있는 애퍼처를 정의할 수 있다. 이전에 언급된 바와 같이, 벨로우즈(355)는 벨로우즈의 제1 단부(357)에서 베이스플레이트(305)와 커플링될 수 있으며, 이러한 벨로우즈의 제1 단부(357)는 제1 스테이지 및/또는 제2 스테이지의 동작 동안 이동하지 않을 수 있다. 그러나, 하우징(340)과 커플링될 수 있는 벨로우즈(355)의 제2 단부(359)는, 하우징(340)을 포함하는 구조 및 연관된 컴포넌트들이 제1 스테이지 및 제2 스테이지와 함께 이동될 때 이동할 수 있다. 따라서, 벨로우즈(355)의 제2 단부(359)는 측방향으로 편향될 수 있고, 제1 단부(357)로부터 수직으로 오프셋될 수 있다. 샤프트(310)는 벨로우즈(355)의 제2 단부(359)와 중심 정렬을 유지할 수 있는데, 그 이유는 이들 컴포넌트들이 함께 이동할 수 있기 때문이다. 그러나, 샤프트(310)는 이들 이동들 동안 벨로우즈(355)의 제1 단부(357)의 내부 반경방향 에지들을 향해 이동할 수 있는데, 그 이유는 측방향 이동들 동안 제1 단부(357)의 포지션이 고정된 상태로 유지될 수 있기 때문이다. 결과적으로, 일부 실시예들에서, 제1 단부(357)에서의 벨로우즈(355)의 직경은, 샤프트(310)가 벨로우즈(355)와 접촉하지 않을 수 있음을 보장하기 위해, 샤프트(310) 및 벨로우즈(355)의 제2 단부의 측방향 이동을 수용하도록 사이즈가 정해질 수 있다. 벨로우즈(355)의 직경은 벨로우즈의 길이를 따라 일정하게 유지될 수 있거나, 또는 제2 단부(359)로부터 제1 단부(357)를 향해 퍼져서(flare), 이동을 수용할 수 있고 샤프트(310)와 벨로우즈의 측벽들 또는 단부들 사이의 접촉을 제한하거나 또는 방지할 수 있다.
[0053] 하나 이상의 방식들로 컴포넌트들과 커플링될 수 있는 제2 구동부(350)의 추가 세부사항들이 도 4에 추가적으로 예시된다. 예컨대, 제2 구동부(350)는 볼-스크루 모터, 또는 모터의 샤프트와 커플링된 컴포넌트의 수직 선형 병진을 제공할 수 있는 임의의 다른 모터를 포함할 수 있다. 예시된 바와 같이, 제2 구동부(350)는 지지 구조(330)의 베이스와 커플링될 수 있으며, 이를테면 볼 너트 또는 다른 전이 디바이스를 이용하여 하우징(340)을 통해 샤프트(310)와 커플링되어서, 너트 및 연관된 컴포넌트들, 이를테면, 하우징 및 샤프트가 수직으로는 병진하면서 회전은 고정된 상태로 유지하면서 구동 샤프트 또는 스크루의 회전을 가능하게 할 수 있다. 결과적으로, 이송 장치(300)는 샤프트(310)의 다수의 이동들을 포함하여 하나 이상의 이동들을 제공할 수 있으며, 이러한 하나 이상의 이동들은 제1 구동부(335)를 이용한 회전 이동, 제2 구동부(350)를 이용한 수직 이동, 및 측방향 병진 장치(315)를 이용한 측방향 이동 중 하나 이상을 포함할 수 있다.
[0054] 위에서 예시된 바와 같이 제1 구동부 및 제2 구동부의 축방향 정렬을 포함하지 않을 수 있는 이송 장치의 추가적인 구성들이 또한, 본 기술에 의해 포함된다. 도 5는 본 기술의 일부 실시예들에 따른 예시적인 이송 장치(400)의 개략적인 등각도를 도시한다. 이송 장치(400)는 하나 이상의 방식들로 이송 장치(300)와 유사할 수 있으며, 도면에 명시적으로 예시되지 않더라도, 이전에 설명된 컴포넌트들, 재료들 또는 구성들 중 임의의 것을 포함할 수 있으며, 위에서 설명된 이송 장치(300)의 일부 양상들을 추가로 예시할 수 있다.
[0055] 예컨대, 이송 장치(400)는 이전에 설명된 바와 같이 이송 구역 하우징과 커플링될 수 있는 베이스플레이트(405)를 포함할 수 있다. 베이스플레이트(405)는 중심 애퍼처 또는 일반적인 애퍼처(이 애퍼처를 통해, 샤프트(410)가 연장될 수 있음)를 정의할 수 있다. 엔드 이펙터는 이전에 설명된 바와 같이 샤프트(410)의 원위 단부와 커플링될 수 있다. 샤프트(410)는 또한, 벨로우즈(455)를 통해 연장될 수 있으며, 벨로우즈(455)는 측방향 병진 장치(415)를 관통하는 애퍼처들을 통해 연장될 수 있다. 측방향 병진 장치는 샤프트의 측방향 이동을 가능하게 하는 컴포넌트들을 갖는 하나 이상의 스테이지들을 포함할 수 있으며, 샤프트는 측방향 병진 장치와 간접 커플링될 수 있다. 일부 실시예들에서, 측방향 병진 장치(415)는 모터(422)에 의해 구동될 수 있는 제1 선형 방향으로의 측방향 이동을 제공하는 제1 스테이지(420)를 포함할 수 있다. 추가적으로, 일부 실시예들에서, 측방향 병진 장치(415)는, 제1 선형 방향과 동일한 평면에서의, 그리고 제1 선형 방향에 직교하는 제2 선형 방향으로의 측방향 이동을 제공하는 제2 스테이지(425)를 포함할 수 있다. 제2 스테이지(425)는 일부 실시예들에서 모터(427)에 의해 구동될 수 있다.
[0056] 이전에 논의된 바와 같은 가이드들 ―이러한 가이드들을 따라, 샤프트(410)와 함께 하우징(440)이 수직으로 병진될 수 있음― 을 포함할 수 있는 지지 구조(430)가 측방향 병진 장치(415)와 커플링될 수 있다. 도 5는, 제1 구동부(435)가 샤프트(410) 주위로 연장되지 않을 수 있고 하우징(440)으로부터 외부에 포지셔닝될 수 있는 실시예를 예시한다. 제1 구동부(435)는 샤프트(410)의 회전 이동을 제공하도록 하우징(440)을 통해 샤프트(410)와 여전히 커플링될 수 있지만, 샤프트(410) 회전을 위한 회전 능력들을 제공하는 상이한 모터, 이를테면, 서보 모터 또는 다른 모터가 포함될 수 있다. 제2 구동부(450)는 또한, 이전에 설명된 바와 같이 수직 병진 능력들을 제공하도록 일부 실시예들에 포함될 수 있다. 그러나, 일부 실시예들에서, 제2 구동부(450)는 제1 구동부(435)로부터 수직으로 오프셋될 수 있을 뿐만 아니라 측방향으로 오프셋될 수 있다. 제2 구동부(450)는 하우징(440) 또는 하우징(440)의 베어링들과 커플링되어서, 하우징(440)이 구조(430)의 가이드들을 따라 병진될 수 있게 할 수 있다. 예시된 바와 같은 일부 실시예들에서, 제2 구동부(450)는 샤프트(410)와 커플링되지 않을 수 있지만, 샤프트(410)가 포함될 수 있는 하우징(440)의 제2 구동부(450)를 이용한 이동은 여전히 샤프트(410)를 수직으로도 또한 병진시킬 수 있다. 이에 따라서, 프로세싱 시스템의 이송 구역 내에서의 기판들의 회전뿐만 아니라 수직 및/또는 측방향 이동을 가능하게 할 수 있는, 샤프트 및 연관된 엔드 이펙터의 다수의 이동들을 제공하기 위해, 다수의 구성들이 본 기술에 의해 수용될 수 있다.
[0057] 도 6은 본 기술의 일부 실시예들에 따른, 기판 프로세싱 시스템(500)의 예시적인 이송 구역의 개략적인 입단면도를 도시한다. 도 6은, 이전에 설명된 기판 핸들링 시스템들 또는 이송 챔버들 중 임의의 것에 포함될 수 있는 그리고 이전에 설명된 바와 같은 스태거링된 리프트 핀 구성을 예시한다. 예컨대, 이전에 설명된 리프트 핀들 중 임의의 리프트 핀은 예시된 바와 같은 스태거링된 높이 리프트 핀들을 포함할 수 있다. 기판 핸들링 시스템(500)은 이전에 설명된 실시예들 중 임의의 실시예의 컴포넌트들, 구성들 및 특성들 중 임의의 것을 포함할 수 있고, 유사하게, 임의의 이전에 설명된 시스템은 예시된 리프트 핀 구성을 포함할 수 있다. 시스템(500)은 챔버 내의 리프트 핀들(505)의 세트들 상에 개별적으로 포지셔닝된 복수의 기판들(501)을 포함할 수 있으며, 챔버는 이송 장치(520)를 또한 포함할 수 있으며, 이송 장치(520)는, 이송 장치로부터 연장되는 암들(535)을 포함하여, 이전에 설명된 이송 장치들 중 임의의 이송 장치의 특징들을 포함할 수 있다. 추가적으로, 이송 장치(520)는 암들(535)을 갖는 엔드 이펙터가 커플링될 수 있는 샤프트(522)를 포함할 수 있다. 샤프트(522)는 또한 이송 구역 하우징의 베이스의 애퍼처를 통해 연장될 수 있고, 예컨대 이송 장치(300) 및 이송 장치(400)의 구동 시스템들을 포함하여, 위에서 논의된 또는 달리 본 기술에 의해 포함되는 이송 장치 구동 시스템들 중 임의의 이송 장치 구동 시스템까지 연장될 수 있다.
[0058] 리프트 핀들(505)은 기판(501)을 전달하거나 또는 회수하기 위한 액세스가능성을 제공하기 위해 기판 지지부들(510)로부터 연장되는 핀들의 세트들일 수 있고, 각각의 세트는 기판을 수용하기 위한 임의의 수의 핀들을 포함할 수 있다. 예시된 바와 같이, 리프트 핀 세트들(505)은 4개의 상이한 높이들로 스태거링되며, 이는 기판들의 개별적인 전달 및 회수를 가능하게 할 수 있다. 예컨대, 리프트 핀들(505a)은 기판 지지부 위로 제1 수직 길이로 연장될 수 있다. 리프트 핀들(505b)은 단면으로 예시된 기판 지지부(510b) 위로 제2 수직 길이로 연장될 수 있고, 이는 리프트 핀들(505a)이 연장될 수 있는 기판 지지부를 숨길 수 있지만, 기판 지지부들은 일렬로 있을 수 있다. 제2 수직 길이는 도시된 바와 같이 제1 수직 길이보다 더 짧을 수 있다.
[0059] 추가적으로, 리프트 핀들(505c)은 기판 지지부(510c)로부터 제3 수직 길이로 연장될 수 있고, 제3 수직 길이는 제2 수직 길이보다 더 짧을 수 있다. 마지막으로, 리프트 핀들(505d)은, 기판 지지부(510c)에 의해 그리고 기판 지지부(510c)와 일렬로 숨겨질 수 있는 연관된 기판 지지부로부터 제4 수직 길이로 연장될 수 있다. 제4 수직 길이는 제3 수직 길이보다 더 짧을 수 있다. 리프트 핀 세트들의 높이들을 스태거링함으로써, 기판들의 전달 또는 회수 전에 각각의 기판에 대한 개별적인 조정들이 행해질 수 있다. 예컨대, 연관된 리프트 핀들 상에 배치되는 경우, 기판(501a)은 기판(501b) 위에서 액세스가능할 수 있고, 기판(501b)은 기판(501c) 위에서 액세스가능할 수 있으며, 기판(501c)은 기판(501d) 위에서 액세스가능할 수 있다.
[0060] 본 기술은, 이전에 설명된 바와 같이 중심에 위치된 이송 로봇들이 달리 액세스가능하지 않을 수 있는 추가적인 기판 지지부들을 수용할 수 있는 기판 프로세싱 시스템들을 포함한다. 본 기술의 실시예들에 따른 이송 장치들을 통합함으로써, 기판 프로세싱 동안 다수의 기판 지지부들이 활용 및 액세스될 수 있다. 이송 장치들이 위에서 설명된 바와 같은 구동 시스템들을 포함하는 경우, 회전 병진 및 수직 병진에 추가하여 측방향 병진이 제공될 수 있다. 추가적으로, 본 기술의 일부 실시예들에 따른 이송 장치 구성들은 시스템의 동작 동안 모멘트들을 제한하기 위해 하나 이상의 방식들로 컴포넌트들을 정렬시킬 수 있으며, 이는 프로세싱 시스템의 이송 구역 내에서의 전이 동안 기판들의 이동을 미세-조정하기 위한 추가적인 제어를 제공할 수 있다.
[0061] 이전의 설명에서, 설명의 목적들을 위해, 본 기술의 다양한 실시예들의 이해를 제공하기 위해서 많은 세부사항들이 제시되었다. 그러나, 특정 실시예들이 이들 세부사항들 중 일부 없이 또는 추가적인 세부사항들과 함께 실시될 수 있다는 것이 당업자에게 자명할 것이다.
[0062] 여러 실시예들을 개시하였지만, 실시예들의 사상을 벗어나지 않으면서 다양한 수정들, 대안적인 구성들 및 등가물들이 사용될 수 있다는 것이 당업자들에 의해 인식될 것이다. 추가적으로, 본 기술을 불필요하게 모호하게 하는 것을 회피하기 위해서, 다수의 잘 알려진 프로세스들 및 엘리먼트들은 설명되지 않았다. 이에 따라서, 위의 설명은 기술의 범위를 제한하는 것으로 간주되지 않아야 한다. 추가적으로, 방법들 또는 프로세스들은 순차적으로 또는 단계들로 설명될 수 있지만, 동작들은 동시에 또는 열거된 것과 상이한 순서들로 수행될 수 있다는 것이 이해되어야 한다.
[0063] 값들의 범위가 주어진 경우, 그러한 값들의 범위의 상위 한계값과 하위 한계값 사이에 존재하는 각각의 값은, 문맥상 달리 명백히 표시되어 있지 않은 한 하위 한계값의 최소 자릿수의 단 단위 값의 10분의 1까지 또한 구체적으로 기재된 것으로 해석된다. 명시된 범위 내의 임의의 명시된 값들 또는 그 범위에 속하는 명시되지 않은 값들과 그러한 명시된 범위내의 임의의 다른 명시된 값 또는 그 범위에 속하는 다른 값 사이에 존재하는 각각의 소범위가 포함된다. 이러한 소범위의 상위 한계값 및 하위 한계값은 독립적으로 그러한 범위에 포함되거나 그러한 범위에서 제외될 수 있고, 각각의 범위는, 상위 한계값과 하위 한계값 중 하나 또는 둘 모두가 그러한 소범위에 포함되든지, 둘 모두가 그러한 소범위에서 제외되는지 간에, 구체적으로 제외된 임의의 한계값이 명시된 범위에 있는 한, 또한 본 기술에 포함된다. 명시된 범위가 한계값들 중 하나 또는 둘 모두를 포함하는 경우, 그렇게 포함된 한계값들 중 하나 또는 둘 모두를 제외한 범위들이 또한 포함된다.
[0064] 본원에서 그리고 첨부된 청구항들에서 사용되는 바와 같이, 단수 형태들은, 문맥이 명확하게 달리 지시하지 않는 한, 복수의 언급들을 포함한다. 따라서, 예컨대, "기판"에 대한 언급은 복수의 그러한 기판들을 포함하고, "암"에 대한 언급은 당업자들에게 알려진 하나 이상의 암들 및 이들의 등가물들에 대한 언급을 포함하는 식이다.
[0065] 또한, 본 명세서에서 그리고 다음의 청구항들에서 사용되는 경우, "포함한다(comprise)", "포함하는(comprising)", "함유한다(contain)", "함유하는(containing)", "포함한다(include)", 그리고 "포함하는(including)"이란 단어들은 진술된 특징들, 인티저(integer)들, 컴포넌트들 또는 동작들의 존재를 특정하는 것으로 의도되지만, 이들은 하나 이상의 다른 특징들, 인티저들, 컴포넌트들, 동작들, 액트들 또는 그룹들의 존재 또는 추가를 배제하지 않는다.

Claims (15)

  1. 기판 프로세싱 시스템으로서,
    복수의 프로세싱 구역들과 유체 커플링된 이송 구역을 정의하는 이송 구역 하우징 ―상기 이송 구역 하우징의 측벽은 기판들을 제공 및 수용하기 위한 밀봉가능 액세스를 정의함―;
    상기 이송 구역 내에 배치된 복수의 기판 지지부들; 및
    이송 장치
    를 포함하며,
    상기 이송 장치는,
    상기 이송 구역 하우징을 통해 상기 이송 구역 내로 원위 단부에서 연장되는 샤프트를 포함하는 중심 허브,
    상기 이송 구역 하우징의 외부 표면과 커플링된 측방향 병진 장치 ―상기 측방향 병진 장치는 상기 샤프트의 적어도 하나의 방향의 측방향 이동을 제공하도록 구성됨―, 및
    상기 이송 구역 내에서 상기 샤프트의 상기 원위 단부에서 상기 샤프트와 커플링된 엔드 이펙터
    를 포함하며,
    상기 엔드 이펙터는 상기 복수의 기판 지지부들의 기판 지지부들의 수와 동일한 수의 암(arm)들을 갖는 복수의 암들을 포함하는,
    기판 프로세싱 시스템.
  2. 제1 항에 있어서,
    상기 샤프트와 커플링되고 상기 샤프트를 관통하는 중심 축을 중심으로 상기 샤프트를 회전시키도록 구성된 제1 구동부를 더 포함하며, 상기 제1 구동부는 상기 샤프트 주위로 연장되는 프레임리스(frameless) 모터를 포함하는,
    기판 프로세싱 시스템.
  3. 제2 항에 있어서,
    상기 샤프트와 커플링되고 상기 샤프트의 수직 병진을 제공하도록 구성된 제2 구동부를 더 포함하며, 상기 제1 구동부는 가이드들과 커플링된 하우징 내에 포함되고, 상기 가이드들을 따라, 상기 제2 구동부가 상기 하우징을 안내(direct)하며, 상기 제2 구동부는 상기 제1 구동부 및 상기 샤프트를 수직으로 병진시키는,
    기판 프로세싱 시스템.
  4. 제1 항에 있어서,
    상기 측방향 병진 장치는 제1 측방향으로 병진가능한 제1 스테이지를 포함하고, 상기 중심 허브는 상기 제1 스테이지와 커플링되는,
    기판 프로세싱 시스템.
  5. 제4 항에 있어서,
    상기 측방향 병진 장치는 제2 측방향으로 병진가능한 제2 스테이지를 포함하고, 상기 제2 스테이지는 상기 제1 스테이지와 수직으로 정렬되고 상기 제1 스테이지와 커플링되며, 상기 제2 측방향은 상기 제1 측방향에 직교(orthogonal)하는,
    기판 프로세싱 시스템.
  6. 제1 항에 있어서,
    벨로우즈(bellows)를 더 포함하며, 상기 벨로우즈를 통해 상기 샤프트가 연장되고, 상기 벨로우즈는 제1 단부에서 상기 이송 장치의 베이스플레이트와 고정식으로 커플링되며, 상기 벨로우즈는 상기 벨로우즈의 볼륨 내에서 상기 샤프트의 측방향 병진을 제공하도록 사이즈가 정해지는,
    기판 프로세싱 시스템.
  7. 이송 장치로서,
    중심 애퍼처를 정의하는 베이스플레이트;
    샤프트 ―상기 샤프트의 원위 단부가 상기 베이스플레이트의 상기 중심 애퍼처를 통해 적어도 부분적으로 연장됨―;
    상기 베이스플레이트와 커플링된 측방향 병진 장치 ―상기 측방향 병진 장치는, 상기 베이스플레이트의 상기 중심 애퍼처 내에서 상기 샤프트의 적어도 하나의 방향의 측방향 이동을 제공하도록 구성됨―;
    상기 베이스플레이트와 커플링되고 상기 베이스플레이트의 상기 중심 애퍼처와 축방향으로 정렬된 벨로우즈 ―상기 샤프트는 상기 벨로우즈를 통해 적어도 부분적으로 연장됨―; 및
    상기 샤프트와 커플링되고 상기 샤프트의 중심 축을 중심으로 상기 샤프트를 회전시키도록 구성된 제1 구동부
    를 포함하는,
    이송 장치.
  8. 제7 항에 있어서,
    상기 측방향 병진 장치와 커플링된 지지부를 더 포함하며, 상기 지지부는 상기 샤프트를 향하는, 상기 지지부의 표면을 따라 수직으로 연장되는 하나 이상의 가이드들을 포함하는,
    이송 장치.
  9. 제8 항에 있어서,
    상기 샤프트는 하우징 내에 적어도 부분적으로 포함되고, 상기 하우징은 상기 지지부의 상기 가이드들과 이동가능하게 커플링되는,
    이송 장치.
  10. 제9 항에 있어서,
    상기 벨로우즈의 제1 단부는 상기 베이스플레이트와 커플링되고, 상기 벨로우즈의 제2 단부는 상기 하우징과 커플링되는,
    이송 장치.
  11. 제9 항에 있어서,
    상기 지지부의 베이스와 커플링된 제2 구동부를 더 포함하며, 상기 제2 구동부는, 상기 지지부의 상기 가이드들을 따라 수직으로 상기 하우징을 구동시켜서 상기 샤프트의 수직 병진을 제공하도록 구성되는,
    이송 장치.
  12. 제11 항에 있어서,
    상기 제2 구동부는 상기 제1 구동부로부터 측방향으로 오프셋되고, 상기 제2 구동부는 상기 제1 구동부와 축방향으로 정렬되는,
    이송 장치.
  13. 제7 항에 있어서,
    상기 측방향 병진 장치는, 상기 베이스플레이트와 커플링되고 제1 측방향으로 병진가능한 제1 스테이지를 포함하며, 상기 벨로우즈는 상기 제1 스테이지를 통해 적어도 부분적으로 연장되는,
    이송 장치.
  14. 제13 항에 있어서,
    상기 측방향 병진 장치는 상기 제1 측방향에 직교하는 제2 측방향으로 병진가능한 제2 스테이지를 포함하고, 상기 제2 스테이지는 상기 제1 스테이지와 수직으로 정렬되고 상기 제1 스테이지와 커플링되며, 상기 벨로우즈는 상기 제2 스테이지를 통해 적어도 부분적으로 연장되는,
    이송 장치.
  15. 기판 프로세싱 시스템으로서,
    복수의 프로세싱 구역들과 유체 커플링된 이송 구역을 정의하는 이송 구역 하우징 ―상기 이송 구역 하우징의 측벽은 기판들을 제공 및 수용하기 위한 밀봉가능 액세스를 정의하고, 상기 이송 구역 하우징의 베이스가 애퍼처를 정의함―;
    상기 이송 구역 내에 배치된 복수의 기판 지지부들; 및
    이송 장치
    를 포함하며,
    상기 이송 장치는,
    중심 애퍼처를 정의하는 베이스플레이트 ―상기 베이스플레이트는 상기 이송 구역 하우징의 상기 베이스의 외부와 커플링되고, 상기 베이스플레이트의 상기 중심 애퍼처는 상기 이송 구역 하우징의 상기 베이스를 관통하는 상기 애퍼처 주위로 연장됨―;
    샤프트 ―상기 샤프트의 원위 단부가 상기 베이스플레이트의 상기 중심 애퍼처를 통해 적어도 부분적으로 연장됨―;
    상기 베이스플레이트와 커플링된 측방향 병진 장치 ―상기 측방향 병진 장치는, 상기 베이스플레이트의 상기 중심 애퍼처 내에서 상기 샤프트의 적어도 하나의 방향의 측방향 이동을 제공하도록 구성됨―;
    상기 베이스플레이트와 커플링되고 상기 베이스플레이트의 상기 중심 애퍼처와 축방향으로 정렬된 벨로우즈 ―상기 샤프트는 상기 벨로우즈를 통해 적어도 부분적으로 연장됨―; 및
    상기 이송 구역 내에서 상기 샤프트의 원위 단부에서 상기 샤프트와 커플링된 엔드 이펙터
    를 포함하며,
    상기 엔드 이펙터는 기판들을 지지하도록 구성된 복수의 암들을 포함하는,
    기판 프로세싱 시스템.
KR1020227004616A 2019-07-12 2020-07-08 동시 기판 이송을 위한 로봇 KR102629482B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020247002289A KR20240015150A (ko) 2019-07-12 2020-07-08 동시 기판 이송을 위한 로봇

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201962873480P 2019-07-12 2019-07-12
US62/873,480 2019-07-12
PCT/US2020/041156 WO2021011253A1 (en) 2019-07-12 2020-07-08 Robot for simultaneous substrate transfer

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020247002289A Division KR20240015150A (ko) 2019-07-12 2020-07-08 동시 기판 이송을 위한 로봇

Publications (2)

Publication Number Publication Date
KR20220025898A true KR20220025898A (ko) 2022-03-03
KR102629482B1 KR102629482B1 (ko) 2024-01-24

Family

ID=74102938

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020227004616A KR102629482B1 (ko) 2019-07-12 2020-07-08 동시 기판 이송을 위한 로봇
KR1020247002289A KR20240015150A (ko) 2019-07-12 2020-07-08 동시 기판 이송을 위한 로봇

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020247002289A KR20240015150A (ko) 2019-07-12 2020-07-08 동시 기판 이송을 위한 로봇

Country Status (6)

Country Link
US (2) US11117265B2 (ko)
JP (1) JP2022540841A (ko)
KR (2) KR102629482B1 (ko)
CN (1) CN114097070A (ko)
TW (2) TWI782293B (ko)
WO (1) WO2021011253A1 (ko)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11117265B2 (en) 2019-07-12 2021-09-14 Applied Materials, Inc. Robot for simultaneous substrate transfer
US11574826B2 (en) * 2019-07-12 2023-02-07 Applied Materials, Inc. High-density substrate processing systems and methods
KR20220031700A (ko) 2019-07-12 2022-03-11 어플라이드 머티어리얼스, 인코포레이티드 동시 기판 이송을 위한 로봇
CN114975210A (zh) * 2022-07-27 2022-08-30 江苏邑文微电子科技有限公司 晶圆加热转移装置和化学气相沉积设备

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20190164790A1 (en) * 2017-11-28 2019-05-30 Taiwan Semiconductor Manufacturing Co., Ltd. Systems and methods for wafer pod calibration

Family Cites Families (61)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5513948A (en) 1991-05-17 1996-05-07 Kensington Laboratories, Inc. Universal specimen prealigner
US5765444A (en) 1995-07-10 1998-06-16 Kensington Laboratories, Inc. Dual end effector, multiple link robot arm system with corner reacharound and extended reach capabilities
US5667592A (en) 1996-04-16 1997-09-16 Gasonics International Process chamber sleeve with ring seals for isolating individual process modules in a common cluster
US5855465A (en) 1996-04-16 1999-01-05 Gasonics International Semiconductor wafer processing carousel
JPH11163075A (ja) 1997-12-01 1999-06-18 Hitachi Ltd 半導体装置の製造方法および半導体製造装置
JP3863671B2 (ja) 1998-07-25 2006-12-27 株式会社ダイヘン 搬送用ロボット装置
US6716086B1 (en) * 1999-06-14 2004-04-06 Applied Materials Inc. Edge contact loadcup
US6156124A (en) * 1999-06-18 2000-12-05 Applied Materials, Inc. Wafer transfer station for a chemical mechanical polisher
KR100376963B1 (ko) 2001-03-15 2003-03-26 주성엔지니어링(주) 배치방식 웨이퍼 이송장치
US7281741B2 (en) 2001-07-13 2007-10-16 Semitool, Inc. End-effectors for handling microelectronic workpieces
US6729824B2 (en) 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system
US6962644B2 (en) 2002-03-18 2005-11-08 Applied Materials, Inc. Tandem etch chamber plasma processing system
US7101253B2 (en) * 2002-08-27 2006-09-05 Applied Materials Inc. Load cup for chemical mechanical polishing
DE102004036435B4 (de) 2003-08-07 2007-08-30 Nanophotonics Ag Haltevorrichtung für scheibenförmige Objekte
JP4951201B2 (ja) 2004-08-24 2012-06-13 株式会社Sen ビーム照射方法およびビーム照射装置
CN100358097C (zh) 2005-08-05 2007-12-26 中微半导体设备(上海)有限公司 半导体工艺处理系统及其处理方法
CN100362620C (zh) 2005-08-11 2008-01-16 中微半导体设备(上海)有限公司 半导体工艺件装卸装置及其装载和卸载方法
KR20070053538A (ko) 2005-11-21 2007-05-25 삼성전자주식회사 얼라인부를 갖는 웨이퍼 이송로봇
JP2007242648A (ja) 2006-03-04 2007-09-20 Masato Toshima 基板の処理装置
US8741096B2 (en) 2006-06-29 2014-06-03 Wonik Ips Co., Ltd. Apparatus for semiconductor processing
JP4698519B2 (ja) * 2006-07-31 2011-06-08 日東電工株式会社 半導体ウエハマウント装置
US9184072B2 (en) 2007-07-27 2015-11-10 Mattson Technology, Inc. Advanced multi-workpiece processing chamber
JP5253511B2 (ja) 2007-10-24 2013-07-31 オーツェー・エリコン・バルザース・アーゲー ワークピース製造方法及び装置
US8033769B2 (en) 2007-11-30 2011-10-11 Novellus Systems, Inc. Loadlock designs and methods for using same
CN101451237B (zh) 2007-11-30 2012-02-08 中微半导体设备(上海)有限公司 具有多个等离子体反应区域的包括多个处理平台的等离子体反应室
KR101394111B1 (ko) 2008-02-11 2014-05-13 (주)소슬 기판처리장치
US9312154B2 (en) 2009-04-21 2016-04-12 Applied Materials, Inc. CVD apparatus for improved film thickness non-uniformity and particle performance
JP5463367B2 (ja) 2009-12-28 2014-04-09 株式会社アルバック 駆動装置及び搬送装置
CN102439710B (zh) 2010-03-25 2017-03-29 应用材料公司 用于多个基材处理的分段基材负载
US20120063874A1 (en) 2010-09-15 2012-03-15 Applied Materials, Inc. Low profile dual arm vacuum robot
CN103493185A (zh) 2011-04-08 2014-01-01 应用材料公司 用于uv处理、化学处理及沉积的设备与方法
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US10224182B2 (en) 2011-10-17 2019-03-05 Novellus Systems, Inc. Mechanical suppression of parasitic plasma in substrate processing chamber
US9484233B2 (en) 2012-04-13 2016-11-01 Novellus Systems, Inc. Carousel reactor for multi-station, sequential processing systems
JP6285926B2 (ja) 2012-07-05 2018-02-28 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated ブーム駆動装置、マルチアームロボット装置、電子デバイス処理システム、および電子デバイス製造システムにおいて基板を搬送するための方法
US10363665B2 (en) 2012-07-10 2019-07-30 Persimmon Technologies Corporation Linear robot arm with multiple end effectors
US20140064886A1 (en) 2012-08-30 2014-03-06 Orbotech LT Solar, LLC. System, architecture and method for simultaneous transfer and process of substrates
WO2014085479A1 (en) * 2012-11-30 2014-06-05 Applied Materials, Inc Multi-axis robot apparatus with unequal length forearms, electronic device manufacturing systems, and methods for transporting substrates in electronic device manufacturing
US9449795B2 (en) 2013-02-28 2016-09-20 Novellus Systems, Inc. Ceramic showerhead with embedded RF electrode for capacitively coupled plasma reactor
US9991153B2 (en) 2013-03-14 2018-06-05 Applied Materials, Inc. Substrate support bushing
WO2014197537A1 (en) 2013-06-05 2014-12-11 Persimmon Technologies, Corp. Robot and adaptive placement system and method
US9299598B2 (en) 2013-12-23 2016-03-29 Lam Research Corp. Robot with integrated aligner
KR102647806B1 (ko) 2014-01-28 2024-03-15 브룩스 오토메이션 인코퍼레이티드 기판 이송 장치
KR102014279B1 (ko) 2014-02-27 2019-08-26 주식회사 원익아이피에스 기판 처리 장치
JP6338989B2 (ja) 2014-09-19 2018-06-06 東京エレクトロン株式会社 基板搬送方法
WO2016172003A1 (en) 2015-04-20 2016-10-27 Applied Materials, Inc. Buffer chamber wafer heating mechanism and supporting robot
KR20180006496A (ko) * 2015-06-05 2018-01-17 어플라이드 머티어리얼스, 인코포레이티드 서셉터 포지션 및 회전 장치, 및 사용 방법들
KR102417929B1 (ko) 2015-08-07 2022-07-06 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10428426B2 (en) 2016-04-22 2019-10-01 Applied Materials, Inc. Method and apparatus to prevent deposition rate/thickness drift, reduce particle defects and increase remote plasma system lifetime
KR101796647B1 (ko) 2016-05-03 2017-11-10 (주)에스티아이 기판처리장치 및 기판처리방법
KR101715887B1 (ko) 2016-05-19 2017-03-14 (주)앤피에스 기판 적재 장치, 이를 구비하는 기판 처리 장치 및 기판 처리 방법
US10651067B2 (en) 2017-01-26 2020-05-12 Brooks Automation, Inc. Method and apparatus for substrate transport apparatus position compensation
KR102329196B1 (ko) 2017-12-20 2021-11-22 주식회사 원익아이피에스 기판처리장치 및 이를 이용한 기판처리방법
US10109517B1 (en) 2018-01-10 2018-10-23 Lam Research Corporation Rotational indexer with additional rotational axes
US10943805B2 (en) 2018-05-18 2021-03-09 Applied Materials, Inc. Multi-blade robot apparatus, electronic device manufacturing apparatus, and methods adapted to transport multiple substrates in electronic device manufacturing
JP7149792B2 (ja) 2018-09-25 2022-10-07 東京エレクトロン株式会社 搬送装置、半導体製造装置及び搬送方法
US11637030B2 (en) * 2019-06-18 2023-04-25 Kla Corporation Multi-stage, multi-zone substrate positioning systems
US11443973B2 (en) * 2019-07-12 2022-09-13 Applied Materials, Inc. Robot for simultaneous substrate transfer
US11117265B2 (en) * 2019-07-12 2021-09-14 Applied Materials, Inc. Robot for simultaneous substrate transfer
WO2021011233A1 (en) * 2019-07-12 2021-01-21 Applied Materials, Inc. Robot for simultaneous substrate transfer
US11626303B2 (en) 2020-04-23 2023-04-11 Applied Materials, Inc. Compliance components for semiconductor processing system

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20190164790A1 (en) * 2017-11-28 2019-05-30 Taiwan Semiconductor Manufacturing Co., Ltd. Systems and methods for wafer pod calibration

Also Published As

Publication number Publication date
JP2022540841A (ja) 2022-09-20
US20220009106A1 (en) 2022-01-13
US20210008727A1 (en) 2021-01-14
US11117265B2 (en) 2021-09-14
TW202109717A (zh) 2021-03-01
TWI782293B (zh) 2022-11-01
KR102629482B1 (ko) 2024-01-24
WO2021011253A1 (en) 2021-01-21
CN114097070A (zh) 2022-02-25
KR20240015150A (ko) 2024-02-02
TW202312336A (zh) 2023-03-16
US11590662B2 (en) 2023-02-28

Similar Documents

Publication Publication Date Title
KR102629482B1 (ko) 동시 기판 이송을 위한 로봇
US11355367B2 (en) Robot for simultaneous substrate transfer
KR20220031700A (ko) 동시 기판 이송을 위한 로봇
US20230170231A1 (en) High-density substrate processing systems and methods
TWI746051B (zh) 用於半導體處理系統的多蓋結構
KR20220031701A (ko) 동시 기판 이송을 위한 로봇
WO2023027916A1 (en) Thermal choke plate
KR20230087601A (ko) 더 높은 처리량 및 더 빠른 전이 시간을 위한 반도체 프로세싱 챔버 아키텍처
US20220093426A1 (en) Movable semiconductor processing chamber for improved serviceability
WO2023049038A1 (en) Method of isolating the chamber volume to process volume with internal wafer transfer capability
KR20230084307A (ko) 대칭 라디오 주파수 귀환 경로를 제공하기 위한 동적 인터페이스

Legal Events

Date Code Title Description
E701 Decision to grant or registration of patent right
GRNT Written decision to grant