KR20210139299A - 성막 방법 - Google Patents

성막 방법 Download PDF

Info

Publication number
KR20210139299A
KR20210139299A KR1020217031444A KR20217031444A KR20210139299A KR 20210139299 A KR20210139299 A KR 20210139299A KR 1020217031444 A KR1020217031444 A KR 1020217031444A KR 20217031444 A KR20217031444 A KR 20217031444A KR 20210139299 A KR20210139299 A KR 20210139299A
Authority
KR
South Korea
Prior art keywords
film
gas
substrate
forming
sam
Prior art date
Application number
KR1020217031444A
Other languages
English (en)
Inventor
신이치 이케
슈지 아즈모
유미코 가와노
히로키 무라카미
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20210139299A publication Critical patent/KR20210139299A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising transition metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D1/00Processes for applying liquids or other fluent materials
    • B05D1/60Deposition of organic layers from vapour phase
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/01Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes on temporary substrates, e.g. substrates subsequently removed by etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D1/00Processes for applying liquids or other fluent materials
    • B05D1/32Processes for applying liquids or other fluent materials using means for protecting parts of a surface not to be coated, e.g. using stencils, resists
    • B05D1/322Removable films used as masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02244Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of a metallic layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02255Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

기판에 선택적으로 성막을 행하는 성막 방법은, 준비 공정과, 제1 제거 공정과, 제1 성막 공정과, 제2 성막 공정과, 산화 공정과, 제2 제거 공정을 포함한다. 준비 공정에서는, 표면에 금속막과 절연막이 노출되어 있는 기판이 준비된다. 제1 제거 공정에서는, 금속막 상의 자연 산화막이 제거된다. 제1 성막 공정에서는, 불소 및 탄소를 포함하는 관능기를 갖고, 티타늄 질화막의 성막을 억제하는 자기 조직화 단분자막을 성막하기 위한 화합물을 기판 상에 공급함으로써, 절연막 상에 자기 조직화 단분자막이 성막된다. 제2 성막 공정에서는, 금속막 상에 티타늄 질화막이 성막된다. 산화 공정에서는, 기판의 표면이 산화된다. 제2 제거 공정에서는, 기판의 표면에 자기 조직화 단분자막을 성막하기 위한 화합물을 공급함으로써, 금속막 상 및 자기 조직화 단분자막 상에 형성된 티타늄 산화막이 제거된다.

Description

성막 방법
본 개시의 다양한 측면 및 실시 형태는, 성막 방법에 관한 것이다.
반도체 디바이스의 제조에 있어서, 기판의 표면의 특정 영역에 선택적으로 막을 형성하는 기술로서, 포토그래피 기술이 널리 사용되고 있다. 예를 들어, 하층 배선 형성 후에 절연막을 성막하고, 포토리소그래피 및 에칭에 의해 트렌치 및 비아 홀을 갖는 듀얼 다마신 구조를 형성하고, 트렌치 및 비아 홀에 Cu 등의 도전막을 매립해서 배선을 형성한다.
그러나, 근년, 반도체 디바이스의 미세화가 점점 진행되고 있어, 포토리소그래피 기술로는 위치 정렬 정밀도가 충분하지 않은 경우도 생기고 있다.
이 때문에, 포토리소그래피 기술을 사용하지 않고, 기판의 표면의 특정 영역에, 선택적으로 막을 형성하는 방법이 요구되고 있다. 그러한 방법으로서, 막 형성을 요망하지 않는 기판의 표면의 영역에 자기 조직화 단분자막(Self-Assembled Monolayer: SAM)을 형성하고, SAM이 형성되어 있지 않은 기판의 표면의 영역에만 소정의 막을 형성하는 기술이 제안되어 있다(예를 들어 특허문헌 1 내지 4 및 비특허문헌 1 참조).
일본 특허 공표 제2007-501902호 공보 일본 특허 공표 제2007-533156호 공보 일본 특허 공표 제2010-540773호 공보 일본 특허 공표 제2013-520028호 공보
본 개시는, 선택 성막을 사용한 반도체 디바이스의 생산성을 향상시킬 수 있는 성막 방법을 제공한다.
본 개시의 일 측면은, 기판에 선택적으로 성막을 행하는 성막 방법이며, 준비 공정과, 제1 제거 공정과, 제1 성막 공정과, 제2 성막 공정과, 산화 공정과, 제2 제거 공정을 포함한다. 준비 공정에서는, 표면에 금속막과 절연막이 노출되어 있는 기판이 준비된다. 제1 제거 공정에서는, 금속막 상의 자연 산화막이 제거된다. 제1 성막 공정에서는, 불소 및 탄소를 포함하는 관능기를 갖고, 티타늄 질화막의 성막을 억제하는 자기 조직화 단분자막을 성막하기 위한 화합물을 기판 상에 공급함으로써, 절연막 상에 자기 조직화 단분자막이 성막된다. 제2 성막 공정에서는, 금속막 상에 티타늄 질화막이 성막된다. 산화 공정에서는, 기판의 표면이 산화된다. 제2 제거 공정에서는, 기판의 표면에 자기 조직화 단분자막을 성막하기 위한 화합물을 공급함으로써, 금속막 상 및 자기 조직화 단분자막 상에 형성된 티타늄 산화막이 제거된다.
본 개시의 다양한 측면 및 실시 형태에 따르면, 선택 성막을 사용한 반도체 디바이스의 생산성을 향상시킬 수 있다.
도 1은 본 개시의 일 실시 형태에서의 성막 시스템의 일례를 도시하는 모식도이다.
도 2는 본 개시의 일 실시 형태에서의 성막 방법의 일례를 나타내는 흐름도이다.
도 3은 준비 공정에서 준비되는 기판의 일례를 도시하는 단면도이다.
도 4는 금속막 상의 자연 산화막이 제거된 후의 기판의 일례를 도시하는 단면도이다.
도 5는 절연막 상에 SAM이 성막된 후의 기판의 일례를 도시하는 단면도이다.
도 6은 티타늄 질화막이 성막된 후의 기판의 일례를 도시하는 단면도이다.
도 7은 티타늄 질화막의 표면이 산화된 후의 기판의 일례를 도시하는 단면도이다.
도 8은 티타늄 산화막이 제거된 후의 기판의 일례를 도시하는 단면도이다.
이하에, 개시되는 성막 방법의 실시 형태에 대해서, 도면에 기초하여 상세하게 설명한다. 또한, 이하의 실시 형태에 의해, 개시되는 성막 방법이 한정되는 것은 아니다.
그런데, 종래의 선택 성막에서는, 표면에 금속막 및 절연막이 노출되어 있는 기판 상에 SAM이 공급되어, 절연막 상에 SAM이 형성된다. 그리고, 기판 상에 티타늄 질화막을 성막할 때, 절연막 상에의 티타늄 질화막의 성막이 SAM에 의해 억제되고, 금속막 상에 티타늄 질화막이 성막된다. 그러나, 금속막 상보다도 티타늄 질화막의 핵의 성장 속도가 느리지만, SAM 상에도 티타늄 질화막의 핵이 성장한다. 그 때문에, 티타늄 질화막의 성막을 계속하면, SAM 상에도 티타늄 질화막이 성막되어버린다. 그 때문에, 티타늄 질화막의 성막이 어느 정도 진행된 단계에서, SAM 상의 티타늄 질화막의 핵이 제거된다.
티타늄 질화막의 핵은, 예를 들어 기판의 표면에 불화수소를 공급함으로써 제거할 수 있다. 그러나, SAM은 불화수소에 의해 용이하게 분해되어버린다. 그 때문에, 기판의 표면에 불화수소가 공급됨으로써, 절연막 상의 SAM이 감소하여, SAM에서의 절연막 상에의 티타늄 질화막의 성막을 억제하는 기능이 저하되어버린다. 그 때문에, 티타늄 질화막의 핵이 제거된 후, 그대로 티타늄 질화막의 성막을 계속하면, 절연막 상에도 티타늄 질화막이 성막되기 쉬워져버린다.
그 때문에, 선택 성막을 행하기 위해서는, 불화수소에 의해 티타늄 질화막의 핵이 제거된 후, 절연막 상에 다시 SAM을 흡착시키는 처리를 행함으로써, SAM에 있어서의 절연막 상에의 티타늄 질화막의 성막을 억제하는 기능을 회복시킬 필요가 있다. 절연막의 표면에 SAM을 고밀도로 흡착시키는 처리에는 시간이 걸리기 때문에, 금속막에만 선택적으로 원하는 막 두께의 티타늄 질화막을 성막하는 처리 전체의 생산성의 향상이 요구되고 있다.
그래서, 본 개시는, 선택 성막을 사용한 반도체 디바이스의 생산성을 향상시킬 수 있는 기술을 제공한다.
[성막 시스템]
도 1은, 본 개시의 일 실시 형태에서의 성막 시스템(100)의 일례를 도시하는 모식도이다. 성막 시스템(100)은, 플라스마 처리 장치(200), SAM 공급 장치(300), 성막 장치(400) 및 산화 장치(500)를 갖는다. 이들 장치는, 평면 형상이 칠각형을 이루는 진공 반송실(101)의 4개의 측벽에 각각 게이트 밸브(G)를 통해서 접속되어 있다. 성막 시스템(100)은, 멀티 챔버 타입의 진공 처리 시스템이다. 진공 반송실(101) 내는, 진공 펌프에 의해 배기되어 소정의 진공도로 유지되어 있다. 성막 시스템(100)은, 플라스마 처리 장치(200), SAM 공급 장치(300), 성막 장치(400) 및 산화 장치(500)를 사용하여, 표면에 금속막 및 절연막이 노출되어 있는 기판(W)의 절연막에 티타늄 질화막을 선택적으로 성막할 수 있다.
플라스마 처리 장치(200)는, 플라스마에 의해 기판(W)의 금속막 표면의 자연 산화막을 제거하는 처리를 행한다. 본 실시 형태에 있어서, 플라스마 처리 장치(200)는, 예를 들어 수소 가스의 플라스마를 사용해서 기판(W)의 금속막 표면의 자연 산화막을 제거한다.
SAM 공급 장치(300)는, 기판(W)의 표면에 SAM을 형성하기 위한 유기 화합물 의 가스를 공급함으로써, 기판(W)의 절연막의 영역에 SAM을 성막한다. 또한, SAM 공급 장치(300)는, 산화 처리 후의 기판(W)에 대하여 SAM을 형성하기 위한 유기 화합물 가스를 공급함으로써, 티타늄 산화막의 제거를 행한다.
본 실시 형태에 있어서, SAM을 형성하기 위한 유기 화합물은, 불소 및 탄소를 포함하는 관능기를 갖고, 티타늄 질화막의 성막을 억제하는 기능을 갖는다. SAM을 형성하기 위한 유기 화합물은, 예를 들어 절연막의 표면에 흡착되는 결합성 관능기, 불소 및 탄소를 포함하는 기능성 관능기, 및 결합성 관능기와 기능성 관능기를 연결하는 알킬쇄를 갖는 유기 화합물이다. 이러한 유기 화합물로서는, 예를 들어 트리클로로실란계의 SAM, 메톡시실란계의 SAM, 및 에톡시실란계의 SAM 등을 사용할 수 있다. 트리클로로실란계의 SAM으로서는, 예를 들어 CF3(CF2)XCH2CH2SiCl3을 들 수 있다. 메톡시실란계의 SAM으로서는, 예를 들어 CF3(CF2)XCH2CH2Si(OCH3)3을 들 수 있다. 에톡시실란계의 SAM으로서는, 예를 들어 CF3(CF2)XCH2CH2Si(OCH2CH3)3을 들 수 있다. 또한, 상기한 화학식에서의 「X」는, 모두 0 내지 13의 정수이다.
성막 장치(400)는, 기판(W)의 금속막 상에 티타늄 질화막을 성막한다. 본 실시 형태에 있어서, 성막 장치(400)는, 원료 가스 및 반응 가스를 사용한 ALD(Atomic Layer Deposition)에 의해, 기판(W) 상에 티타늄 질화막을 성막한다. 원료 가스로서는, 예를 들어 TDMATi(테트라키스(디메틸아미노)티타늄)나 TEMATi(테트라키스(에틸메틸아미노)티타늄) 등의 유기 화합물의 가스나 TiCl4 가스 등을 사용할 수 있다. 반응 가스로서는, 예를 들어 NH3 가스 등을 사용할 수 있다.
산화 장치(500)는, 성막 장치(400)에 의해 티타늄 질화막이 성막된 후의 기판(W)의 표면을 산화하는 처리를 행한다. 본 실시 형태에 있어서, 기판(W)의 표면의 산화는, 예를 들어 기판(W) 표면에 H2O 가스를 공급함으로써 행하여진다. 또한, 기판(W)의 표면의 산화는, 예를 들어 기판(W) 표면에 H2O2 가스, O2 가스 또는 O3 가스를 공급함으로써 행하여져도 된다.
진공 반송실(101)의 다른 3개의 측벽에는, 3개의 로드 로크실(102)이 게이트 밸브(G1)를 통해서 접속되어 있다. 로드 로크실(102)을 사이에 두고 진공 반송실(101)의 반대측에는, 대기 반송실(103)이 마련되어 있다. 3개의 로드 로크실(102) 각각은, 게이트 밸브(G2)를 통해서 대기 반송실(103)에 접속되어 있다. 로드 로크실(102)은, 대기 반송실(103)과 진공 반송실(101)의 사이에서 기판(W)을 반송할 때, 대기압과 진공의 사이에서 압력 제어를 행한다.
대기 반송실(103)의 게이트 밸브(G2)가 마련된 측면과는 반대측의 측면에는, 기판(W)을 수용하는 캐리어(FOUP(Front-Opening Unified Pod) 등)(C)를 설치하기 위한 3개의 포트(105)가 마련되어 있다. 또한, 대기 반송실(103)의 측벽에는, 기판(W)의 얼라인먼트를 행하기 위한 얼라인먼트실(104)이 마련되어 있다. 대기 반송실(103) 내에는 청정 공기의 다운 플로우가 형성된다.
진공 반송실(101) 내에는, 로봇 암 등의 반송 기구(106)가 마련되어 있다. 반송 기구(106)는, 플라스마 처리 장치(200), SAM 공급 장치(300), 성막 장치(400), 산화 장치(500) 및 각각의 로드 로크실(102)의 사이에서 기판(W)을 반송한다. 반송 기구(106)는, 독립적으로 이동 가능한 2개의 암(107a 및 107b)을 갖는다.
대기 반송실(103) 내에는, 로봇 암 등의 반송 기구(108)가 마련되어 있다. 반송 기구(108)는, 각각의 캐리어(C), 각각의 로드 로크실(102) 및 얼라인먼트실(104)의 사이에서 기판(W)을 반송한다.
성막 시스템(100)은, 메모리, 프로세서 및 입출력 인터페이스를 갖는 제어 장치(110)를 갖는다. 메모리에는, 프로세서에 의해 실행되는 프로그램, 및 각 처리 조건 등을 포함하는 레시피가 저장되어 있다. 프로세서는, 메모리로부터 판독한 프로그램을 실행하고, 메모리 내에 기억된 레시피에 기초하여, 입출력 인터페이스를 통해서 성막 시스템(100)의 각 부를 제어한다.
[성막 방법]
도 2는, 본 개시의 일 실시 형태에서의 성막 방법의 일례를 나타내는 흐름도이다. 본 실시 형태에서는, 예를 들어 도 1에 도시된 성막 시스템(100)에 의해, 표면에 금속막 및 절연막이 노출되어 있는 기판(W)에 있어서, 금속막 상에 선택적으로 티타늄 질화막이 성막된다. 도 2의 흐름도로 나타낸 성막 방법은, 제어 장치(110)가 성막 시스템(100)의 각 부를 제어함으로써 실현된다. 이하에서는, 본 개시의 일 실시 형태에서의 성막 방법의 일례를, 도 3 내지 도 8을 참조하면서 설명한다.
먼저, 준비 공정이 실행된다(S10). 스텝 S10의 준비 공정에서는, 예를 들어 도 3에 도시된 바와 같이, 기재(10) 상에 금속막(11) 및 절연막(12)을 갖는 기판(W)이 준비된다. 도 3은, 준비 공정에서 준비되는 기판(W)의 일례를 도시하는 단면도이다. 본 실시 형태에 있어서, 기재(10)는 예를 들어 실리콘 등이며, 금속막(11)은, 예를 들어 아몰퍼스 실리콘, 구리, 텅스텐 또는 코발트 등의 막이며, 절연막(12)은, 예를 들어 실리콘 산화막, 실리콘 질화막 또는 스핀온 카본막 등이다. 금속막(11)의 표면에는, 기판(W)이 대기 중에서 반송되는 과정에서 자연 산화막(13)이 형성된다.
스텝 S10에서 준비된 기판(W)은, 캐리어(C)에 수용되어 포트(105)에 세트된다. 그리고, 반송 기구(108)에 의해 캐리어(C)로부터 취출되어, 얼라인먼트실(104)을 경유한 후에, 어느 것의 로드 로크실(102) 내에 반입된다. 그리고, 로드 로크실(102) 내가 진공 배기된 후, 반송 기구(106)에 의해 기판(W)이 로드 로크실(102)로부터 반출되어, 플라스마 처리 장치(200) 내에 반입된다.
이어서, 제1 제거 공정이 실행된다(S11). 스텝 S11의 제1 제거 공정에서는, 기판(W)이 반입된 플라스마 처리 장치(200) 내에서 예를 들어 수소 가스의 플라스마가 생성됨으로써, 기판(W)의 금속막(11)의 표면에 형성된 자연 산화막(13)이 제거된다. 이에 의해, 금속막(11)의 표면이 수소 원자로 종단된다. 스텝 S11의 제1 제거 공정에서의 주된 처리 조건은, 예를 들어 이하와 같다.
기판(W)의 온도: 100 내지 450℃
압력: 1 내지 10Torr
수소 가스의 유량: 100 내지 2000sccm
RF의 주파수: 450kHz 내지 13.56MHz
RF의 전력: 100 내지 500W
처리 시간: 10 내지 300초
이에 의해, 기판(W)의 상태는, 예를 들어 도 4와 같이 된다. 도 4는, 금속막(11) 상의 자연 산화막(13)이 제거된 후의 기판(W)의 일례를 도시하는 단면도이다. 스텝 S11의 처리가 실행된 후, 기판(W)은, 반송 기구(106)에 의해 플라스마 처리 장치(200)로부터 반출되어, SAM 공급 장치(300) 내에 반입된다.
이어서, 제1 성막 공정이 실행된다(S12). 스텝 S12의 제1 성막 공정에서는, 기판(W)이 반입된 SAM 공급 장치(300) 내에, SAM을 형성하기 위한 유기 화합물의 가스가 공급된다. SAM 공급 장치(300) 내에 공급된 유기 화합물의 분자는, 기판(W) 상에 있어서, 수소 원자로 종단된 금속막(11)의 표면에는 흡착되지 않고, OH기를 갖는 절연막(12)의 표면에 흡착되어, 절연막(12) 상에 SAM을 형성한다. 스텝 S12의 제1 성막 공정에서의 주된 처리 조건은, 예를 들어 이하와 같다.
기판(W)의 온도: 100 내지 250℃(바람직하게는 120℃)
압력: 1 내지 100Torr(바람직하게는 20Torr)
유기 화합물의 가스의 유량: 50 내지 500sccm(예를 들어 100sccm)
처리 시간: 10 내지 300초(예를 들어 180초)
이에 의해, 기판(W)의 상태는, 예를 들어 도 5와 같이 된다. 도 5는, 절연막(12) 상에 SAM(14)이 성막된 후의 기판(W)의 일례를 도시하는 단면도이다. 스텝 S12의 처리가 실행된 후, 기판(W)은, 반송 기구(106)에 의해 SAM 공급 장치(300)로부터 반출되어, 성막 장치(400) 내에 반입된다.
이어서, 제2 성막 공정이 실행된다(S13). 스텝 S13의 제2 성막 공정에서는, 기판(W)이 반입된 성막 장치(400)에 있어서, ALD에 의해 기판(W) 상에 티타늄 질화막이 적층된다. ALD에서는, 흡착 공정, 제1 퍼지 공정, 반응 공정 및 제2 퍼지 공정을 포함하는 사이클이 소정 횟수 반복된다.
흡착 공정에서는, 성막 장치(400) 내에, 예를 들어 TDMATi의 가스 등의 원료 가스가 공급된다. 이에 의해, 원료 가스의 분자가 금속막(11)의 표면에 화학 흡착된다. 단, 원료 가스의 분자는 SAM(14) 상에는 거의 흡착되지 않는다. 흡착 공정에서의 주된 처리 조건은, 예를 들어 이하와 같다.
기판(W)의 온도: 100 내지 250℃(바람직하게는 200℃)
압력: 1 내지 10Torr(바람직하게는 3Torr)
원료 가스의 유량: 10 내지 500sccm
처리 시간: 0.3 내지 10초
제1 퍼지 공정에서는, 질소 가스 등의 불활성 가스가 성막 장치(400) 내에 공급됨으로써, 금속막(11) 상에 과잉으로 흡착된 원료 가스의 분자가 제거된다. 제1 퍼지 공정에서의 주된 처리 조건은, 예를 들어 이하와 같다.
기판(W)의 온도: 100 내지 250℃(바람직하게는 200℃)
압력: 1 내지 10Torr(바람직하게는 3Torr)
불활성 가스의 유량: 1000 내지 6000sccm
처리 시간: 3 내지 30초
반응 공정에서는, 성막 장치(400) 내에, 예를 들어 NH3 가스 등의 반응 가스가 공급되어, 반응 가스의 분자와 금속막(11) 상에 흡착된 원료 가스의 분자가 반응하여, 금속막(11) 상에 티타늄 질화막이 성막된다. 이때, SAM(14) 상에는 거의 원료 가스의 분자가 존재하지 않으므로, SAM(14) 상에는 티타늄 질화막이 거의 성막되지 않는다. 반응 공정에서의 주된 처리 조건은, 예를 들어 이하와 같다.
기판(W)의 온도: 100 내지 250℃(바람직하게는 200℃)
압력: 1 내지 10Torr(바람직하게는 3Torr)
NH3 가스의 유량: 100 내지 2000sccm(예를 들어 1000sccm)
처리 시간: 0.3 내지 10초
제2 퍼지 공정에서는, 질소 가스 등의 불활성 가스가 성막 장치(400) 내에 공급됨으로써, 금속막(11) 상의 미반응의 원료 가스의 분자 등이 제거된다. 제2 퍼지 공정에서의 주된 처리 조건은, 상술한 제1 퍼지 공정에서의 처리 조건과 마찬가지이다.
흡착 공정, 제1 퍼지 공정, 반응 공정 및 제2 퍼지 공정을 포함하는 사이클이 소정 횟수 반복됨으로써, 예를 들어 도 6에 도시하는 바와 같이, 금속막(11) 상에 티타늄 질화막(15)이 성막된다. 도 6은, 티타늄 질화막(15)이 성막된 후의 기판(W)의 일례를 도시하는 단면도이다. 또한, 상기 사이클이 반복됨으로써, 예를 들어 도 6에 도시하는 바와 같이, SAM(14) 상에 티타늄 질화막의 핵(16)이 형성되는 경우가 있다.
SAM(14) 상에 티타늄 질화막의 핵(16)이 형성된 후에도, 상기 사이클이 반복되면, 핵(16)이 성장하여, 결국 SAM(14) 상에도 티타늄 질화막이 형성되어버린다. 이것을 방지하기 위해서, 핵(16)이 티타늄 질화막에 성장하기 전에, SAM(14) 상에 형성된 핵(16)을 제거할 필요가 있다. 스텝 S13의 처리가 실행된 후, 기판(W)은, 반송 기구(106)에 의해 성막 장치(400)로부터 반출되어, 산화 장치(500) 내에 반입된다.
이어서, 산화 공정이 실행된다(S14). 스텝 S14의 산화 공정에서는, 기판(W)이 반입된 산화 장치(500) 내에, H2O 가스 등의 산화 가스가 공급된다. 산화 가스에 의해 티타늄 질화막(15)의 표면이 산화된다. 스텝 S14의 산화 공정에서의 주된 처리 조건은, 예를 들어 이하와 같다.
기판(W)의 온도: 120 내지 350℃(바람직하게는 200℃)
압력: 0.5 내지 10Torr(바람직하게는 1Torr)
산화 가스의 유량: 10 내지 1000sccm
처리 시간: 1 내지 60초(예를 들어 30초)
도 7은, 티타늄 질화막(15)의 표면이 산화된 후의 기판(W)의 일례를 도시하는 단면도이다. 예를 들어 도 7에 도시하는 바와 같이, 티타늄 질화막(15)이 산화됨으로써 티타늄 질화막(15)의 표면에는 티타늄 산화막(17)이 형성된다. 또한, SAM(14) 상의 핵(16)도 산화되어 티타늄 산화막으로 변화한다. 여기서, 티타늄 산화막(17)은 티타늄 질화막(15)의 표면에만 형성된다. 한편, 각각의 핵(16)은 티타늄 질화막(15)보다도 작기 때문에, 핵(16) 전체가 산화되어, 티타늄 산화막으로 된다. 스텝 S14의 처리가 실행된 후, 기판(W)은, 반송 기구(106)에 의해 산화 장치(500)로부터 반출되어, 다시 SAM 공급 장치(300) 내에 반입된다.
이어서, 제2 제거 공정이 실행된다(S15). 스텝 S15의 제2 제거 공정에서는, 기판(W)이 반입된 SAM 공급 장치(300) 내에, SAM을 형성하기 위한 유기 화합물의 가스가 또한 공급된다. SAM 공급 장치(300) 내에 공급된 유기 화합물의 분자에는, 불소 및 탄소가 포함된다. 그 때문에, SAM 공급 장치(300) 내에 공급된 유기 화합물의 가스에 의해, 티타늄 질화막(15) 상의 티타늄 산화막(17)이 불화되어, 예를 들어 도 8에 도시하는 바와 같이, 휘발성의 불화티타늄 화합물로 되어서 티타늄 질화막(15) 상으로부터 이탈한다.
한편, SAM 공급 장치(300) 내에 공급된 유기 화합물의 가스에 의해, SAM(14) 상의 티타늄 산화막의 핵(16)도 불화되어, 휘발성의 불화티타늄 화합물로 되어서 SAM(14) 상으로부터 이탈한다. 이에 의해, 예를 들어 도 8에 도시하는 바와 같이, SAM(14) 상의 핵(16)이 제거된다. 스텝 S15의 제2 성막 공정에서의 주된 처리 조건은, 예를 들어 이하와 같다.
기판(W)의 온도: 120 내지 250℃(바람직하게는 200℃)
압력: 0.5 내지 10Torr(바람직하게는 1Torr)
유기 화합물의 가스의 유량: 50 내지 500sccm(예를 들어 100sccm)
처리 시간: 1 내지 60초(예를 들어 30초)
이어서, 스텝 S13 내지 S15가 소정 횟수 실행되었는지 여부가 판정된다(S16). 소정 횟수란, 금속막(11) 상에 소정의 두께의 티타늄 질화막(15)이 형성되는 횟수이다. 스텝 S13 내지 S15가 소정 횟수 실행되지 않은 경우(S16: "아니오"), 다시 스텝 S13에 나타내진 처리가 실행된다.
한편, 스텝 S13 내지 S15가 소정 횟수 실행되었을 경우(S16: "예"), 반송 기구(106)에 의해 기판(W)이 SAM 공급 장치(300)로부터 반출되어, 어느 것의 로드 로크실(102) 내에 반입된다. 그리고, 로드 로크실(102) 내가 대기압으로 되돌려진 후, 반송 기구(108)에 의해 기판(W)이 로드 로크실(102)로부터 반출되어, 캐리어(C)로 되돌려진다. 그리고, 본 흐름도로 나타낸 성막 방법이 종료된다.
여기서, SAM(14) 상의 핵(16)을 불화수소 등을 사용한 에칭에 의해 제거할 경우, 핵(16)은 제거되지만, SAM(14)도 대미지를 받아, SAM(14)을 구성하는 분자가 감소한다. 그 때문에, SAM(14) 상의 핵(16)이 제거된 후, 그대로 티타늄 질화막의 성막을 계속하면, 절연막(12) 상에 티타늄 질화막이 성막되기 쉬워져버린다. 그 때문에, 절연막(12) 상에의 티타늄 질화막의 성막을 억제하기 위해서는, SAM(14) 상의 핵(16)이 제거된 후, 절연막(12) 상에 다시 SAM(14)을 구성하는 분자를 흡착시키는 처리가 필요하게 된다. 이에 의해, 원하는 두께의 티타늄 질화막(15)을 선택적으로 적층시키는데 요하는 시간이 길어져, 기판(W)을 사용한 반도체 디바이스의 생산성의 향상이 어렵다.
이에 반해, 본 실시 형태에서는, 스텝 S15에서, SAM 공급 장치(300) 내에 공급된 유기 화합물의 가스에 의해 SAM(14) 상의 핵(16)이 제거되기 때문에, SAM(14) 상의 핵(16)이 제거될 때의 SAM(14)에의 대미지가 없다. 그 때문에, 절연막(12) 상에 다시 SAM(14)을 구성하는 분자를 흡착시키는 처리를 생략할 수 있다. 이에 의해, 원하는 두께의 티타늄 질화막(15)을 선택적으로 적층시키는데 요하는 시간을 짧게 할 수 있어, 기판(W)을 사용한 반도체 디바이스의 생산성을 향상시킬 수 있다.
이상, 일 실시 형태에 대해서 설명하였다. 상기한 바와 같이, 본 실시 형태에서의 성막 방법은, 기판(W)에 선택적으로 성막을 행하는 성막 방법이며, 준비 공정과, 제1 제거 공정과, 제1 성막 공정과, 제2 성막 공정과, 산화 공정과, 제2 제거 공정을 포함한다. 준비 공정에서는, 표면에 금속막(11)과 절연막(12)이 노출되어 있는 기판(W)이 준비된다. 제1 제거 공정에서는, 금속막(11) 상의 자연 산화막(13)이 제거된다. 제1 성막 공정에서는, 불소 및 탄소를 포함하는 관능기를 갖고, 티타늄 질화막의 성막을 억제하는 SAM(14)을 형성하기 위한 유기 화합물을 기판(W) 상에 공급함으로써, 절연막(12) 상에 SAM(14)이 성막된다. 제2 성막 공정에서는, 금속막(11) 상에 티타늄 질화막(15)이 성막된다. 산화 공정에서는, 기판(W)의 표면이 산화된다. 제2 제거 공정에서는, 기판(W)의 표면에 SAM(14)을 형성하기 위한 유기 화합물을 공급함으로써, 금속막(11) 상 및 SAM(14) 상에 형성된 티타늄 산화막이 제거된다. 이에 의해, 선택 성막을 사용한 반도체 디바이스의 생산성을 향상시킬 수 있다.
또한, 상기한 실시 형태에 있어서, SAM(14)을 형성하기 위한 유기 화합물은, 절연막(12)의 표면에 흡착되는 결합성 관능기와, 불소 및 탄소를 포함하는 기능성 관능기를 갖는 유기 화합물이다. SAM(14)을 형성하기 위한 유기 화합물은, 예를 들어 트리클로로실란계의 SAM, 메톡시실란계의 SAM, 및 에톡시실란계의 SAM 등을 사용할 수 있다. 트리클로로실란계의 SAM으로서는, 예를 들어 CF3(CF2)XCH2CH2SiCl3을 들 수 있다. 메톡시실란계의 SAM으로서는, 예를 들어 CF3(CF2)XCH2CH2Si(OCH3)3을 들 수 있다. 에톡시실란계의 SAM으로서는, 예를 들어 CF3(CF2)XCH2CH2Si(OCH2CH3)3을 들 수 있다. 또한, 상기한 화학식에서의 「X」는, 모두 0 내지 13의 정수이다. 이에 의해, 금속막(11) 상에는 SAM(14)이 형성되지 않고, 절연막(12) 상에 SAM(14)이 선택적으로 형성된다.
또한, 상기한 실시 형태에 있어서, 산화 공정에서는, H2O 가스, H2O2 가스, O2 또는 O3 가스를 기판(W)의 표면에 공급함으로써, 기판(W)의 표면이 산화된다. 이에 의해, SAM(14) 상의 티타늄 질화막의 핵(16)을 산화시킬 수 있고, 이어서 행하여지는 제2 제거 공정에서 SAM(14) 상의 핵(16)을 제거할 수 있다.
또한, 상기한 실시 형태에 있어서, 금속막(11)은, 아몰퍼스 실리콘, 구리, 텅스텐 또는 코발트의 막이며, 절연막(12)은, 실리콘 산화막, 실리콘 질화막 또는 스핀온 카본막이다. 이에 의해, 기판(W) 상에서의 티타늄 질화막의 선택 성막을 실현할 수 있다.
또한, 상기한 실시 형태에 있어서, 제1 제거 공정에서는, 플라스마에 의해 금속막(11) 상의 자연 산화막(13)이 제거된다. 이에 의해, SAM(14)이 금속막(11) 표면에 흡착되는 것을 억제할 수 있다.
[기타]
또한, 본원에 개시된 기술은, 상기한 실시 형태에 한정되는 것은 아니며, 그 요지의 범위 내에서 수많은 변형이 가능하다.
예를 들어, 상기한 실시 형태에 있어서, 스텝 S15의 제2 제거 공정에서는, 기판(W)이 반입된 SAM 공급 장치(300) 내에 유기 화합물의 가스를 공급함으로써, 티타늄 질화막(15) 상의 티타늄 산화막(17)과, SAM(14) 상의 핵(16)이 제거된다. 그러나, 개시의 기술은 이것에 한정되지 않는다. 예를 들어, 스텝 S15의 제2 제거 공정에서는, 기판(W)이 반입된 SAM 공급 장치(300) 내에, SAM(14)을 형성하기 위한 유기 화합물 가스에 더하여, 불소 함유 가스가 공급되어도 된다. 불소 함유 가스는, 예를 들어 불화수소 가스, 사불화탄소 가스, 모노플루오로메탄 가스, 디플루오로메탄 가스, 트리플루오로메탄 가스, 육불화에탄 가스, 팔불화프로판 가스, 팔불화시클로부탄 가스, 헥사플루오로-1,3-부타디엔 가스, 육불화황 가스, 삼불화질소 가스 및 삼불화염소 가스 중에서 선택되는 적어도 하나의 가스이다. 이에 의해, 스텝 S15의 제2 제거 공정에 요하는 시간을 단축할 수 있다.
여기서, SAM(14)이 형성된 기판(W)의 표면에 불소 함유 가스가 공급되면, SAM(14)을 구성하는 일부 분자가 분해된다. 그러나, SAM 공급 장치(300) 내에는, 불소 함유 가스 이외에, SAM(14)을 형성하기 위한 유기 화합물의 가스도 공급되어 있다. 그 때문에, SAM(14)을 구성하는 일부 분자가 분해되어도, 즉시 보충되기 때문에, SAM(14)에 있어서의 티타늄 질화막의 적층을 억제하는 기능은 유지된다.
또한, 상기한 실시 형태에 있어서, 스텝 S11의 제1 제거 공정에서는, 수소 가스의 플라스마를 사용해서 금속막(11)의 표면에 형성된 자연 산화막(13)이 제거되었지만, 개시의 기술은 이것에 한정되지 않는다. 예를 들어, 불화수소산 등을 사용한 습식 에칭에 의해, 금속막(11)의 표면에 형성된 자연 산화막(13)이 제거되어도 된다.
또한, 상기한 실시 형태에 있어서, 스텝 S13의 제2 성막 공정에서는, ALD에 의해 기판(W) 상에 티타늄 질화막이 적층되었지만, 개시의 기술은 이것에 한정되지 않는다. 다른 예로서, 티타늄 질화막은, CVD(Chemical Vapor Deposition)에 의해 기판(W) 상에 성막되어도 된다.
또한, 상기한 실시 형태에 있어서, 성막 시스템(100)에는, 플라스마 처리 장치(200), SAM 공급 장치(300), 성막 장치(400) 및 산화 장치(500)가 각각 1대씩 마련되지만, 개시의 기술은 이것에 한정되지 않는다. 예를 들어, 성막 시스템(100)에는, 가장 시간이 걸리는 처리를 행하는 장치가 복수 마련되고, 그 이외의 처리에 대해서는, 1대의 장치로 실현하도록 해도 된다. 예를 들어, 스텝 S12 및 S15의 처리에 시간이 걸릴 경우, 스텝 S12 및 S15의 처리를 행하는 SAM 공급 장치(300)가 복수 마련되고, 스텝 S11, S13 및 S14의 처리를 행하는 장치가 1대 마련되어도 된다. 이에 의해, 복수의 기판(W)을 처리하는 경우의 처리의 대기 시간을 삭감할 수 있다.
또한, 금회 개시된 실시 형태는 모든 점에서 예시이며 제한적인 것은 아니라고 생각되어야 한다. 실제로, 상기한 실시 형태는 다양한 형태로 구현될 수 있다. 또한, 상기 실시 형태는, 첨부의 특허 청구 범위 및 그 취지를 일탈하지 않고, 다양한 형태에서 생략, 치환, 변경되어도 된다.
C: 캐리어
G: 게이트 밸브
W: 기판
10: 기재
11: 금속막
12: 절연막
13: 자연 산화막
14: SAM
15: 티타늄 질화막
16: 핵
17: 티타늄 산화막
100: 성막 시스템
101: 진공 반송실
102: 로드 로크실
103: 대기 반송실
104: 얼라인먼트실
105: 포트
106: 반송 기구
107: 암
108: 반송 기구
110: 제어 장치
200: 플라스마 처리 장치
300: SAM 공급 장치
400: 성막 장치
500: 산화 장치

Claims (8)

  1. 기판에 선택적으로 성막을 행하는 성막 방법에 있어서,
    표면에 금속막과 절연막이 노출되어 있는 기판을 준비하는 준비 공정과,
    상기 금속막 상의 자연 산화막을 제거하는 제1 제거 공정과,
    불소 및 탄소를 포함하는 관능기를 갖고, 티타늄 질화막의 성막을 억제하는 자기 조직화 단분자막을 성막하기 위한 화합물을 상기 기판 상에 공급함으로써, 상기 절연막 상에 상기 자기 조직화 단분자막을 성막하는 제1 성막 공정과,
    상기 금속막 상에 티타늄 질화막을 성막하는 제2 성막 공정과,
    상기 기판의 표면을 산화하는 산화 공정과,
    상기 기판의 표면에 상기 화합물을 공급함으로써, 상기 금속막 상 및 상기 자기 조직화 단분자막 상에 형성된 티타늄 산화막을 제거하는 제2 제거 공정
    을 포함하는 성막 방법.
  2. 제1항에 있어서, 상기 화합물은, 상기 절연막의 표면에 흡착되는 결합성 관능기와, 불소 및 탄소를 포함하는 기능성 관능기를 갖는, 성막 방법.
  3. 제2항에 있어서, 상기 화합물은, 트리클로로실란계, 메톡시실란계 또는 에톡시실란계의 자기 조직화 단분자막 재료인, 성막 방법.
  4. 제1항 내지 제3항 중 어느 한 항에 있어서, 상기 산화 공정에서는, H2O 가스, H2O2 가스, O2 가스 또는 O3 가스를 상기 기판의 표면에 공급함으로써, 상기 기판의 표면이 산화되는, 성막 방법.
  5. 제1항 내지 제4항 중 어느 한 항에 있어서, 상기 금속막은, 아몰퍼스 실리콘, 구리, 텅스텐 또는 코발트의 막이며,
    상기 절연막은, 실리콘 산화막, 실리콘 질화막 또는 스핀온 카본막인, 성막 방법.
  6. 제1항 내지 제5항 중 어느 한 항에 있어서, 상기 제1 제거 공정에서는, 플라스마에 의해 상기 금속막 상의 자연 산화막이 제거되는, 성막 방법.
  7. 제1항 내지 제6항 중 어느 한 항에 있어서, 상기 제2 제거 공정에서는, 상기 화합물에 더하여, 불소 함유 가스를 상기 기판의 표면에 공급함으로써, 상기 금속막 상 및 상기 자기 조직화 단분자막 상에 형성된 티타늄 산화막을 제거하는, 성막 방법.
  8. 제7항에 있어서, 상기 불소 함유 가스는, 불화수소 가스, 사불화탄소 가스, 모노플루오로메탄 가스, 디플루오로메탄 가스, 트리플루오로메탄 가스, 육불화에탄 가스, 팔불화프로판 가스, 팔불화시클로부탄 가스, 헥사플루오로-1,3-부타디엔 가스, 육불화황 가스, 삼불화질소 가스 및 삼불화염소 가스 중에서 선택되는 적어도 하나의 가스인, 성막 방법.
KR1020217031444A 2019-03-13 2020-02-28 성막 방법 KR20210139299A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JPJP-P-2019-046077 2019-03-13
JP2019046077A JP7109397B2 (ja) 2019-03-13 2019-03-13 成膜方法
PCT/JP2020/008224 WO2020184212A1 (ja) 2019-03-13 2020-02-28 成膜方法

Publications (1)

Publication Number Publication Date
KR20210139299A true KR20210139299A (ko) 2021-11-22

Family

ID=72426024

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020217031444A KR20210139299A (ko) 2019-03-13 2020-02-28 성막 방법

Country Status (4)

Country Link
US (1) US11830741B2 (ko)
JP (1) JP7109397B2 (ko)
KR (1) KR20210139299A (ko)
WO (1) WO2020184212A1 (ko)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2022055462A (ja) * 2020-09-29 2022-04-08 東京エレクトロン株式会社 成膜方法及び成膜装置
JP2022091523A (ja) * 2020-12-09 2022-06-21 東京エレクトロン株式会社 成膜方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007501902A (ja) 2003-05-09 2007-02-01 エーエスエム アメリカ インコーポレイテッド 化学的不活性化を通じたリアクタ表面のパシベーション
JP2007533156A (ja) 2004-04-19 2007-11-15 フリースケール セミコンダクター インコーポレイテッド 金属からなるゲート電極を形成するための方法
JP2010540773A (ja) 2007-09-26 2010-12-24 イーストマン コダック カンパニー 無機材料の選択領域堆積法
JP2013520028A (ja) 2010-02-17 2013-05-30 エーエスエム アメリカ インコーポレイテッド 蒸着に対する反応部位の不活性化

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10358715B2 (en) 2016-06-03 2019-07-23 Applied Materials, Inc. Integrated cluster tool for selective area deposition
US10068764B2 (en) 2016-09-13 2018-09-04 Tokyo Electron Limited Selective metal oxide deposition using a self-assembled monolayer surface pretreatment
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
JP6832776B2 (ja) 2017-03-30 2021-02-24 東京エレクトロン株式会社 選択成長方法
US11584986B1 (en) * 2017-11-01 2023-02-21 The Board Of Trustees Of The University Of Illinois Area selective CVD of metallic films using precursor gases and inhibitors
US10892161B2 (en) * 2017-11-14 2021-01-12 Applied Materials, Inc. Enhanced selective deposition process
TWI810808B (zh) * 2017-12-22 2023-08-01 美商應用材料股份有限公司 在導電表面上沉積阻擋層的方法
US10332747B1 (en) * 2018-01-24 2019-06-25 Globalfoundries Inc. Selective titanium nitride deposition using oxides of lanthanum masks
KR102515131B1 (ko) * 2018-04-13 2023-03-29 어플라이드 머티어리얼스, 인코포레이티드 선택적 원자 층 증착 방법들
US20200347493A1 (en) * 2019-05-05 2020-11-05 Applied Materials, Inc. Reverse Selective Deposition

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007501902A (ja) 2003-05-09 2007-02-01 エーエスエム アメリカ インコーポレイテッド 化学的不活性化を通じたリアクタ表面のパシベーション
JP2007533156A (ja) 2004-04-19 2007-11-15 フリースケール セミコンダクター インコーポレイテッド 金属からなるゲート電極を形成するための方法
JP2010540773A (ja) 2007-09-26 2010-12-24 イーストマン コダック カンパニー 無機材料の選択領域堆積法
JP2013520028A (ja) 2010-02-17 2013-05-30 エーエスエム アメリカ インコーポレイテッド 蒸着に対する反応部位の不活性化

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
Younghee Lee and Steven M. George "Thermal Atomic Layer Etching of Titanium Nitride Using Sequential, Self-Limiting Reactions: Oxidation to TiO2 and Fluorination to Volatile TiF4" Chem. Mater., 2017, 29(19), pp8202-8210

Also Published As

Publication number Publication date
JP2020147788A (ja) 2020-09-17
WO2020184212A1 (ja) 2020-09-17
US20220189778A1 (en) 2022-06-16
US11830741B2 (en) 2023-11-28
JP7109397B2 (ja) 2022-07-29

Similar Documents

Publication Publication Date Title
KR102580008B1 (ko) 반도체 디바이스 제작에서의 주석 옥사이드 막들
KR102501364B1 (ko) 자기정렬된 콘택트 스킴을 위한 희생적 pmd
US11355353B2 (en) Tin oxide mandrels in patterning
JP2023027173A (ja) エアギャップの形成方法
US11551938B2 (en) Alternating etch and passivation process
CN110581067A (zh) 蚀刻方法及蚀刻装置
KR20200043527A (ko) 처리 장치 및 기판 처리 장치
US20220336205A1 (en) Film formation method
TWI819257B (zh) 具有可調整碳含量之碳氮化矽間隙填充
WO2022039032A1 (ja) 成膜方法および成膜システム
KR20210139299A (ko) 성막 방법
KR20190037126A (ko) 선택 성막 방법 및 반도체 장치의 제조 방법
WO2021044882A1 (ja) 成膜方法
US11859278B2 (en) Molecular layer deposition of amorphous carbon films
CN113355648A (zh) 碳基膜的气相沉积
US12062536B2 (en) Amorphous carbon for gap fill
US20240150895A1 (en) Film formation method and film formation system
KR20230110621A (ko) 성막 방법
KR20240005938A (ko) 고품질 선택적 실리콘 질화물 증착을 위한 통합된 방법 및 도구
KR20230066784A (ko) 패턴 형성 방법
JP2023009762A (ja) エッチング方法

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E902 Notification of reason for refusal