KR20190055703A - 반도체 패키지 및 방법 - Google Patents

반도체 패키지 및 방법 Download PDF

Info

Publication number
KR20190055703A
KR20190055703A KR1020180062684A KR20180062684A KR20190055703A KR 20190055703 A KR20190055703 A KR 20190055703A KR 1020180062684 A KR1020180062684 A KR 1020180062684A KR 20180062684 A KR20180062684 A KR 20180062684A KR 20190055703 A KR20190055703 A KR 20190055703A
Authority
KR
South Korea
Prior art keywords
dielectric layer
metallization pattern
layer
conductive connector
width
Prior art date
Application number
KR1020180062684A
Other languages
English (en)
Other versions
KR102221322B1 (ko
Inventor
추-숭 후앙
하오-이 차이
밍-훙 쳉
틴-하오 쿠오
옌-리앙 린
시우-젠 린
충-시엔 치앙
Original Assignee
타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 filed Critical 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Publication of KR20190055703A publication Critical patent/KR20190055703A/ko
Application granted granted Critical
Publication of KR102221322B1 publication Critical patent/KR102221322B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4846Leads on or in insulating or insulated substrates, e.g. metallisation
    • H01L21/486Via connections through the substrate with or without pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49833Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers the chip support structure consisting of a plurality of insulating substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49838Geometry or layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5383Multilayer substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5384Conductive vias through the substrate with or without pins, e.g. buried coaxial conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5385Assembly of a plurality of insulating substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5386Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5389Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates the chips being integrally enclosed by the interconnect and support structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L24/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L24/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L24/19Manufacturing methods of high density interconnect preforms
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L24/20Structure, shape, material or disposition of high density interconnect preforms
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/73Means for bonding being of different types provided for in two or more of groups H01L24/10, H01L24/18, H01L24/26, H01L24/34, H01L24/42, H01L24/50, H01L24/63, H01L24/71
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L24/80 - H01L24/90
    • H01L24/92Specific sequence of method steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/10Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices having separate containers
    • H01L25/105Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices having separate containers the devices being of a type provided for in group H01L27/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/0401Bonding areas specifically adapted for bump connectors, e.g. under bump metallisation [UBM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/04105Bonding areas formed on an encapsulation of the semiconductor or solid-state body, e.g. bonding areas on chip-scale packages
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/113Manufacturing methods by local deposition of the material of the bump connector
    • H01L2224/1131Manufacturing methods by local deposition of the material of the bump connector in liquid form
    • H01L2224/1132Screen printing, i.e. using a stencil
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/113Manufacturing methods by local deposition of the material of the bump connector
    • H01L2224/1133Manufacturing methods by local deposition of the material of the bump connector in solid form
    • H01L2224/11334Manufacturing methods by local deposition of the material of the bump connector in solid form using preformed bumps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/114Manufacturing methods by blanket deposition of the material of the bump connector
    • H01L2224/1143Manufacturing methods by blanket deposition of the material of the bump connector in solid form
    • H01L2224/11436Lamination of a preform, e.g. foil, sheet or layer
    • H01L2224/1144Lamination of a preform, e.g. foil, sheet or layer by transfer printing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/114Manufacturing methods by blanket deposition of the material of the bump connector
    • H01L2224/11444Manufacturing methods by blanket deposition of the material of the bump connector in gaseous form
    • H01L2224/1145Physical vapour deposition [PVD], e.g. evaporation, or sputtering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/114Manufacturing methods by blanket deposition of the material of the bump connector
    • H01L2224/1146Plating
    • H01L2224/11462Electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/118Post-treatment of the bump connector
    • H01L2224/11848Thermal treatments, e.g. annealing, controlled cooling
    • H01L2224/11849Reflowing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/12105Bump connectors formed on an encapsulation of the semiconductor or solid-state body, e.g. bumps on chip-scale packages
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/16237Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation the bump connector connecting to a bonding area disposed in a recess of the surface of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16245Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being metallic
    • H01L2224/16258Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being metallic the bump connector connecting to a bonding area protruding from the surface of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/165Material
    • H01L2224/16501Material at the bonding interface
    • H01L2224/16503Material at the bonding interface comprising an intermetallic compound
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/27Manufacturing methods
    • H01L2224/274Manufacturing methods by blanket deposition of the material of the layer connector
    • H01L2224/2743Manufacturing methods by blanket deposition of the material of the layer connector in solid form
    • H01L2224/27436Lamination of a preform, e.g. foil, sheet or layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L2224/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • H01L2224/29001Core members of the layer connector
    • H01L2224/29099Material
    • H01L2224/2919Material with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32135Disposition the layer connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/32145Disposition the layer connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32151Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/32221Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/32225Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/4805Shape
    • H01L2224/4809Loop shape
    • H01L2224/48091Arched
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/481Disposition
    • H01L2224/48151Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/48221Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/48225Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/48227Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation connecting the wire to a bond pad of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73251Location after the connecting process on different surfaces
    • H01L2224/73257Bump and wire connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73251Location after the connecting process on different surfaces
    • H01L2224/73265Layer and wire connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73251Location after the connecting process on different surfaces
    • H01L2224/73267Layer and HDI connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/8138Bonding interfaces outside the semiconductor or solid-state body
    • H01L2224/81399Material
    • H01L2224/814Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/8138Bonding interfaces outside the semiconductor or solid-state body
    • H01L2224/81399Material
    • H01L2224/814Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/81401Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of less than 400°C
    • H01L2224/81411Tin [Sn] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/8138Bonding interfaces outside the semiconductor or solid-state body
    • H01L2224/81399Material
    • H01L2224/814Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/81438Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/81455Nickel [Ni] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/818Bonding techniques
    • H01L2224/81801Soldering or alloying
    • H01L2224/8181Soldering or alloying involving forming an intermetallic compound at the bonding interface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/818Bonding techniques
    • H01L2224/81801Soldering or alloying
    • H01L2224/81815Reflow soldering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/831Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector the layer connector being supplied to the parts to be connected in the bonding apparatus
    • H01L2224/83102Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector the layer connector being supplied to the parts to be connected in the bonding apparatus using surface energy, e.g. capillary forces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L2224/80 - H01L2224/90
    • H01L2224/92Specific sequence of method steps
    • H01L2224/922Connecting different surfaces of the semiconductor or solid-state body with connectors of different types
    • H01L2224/9222Sequential connecting processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L2224/80 - H01L2224/90
    • H01L2224/92Specific sequence of method steps
    • H01L2224/922Connecting different surfaces of the semiconductor or solid-state body with connectors of different types
    • H01L2224/9222Sequential connecting processes
    • H01L2224/92242Sequential connecting processes the first connecting process involving a layer connector
    • H01L2224/92244Sequential connecting processes the first connecting process involving a layer connector the second connecting process involving a build-up interconnect
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/94Batch processes at wafer-level, i.e. with connecting carried out on a wafer comprising a plurality of undiced individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L2224/97Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being connected to a common substrate, e.g. interposer, said common substrate being separable into individual assemblies after connecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/10All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers
    • H01L2225/1005All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/1011All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement
    • H01L2225/1017All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement the lowermost container comprising a device support
    • H01L2225/1035All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement the lowermost container comprising a device support the device being entirely enclosed by the support, e.g. high-density interconnect [HDI]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/10All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers
    • H01L2225/1005All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/1011All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement
    • H01L2225/1047Details of electrical connections between containers
    • H01L2225/1058Bump or bump-like electrical connections, e.g. balls, pillars, posts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49811Additional leads joined to the metallisation on the insulating substrate, e.g. pins, bumps, wires, flat leads
    • H01L23/49816Spherical bumps on the substrate for external connection, e.g. ball grid arrays [BGA]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/11Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L24/27Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L24/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L24/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L24/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L24/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/42Wire connectors; Manufacturing methods related thereto
    • H01L24/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L24/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/93Batch processes
    • H01L24/94Batch processes at wafer-level, i.e. with connecting carried out on a wafer comprising a plurality of undiced individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0655Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00 the devices being arranged next to each other
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/102Material of the semiconductor or solid state bodies
    • H01L2924/1025Semiconducting materials
    • H01L2924/10251Elemental semiconductors, i.e. Group IV
    • H01L2924/10252Germanium [Ge]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/102Material of the semiconductor or solid state bodies
    • H01L2924/1025Semiconducting materials
    • H01L2924/10251Elemental semiconductors, i.e. Group IV
    • H01L2924/10253Silicon [Si]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/102Material of the semiconductor or solid state bodies
    • H01L2924/1025Semiconducting materials
    • H01L2924/1026Compound semiconductors
    • H01L2924/1027IV
    • H01L2924/10271Silicon-germanium [SiGe]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/102Material of the semiconductor or solid state bodies
    • H01L2924/1025Semiconducting materials
    • H01L2924/1026Compound semiconductors
    • H01L2924/1027IV
    • H01L2924/10272Silicon Carbide [SiC]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/102Material of the semiconductor or solid state bodies
    • H01L2924/1025Semiconducting materials
    • H01L2924/1026Compound semiconductors
    • H01L2924/1032III-V
    • H01L2924/10329Gallium arsenide [GaAs]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/102Material of the semiconductor or solid state bodies
    • H01L2924/1025Semiconducting materials
    • H01L2924/1026Compound semiconductors
    • H01L2924/1032III-V
    • H01L2924/10331Gallium phosphide [GaP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/102Material of the semiconductor or solid state bodies
    • H01L2924/1025Semiconducting materials
    • H01L2924/1026Compound semiconductors
    • H01L2924/1032III-V
    • H01L2924/10332Indium antimonide [InSb]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/102Material of the semiconductor or solid state bodies
    • H01L2924/1025Semiconducting materials
    • H01L2924/1026Compound semiconductors
    • H01L2924/1032III-V
    • H01L2924/10333Indium arsenide [InAs]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/102Material of the semiconductor or solid state bodies
    • H01L2924/1025Semiconducting materials
    • H01L2924/1026Compound semiconductors
    • H01L2924/1032III-V
    • H01L2924/10335Indium phosphide [InP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/153Connection portion
    • H01L2924/1531Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface
    • H01L2924/15311Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface being a ball array, e.g. BGA
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/35Mechanical effects
    • H01L2924/351Thermal stress
    • H01L2924/3512Cracking
    • H01L2924/35121Peeling or delaminating

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Geometry (AREA)
  • Ceramic Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

실시예에서, 디바이스는, 제1 유전체층 상의 금속화 패턴과 금속화 패턴 상의 제2 유전체층을 포함하는 후면 재배선 구조물; 금속화 패턴에 접촉하도록 제1 유전체층을 관통해 연장되는 관통 비아; 제1 유전체층 상의 관통 비아에 인접한 집적 회로 다이; 제1 유전체층 상의 몰딩 화합물 - 몰딩 화합물은 관통 비아와 집적 회로 다이를 캡슐화함 -; 금속화 패턴에 접촉하도록 제2 유전체층을 관통해 연장되는 전도성 커넥터 - 전도성 커넥터는 관통 비아에 전기적으로 접속됨 -; 및 전도성 커넥터와 금속화 패턴의 계면에 있는 금속간 화합물을 포함하며, 금속간 화합물은 금속화 패턴 내로 단지 부분적으로만 연장된다.

Description

반도체 패키지 및 방법{SEMICONDUCTOR PACKAGE AND METHOD}
우선권 주장 및 교차 참조
본 출원은 2017년 11월 15일에 출원된 미국 가출원 일련번호 62/586,413의 이익을 주장하며, 그 출원은 참조로서 그 전체가 본 명세서에 병합된다.
본 발명은 반도체 패키지 및 그 제조 방법에 대한 것이다.
반도체 산업은 다양한 전자 컴포넌트들(예컨대, 트랜지스터들, 다이오드들, 저항들, 커패시터들 등)의 집적 밀도에서 계속적인 향상에 기인한 급속한 성장을 경험하였다. 보통, 집적 밀도의 개선은 최소 피처(feature) 크기의 반복적인 감소로부터 비롯되었으며, 이는 주어진 영역 내에 더 많은 컴포넌트들이 집적되게 한다. 수축되는 전자 디바이스들을 위한 수요가 증가함에 따라, 반도체 다이의 더 작고 더 창의적인 패키징 기술을 위한 필요가 발생했다. 이러한 패키징 시스템의 예시는 패키지-온-패키지(Package-on-Package; PoP) 기술이다. PoP 디바이스에서, 상단의 반도체 패키지는, 고 레벨의 집적과 컴포넌트 밀도를 제공하도록 하단의 반도체 패키지 위에 적층된다. PoP 기술은 일반적으로 인쇄 회로 기판(printed circuit board; PCB) 상에 작은 풋프린트와 향상된 기능을 가진 반도체 디바이스의 생산을 가능케 한다.
본 개시 내용의 여러 양태들은 첨부 도면을 함께 판독시 다음의 상세한 설명으로부터 가장 잘 이해될 것이다. 산업계에서의 표준 관행에 따라 다양한 피처들은 비율대로 작성된 것은 아님을 밝힌다. 실제로, 다양한 피처의 치수는 설명의 명료화를 위해 임의로 증가되거나 감소될 수도 있다.
도 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 및 16은 일부 실시예에 따라 디바이스 패키지를 형성하기 위한 프로세스 동안의 중간 단계들의 단면도를 예증한다.
도 17, 18a, 18b, 18c, 19, 및 20은 일부 실시예들에 따라 패키지 구조물을 형성하기 위한 프로세스 동안의 중간 단계들의 단면도를 예증한다.
이하의 개시는 본 발명의 상이한 피처들을 구현하기 위한 다수의 상이한 실시예들 또는 예들을 제공한다. 구성요소 및 디바이스의 특정 예가 본 개시내용을 간단화하기 위해 이하에 설명된다. 이들은 물론 단지 예일 뿐이고, 한정이 되도록 의도된 것은 아니다. 예를 들어, 이어지는 설명에서 제2 피처 위에 또는 상에 제1 피처의 형성은 제1 및 제2 피처가 직접 접촉하여 형성되어 있는 실시예를 포함할 수도 있고, 부가의 피처가 제1 및 제2 피처 사이에 형성될 수도 있어, 제1 및 제2 피처가 직접 접촉하지 않을 수도 있게 되는 실시예를 또한 포함할 수도 있다. 게다가, 본 개시내용은 다양한 예시에서 참조 숫자 및/또는 문자를 반복할 수도 있다. 이 반복은 간단화 및 명료화를 위한 것이고, 자체로 다양한 실시예 및/또는 설명된 구성 사이의 관계를 지시하는 것은 아니다.
또한, "밑", "아래", "하부", "위", "상부" 등과 같은 공간적 상대 용어는 도면에 도시되어 있는 바와 같이 다른 요소(들) 또는 피처(들)에 대한 일 요소 또는 피처의 관계를 설명하기 위해 용이한 설명을 위해 본 명세서에서 사용될 수도 있다. 공간적 상대 용어는 도면에 도시되어 있는 배향에 추가하여 사용 또는 동작시에 디바이스의 상이한 배향을 포함하도록 의도된다. 장치는 이와는 다르게 지향될(90도 또는 다른 방위로 회전됨) 수 있고, 본 명세서에서 이용되는 공간적으로 상대적인 설명자는 이에 따라 마찬가지로 해석될 수 있다.
일부 실시예에 따라, 전도성 커넥터는 디바이스 패키지를 재배선 구조물의 금속화 패턴에 본딩하도록 형성된다. 금속화 패턴을 노출시키는 개구가 형성되고, 전도성 커넥터는 개구 내에 형성된다. 그런 다음, 전도성 커넥터는 금속화 패턴을 디바이스 패키지에 본딩하도록 리플로우(reflow)된다. 개구와 전도성 커넥터의 폭을 제어함으로써, 리플로우 동안에 형성된 IMC의 두께가 제어될 수 있다. 특히, IMC의 두께는 금속화 패턴의 두께보다 작게 되도록 제어된다. 따라서, 하부의 시드층의 층간 박리(delamination)가 후속 테스팅 동안에 회피될 수 있다.
도 1 내지 16은 일부 실시예들에 따라 제1 패키지(200)를 형성하기 위한 프로세스 동안의 중간 단계들의 단면도를 예증한다. 제1 패키지 영역(600)과 제2 패키지 영역(602)이 예증되고, 제1 패키지(200)는 각 패키지 영역 내에 형성된다. 제1 패키지(200)는 집적 팬아웃(integrated fan-out; InFO) 패키지라고 또한 지칭될 수 있다.
도 1에서, 캐리어 기판(100)이 제공되고, 릴리스층(102)이 캐리어 기판(100) 상에 형성된다. 캐리어 기판(100)은 유리 캐리어 기판, 세라믹 캐리어 기판 등일 수 있다. 캐리어 기판(100)은 웨이퍼일 수 있어서 다수의 패키지들이 동시에 캐리어 기판(100) 상에 형성될 수 있다. 릴리스층(102)은, 후속 단계에서 형성될 상부 구조물로부터 캐리어 기판(100)과 함께 제거될 수 있는 중합체 기반 물질로 형성될 수 있다. 일부 실시예에서, 릴리스층(102)은, 예컨대, 광 대 열 변환(light-to-heat-conversion; LTHC) 릴리스 코팅과 같이, 가열될 때 그 자신의 접착 특성을 잃어버리는 에폭시-기반 열-릴리스 물질이다. 다른 실시예에서, 릴리스층(102)은 자외선(ultra-violet; UV) 광에 노출될 때 그 자신의 접착 특성을 잃어버리는 UV 접착제일 수 있다. 릴리스층(102)은 액체 및 경화된 채로 제공(dispense)될 수 있거나, 캐리어 기판(100)상으로 라미네이트된 라미네이트막일 수 있다. 릴리스층(102)의 상단 표면은 평평하게 될 수 있고, 고도의 동일 평면성(a high degree of coplanarity)을 가질 수 있다.
도 2에서, 유전체층(104), 금속화 패턴(106)(때때로, 재배선층 또는 재배선 라인이라고 지칭됨)과 유전체층(108)이 형성된다. 유전체층(104)은 릴리스층(102) 상에 형성된다. 유전체층(104)의 하단 표면은 릴리스층(102)의 상단 표면과 접촉할 수 있다. 일부 실시예에서, 유전체층(104)은 PBO(polybenzoxazole), 폴리이미드, BCB(benzocyclobutene) 등과 같은 중합체로 형성된다. 다른 실시예에서, 유전체층(104)은, 질화물(예컨대 실리콘 질화물), 산화물(예컨대 실리콘 산화물), PSG(phosphosilicate glass), BSG(borosilicate glass), BPSG(boron-doped phosphosilicate glass) 등으로 형성된다. 유전체층(104)은, 스핀 코팅, 화학적 기상 퇴적(chemical vapor deposition; CVD), 라미네이팅 등, 또는 이것들의 조합과 같은 임의의 허용가능한 퇴적 프로세스에 의해 형성될 수 있다.
금속화 패턴(106)은 유전체층(104) 상에 형성된다. 금속화 패턴(106)을 형성하는 예시로서, 시드층(미도시됨)은 유전체층(104) 위에 형성된다. 일부 실시예에서, 시드층은 금속층이고, 이 금속층은 단일층이거나 상이한 물질들로 형성된 복수의 서브층들을 포함하는 합성층일 수 있다. 일부 실시예에서, 시드층은 티타늄층과 이 티타늄층 위에 구리층을 포함한다. 시드층은 예를 들면, PVD 등을 사용해 형성될 수 있다. 그런 다음, 포토 레지스트가 시드층 상에 형성되고 패터닝된다. 포토 레지스트는 스핀 코팅 등에 의해 형성될 수 있고, 패터닝을 위해 광에 노출될 수 있다. 포토 레지스트의 패턴은 금속화 패턴(106)에 대응한다. 패터닝은 시드층을 노출시키도록 포토 레지스트를 관통해 개구를 형성한다. 전도성 물질이 포토 레지스트의 개구 내에 그리고 시드층의 노출된 부분 상에 형성된다. 전도성 물질은 예컨대, 전기 도금 또는 무전해 도금 등과 같은 도금에 의해 형성될 수 있다. 전도성 물질은 구리, 티타늄, 텅스텐, 알루미늄 등과 같은 금속을 포함할 수 있다. 그런 다음, 포토 레지스트와 그 위에 전도성 물질이 형성되지 않는 시드층의 부분이 제거된다. 포토 레지스트는 허용가능한 애싱 또는, 예컨대, 산소 플라즈마 등을 사용하는 박리 프로세스에 의해 제거될 수 있다. 일단 포토 레지스트가 제거되면, 시드층의 노출된 부분은, 예컨대, 습식 또는 건식 에칭과 같은 허용가능한 에칭 프로세스를 사용해서 제거된다. 시드층의 잔여 부분과 전도성 물질은 금속화 패턴(106)을 형성한다.
유전체층(108)은 금속화 패턴(106)과 유전체층(104) 상에 형성된다. 일부 실시예에서, 유전체층(108)은 중합체로 형성되고, 이 중합체는 리소그래피 마스크를 사용해 패터닝될 수 있는, 예컨대, PBO, 폴리이미드, BCB 등과 같은 감광재일 수 있다. 다른 실시예에서, 유전체층(108)은, 질화물(예컨대 실리콘 질화물), 산화물(예컨대 실리콘 산화물), PSG, BSG, BPSG 등으로 형성된다. 유전체층(108)은 스핀 코팅, 라미네이션, CVD 등, 또는 이들의 조합에 의해 형성될 수 있다. 그런 다음, 유전체층(108)은 금속화 패턴(106)의 부분을 노출시키기 위해 개구(109)를 형성하도록 패터닝된다. 패터닝은, 예컨대, 유전체층이 감광재일 때 유전체층(108)을 광에 노출시킴으로써, 또는 예를 들면, 이방성 에칭을 사용하여 에칭하는 것과 같은 허용가능한 프로세스에 의한 것일 수 있다.
유전체층(104 및 108)과 금속화 패턴(106)은 후면 재배선 구조물(110)이라고 지칭될 수 있다. 도시된 실시예에서, 후면 재배선 구조물(110)은 두 개의 유전체층들(104 및 108)과 하나의 금속화 패턴(106)을 포함한다. 다른 실시예에서, 후면 재배선 구조물(110)은 임의의 개수의 유전체층들, 금속화 패턴들, 및 전도성 비아들을 포함할 수 있다. 하나 이상의 추가적인 금속화 패턴 및 유전체층은 금속화 패턴들(106)과 유전체층(108)을 형성하기 위한 프로세스를 반복함으로써 후면 재배선 구조물(110) 내에 형성될 수 있다. 전도성 비아(미도시됨)는 하부 유전체층의 개구 내에 금속화 패턴의 전도성 물질과 시드층을 형성함으로써 금속화 패턴의 형성 동안 형성될 수 있다. 그러므로, 전도성 비아는 다양한 금속화 패턴을 상호접속시키고 전기적으로 결합시킬 수 있다.
도 3에서, 관통 비아(112)가 형성된다. 관통 비아(112)를 형성하기 위한 예시로서, 시드층(113)(이하에서 도 18에 도시됨)은, 예를 들면, 개구(109)에 의해 노출되는 금속화 패턴(106)의 부분과 유전체층(108) 상에 후면 재배선 구조물(110) 위에 형성된다. 일부 실시예에서, 시드층(113)은 금속층이고, 이 금속층은 단일층이거나 상이한 물질들로 형성된 복수의 서브층들을 포함하는 합성층일 수 있다. 일부 실시예에서, 시드층(113)은 티타늄층과 이 티타늄층 위에 구리층을 포함한다. 시드층(113)은 예를 들면, PVD 등을 사용해 형성될 수 있다. 포토 레지스트는 시드층(113) 상에 형성되고 패터닝된다. 포토 레지스트는 스핀 코팅 등에 의해 형성될 수 있고, 패터닝을 위해 광에 노출될 수 있다. 포토 레지스트의 패턴은 관통 비아에 대응한다. 패터닝은 시드층(113)을 노출시키도록 포토 레지스트를 관통해 개구를 형성한다. 전도성 물질은 포토 레지스트의 개구 내에 그리고 시드층(113)의 노출된 부분 상에 형성된다. 전도성 물질은 예컨대, 전기 도금 또는 무전해 도금 등과 같은 도금에 의해 형성될 수 있다. 전도성 물질은 구리, 티타늄, 텅스텐, 알루미늄 등과 같은 금속을 포함할 수 있다. 그 위에 전도성 물질이 형성되지 않는 시드층(113)의 부분과 포토 레지스트가 제거된다. 포토 레지스트는 허용가능한 애싱 또는, 예컨대, 산소 플라즈마 등을 사용하는 박리 프로세스에 의해 제거될 수 있다. 일단 포토 레지스트가 제거되면, 시드층(113)의 노출된 부분은, 예컨대, 습식 또는 건식 에칭과 같은 허용가능한 에칭 프로세스를 사용해서 제거된다. 시드층(113)의 잔여 부분과 전도성 물질은 관통 비아(112)를 형성한다.
도 4에서, 집적 회로 다이(114)는 접착제(116)에 의해 유전체층(108)에 부착된다. 집적 회로 다이(114)는 논리 다이(예컨대, 중앙 프로세싱 유닛, 마이크로제어기 등), 메모리 다이(예컨대, 동적 랜덤 액세스 메모리(dynamic random access memory; DRAM) 다이, 정적 랜덤 액세스 메모리(static random access memory; SRAM) 다이 등), 전력 관리 다이(예컨대, 전력 관리 집적 회로(power management integrated circuit; PMIC) 다이), 무선 주파수(radio frequency; RF) 다이, 센서 다이, 마이크로-전기 기계적 시스템(micro-electro mechanical system; MEMS) 다이, 신호 프로세싱 다이(예컨대, 디지털 신호 프로세싱(digital signal processing; DSP) 다이), 프론트 엔드 다이(예컨대, 아날로그 프론트 엔드(analog front-end; AFE) 다이) 등, 또는 이것들의 조합일 수 있다. 또한, 일부 실시예에서, 집적 회로 다이(114)는 상이한 크기들(예컨대, 상이한 높이들 및/또는 표면적들)일 수 있고, 다른 실시예에서, 집적 회로 다이(114)는 동일 크기(예컨대, 동일 높이 및/또는 표면적)일 수 있다.
유전체층(108)에 부착되기 전에, 집적 회로 다이(114)는, 집적 회로 다이(114) 내에 집적 회로를 형성하도록 적용가능한 제조 프로세스에 따라 프로세싱될 수 있다. 예를 들면, 집적 회로 다이들(114) 각각은 도핑되거나 도핑되지 않은 실리콘과 같은 반도체 기판(118), 또는 반도체-온-인슐레이터(silicon-on-insulator; SOI) SOI 기판의 활성층일 수 있다. 반도체 기판은 게르마늄과 같은 다른 반도체 물질; 실리콘 탄화물, 갈륨 비화물, 갈륨 인화물, 인듐 인화물, 인듐 비화물, 및/또는 인듐 안티몬화물을 포함한 화합물 반도체; SiGe, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP, 및/또는 GaInAsP를 포함한 합금 반도체; 또는 이들의 조합을 포함할 수 있다. 예를 들면, 다층 또는 경사(gradient) 기판과 같은 다른 기판이 또한 사용될 수 있다. 예컨대, 트랜지스터, 다이오드, 커패시터, 저항기 등과 같은 디바이스는 예를 들면, 반도체 기판(118) 내에 그리고/또는 그 위에 형성될 수 있고, 예를 들면, 집적 회로를 형성하도록 반도체 기판(118) 상의 하나 이상의 유전체층 내의 금속화 패턴에 의해 형성된 상호접속 구조물(120)에 의해 상호접속될 수 있다.
집적 회로 다이(114)는 예컨대, 알루미늄 패드와 같은 패드(122)를 더 포함하고, 이 알루미늄 패드에 외부 접속이 이루어진다. 패드(122)는 집적 회로 다이(114)의 제각각의 활성 측부라고 지칭되는 것 상에 있다. 패시베이션막(124)은 집적 회로 다이(114) 상에와 패드(122)의 부분 상에 있다. 개구는 패시베이션막(124)을 관통해 패드(122)에 이어진다. 전도성 필라(예를 들면, 구리와 같은 금속을 포함함)와 같은 다이 커넥터(126)가 패시베이션막(124)을 관통해 개구 내에 있고, 제각각의 패드(122)에 기계적으로 그리고 전기적으로 결합된다. 다이 커넥터(126)는 예를 들면, 도금 등에 의해 형성될 수 있다. 다이 커넥터(126)는 집적 회로 다이(114)의 제각각의 집적 회로들을 전기적으로 결합시킨다.
유전체 물질(128)은 예컨대, 패시베이션막(124)과 다이 커넥터(126) 상에서와 같이, 집적 회로 다이(114)의 활성 측부 상에 있다. 유전체 물질(128)은 다이 커넥터(126)를 측방향으로 캡슐화하고, 유전체 물질(128)은 제각각의 집적 회로 다이(114)와 측방향으로 접해 있다. 유전체 물질(128)은 예컨대, PBO, 폴리이미드, BCB 등과 같은 중합체; 실리콘 질화물 등과 같은 질화물; 실리콘 산화물, PSG, BSG, BPSG 등과 같은 산화물; 등, 또는 이들 물질들의 조합일 수 있고, 예를 들면, 스핀 코팅, 라미네이션, CVD 등에 의해 형성될 수 있다.
접착제(116)는 집적 회로 다이(114)의 후면 상에 있고, 집적 회로 다이(114)를 예컨대, 유전체층(108)과 같은 후면 재배선 구조물(110)에 부착시킨다. 접착제(116)는 임의의 적절한 접착제, 에폭시, 다이 부착막(die attach film; DAF) 등일 수 있다. 접착제(116)는, 예컨대, 제각각의 반도체 웨이퍼의 후면과 같은, 집적 회로 다이(114)의 후면에 도포될 수 있거나, 캐리어 기판(100)의 표면 위에 도포될 수 있다. 집적 회로 다이(114)는 예컨대, 쏘잉 또는 다이싱에 의해 싱귤레이팅되고, 예를 들면, 픽 앤 플레이스 툴(pick-and-place tool)을 사용해 접착제(116)에 의해 유전체층(108)에 부착될 수 있다.
두 개의 집적 회로 다이들(114)이 제1 패키지 영역(600)과 제2 패키지 영역(602) 각각 내에 부착되어 있는 것으로 예증되고 있지만, 더 많거나 더 적은 집적 회로 다이들(114)이 각 패키지 영역 내에 부착될 수 있다는 것이 인식되어야 한다. 예를 들면, 단지 하나의 집적 회로 다이(114)만이 각 영역 내에 부착될 수 있다. 또한, 집적 회로 다이(114)는 크기가 다양할 수 있다. 일부 실시예에서, 집적회로 다이(114)는 예를 들면, 시스템 온 칩(system-on-chip; SoC) 디바이스와 같은, 큰 풋프린트를 갖는 다이일 수 있다. 집적회로 다이(114)가 큰 풋프린트를 갖는 실시예에서, 패키지 영역 내의 관통 비아(112)를 위해 이용가능한 공간은 제한될 수 있다. 패키지 영역이 관통 비아(112)를 위해 이용가능한 제한된 공간을 가질 때, 후면 재배선 구조물(110)의 사용은, 향상된 상호접속 배치를 허용한다.
도 5에서, 봉합재(130)가 다양한 컴포넌트들 상에 형성된다. 봉합재(130)는 몰딩 컴파운드, 에폭시 등일 수 있고, 압축 몰딩, 전사(transfer) 몰딩 등에 의해 도포될 수 있다. 관통 비아(112) 및/또는 집적회로 다이(114)의 다이 커넥터(126)가 매립되거나 덮히도록, 봉합재(130)가 캐리어 기판(100) 위에 형성될 수 있다. 그런 다음, 봉합재(130)가 경화된다.
도 6에서, 관통 비아(112)와 다이 커넥터(126)를 노출시키도록 평탄화 프로세스가 봉합재(130)에 대해 수행된다. 평탄화 프로세스는 유전체 물질(128)을 또한 연마할 수 있다. 관통 비아(112), 다이 커넥터(126), 유전체 물질(128), 및 봉합재(130)의 상단 표면은 평탄화 프로세스 후에 공면이다. 평탄화 프로세스는 예를 들면, 화학 기계적 폴리싱(chemical-mechanical polish; CMP), 연마 프로세스 등일 수 있다. 일부 실시예에서, 예를 들면, 관통 비아(112)와 다이 커넥터(126)가 이미 노출되면 평탄화가 생략될 수 있다.
도 7 내지 14에서, 전면 재배선 구조물(132)이 형성된다. 예증되는 바와 같이, 전면 재배선 구조물(132)은 유전체층(134, 140, 146 및 152)을 포함하고, 금속화 패턴(138, 144, 및 150)을 또한 포함한다. 금속화 패턴은 또한 재배선층 또는 재배선 라인으로서 지칭될 수 있고, 전도성 비아와 전도성 라인을 포함한다(별도로 라벨 표시되지 않음).
도 7에서, 유전체층(134)은 봉합재(130), 관통 비아(112), 및 다이 커넥터(126) 상에 퇴적된다. 일부 실시예에서, 유전체층(134)은 중합체로 형성되고, 이 중합체는 리소그래피 마스크를 사용해 패터닝될 수 있는, 예컨대, PBO, 폴리이미드, BCB 등과 같은 감광재일 수 있다. 다른 실시예에서, 유전체층(134)은, 질화물(예컨대 실리콘 질화물), 산화물(예컨대 실리콘 산화물, PSG, BSG, BPSG) 등으로 형성된다. 유전체층(134)은 스핀 코팅, 라미네이션, CVD 등, 또는 이들의 조합에 의해 형성될 수 있다.
그런 다음, 유전체층(134)이 패터닝된다. 관통 비아(112)와 다이 커넥터(126)의 부분을 노출시키도록 패터닝은 개구(136)를 형성한다. 패터닝은, 예컨대, 유전체층(134)이 감광재일 때 유전체층(134)을 광에 노출시킴으로써, 또는 예를 들면, 이방성 에칭을 사용하여 에칭함으로써와 같은 허용가능한 프로세스에 의한 것일 수 있다. 유전체층(134)이 감광재이면, 유전체층(134)은 노출 후에 현상될 수 있다.
도 8에서, 비아를 구비한 금속화 패턴(138)이 유전체층(134) 상에 형성된다. 금속화 패턴(138)을 형성하는 예시로서, 시드층(미도시됨)은 유전체층(134) 위에 그리고 유전체층(134)을 관통하는 개구(136) 내에 형성된다. 일부 실시예에서, 시드층은 금속층이고, 이 금속층은 단일층이거나 상이한 물질들로 형성된 복수의 서브층들을 포함하는 합성층일 수 있다. 일부 실시예에서, 시드층은 티타늄층과 이 티타늄층 위에 구리층을 포함한다. 시드층은 예를 들면, PVD 등을 사용해 형성될 수 있다. 그런 다음, 포토 레지스트가 시드층 상에 형성되고 패터닝된다. 포토 레지스트는 스핀 코팅 등에 의해 형성될 수 있고, 패터닝을 위해 광에 노출될 수 있다. 포토 레지스트의 패턴은 금속화 패턴(138)에 대응한다. 패터닝은 시드층을 노출시키도록 포토 레지스트를 관통해 개구를 형성한다. 전도성 물질이 포토 레지스트의 개구 내에 그리고 시드층의 노출된 부분 상에 형성된다. 전도성 물질은 예컨대, 전기 도금 또는 무전해 도금 등과 같은 도금에 의해 형성될 수 있다. 전도성 물질은 구리, 티타늄, 텅스텐, 알루미늄 등과 같은 금속을 포함할 수 있다. 그런 다음, 포토 레지스트와 그 위에 전도성 물질이 형성되지 않는 시드층의 부분이 제거된다. 포토 레지스트는 허용가능한 애싱 또는, 예컨대, 산소 플라즈마 등을 사용하는 박리 프로세스에 의해 제거될 수 있다. 일단 포토 레지스트가 제거되면, 시드층의 노출된 부분은, 예컨대, 습식 또는 건식 에칭과 같은 허용가능한 에칭 프로세스를 사용해서 제거된다. 시드층과 전도성 물질의 잔여 부분은 금속화 패턴(138)과 비아를 형성한다. 비아는 유전체층(134)을 관통해 예를 들면, 관통 비아(112) 및/또는 다이 커넥터(126)쪽으로 개구(136) 내에 형성된다.
도 9에서, 유전체층(140)이 금속화 패턴(138)과 유전체층(134) 상에 퇴적된다. 일부 실시예에서, 유전체층(140)은 중합체로 형성되고, 이 중합체는 리소그래피 마스크를 사용해 패터닝될 수 있는, 예컨대, PBO, 폴리이미드, BCB 등과 같은 감광재일 수 있다. 다른 실시예에서, 유전체층(140)은, 질화물(예컨대 실리콘 질화물), 산화물(예컨대 실리콘 산화물), PSG, BSG, BPSG 등으로 형성된다. 유전체층(140)은 스핀 코팅, 라미네이션, CVD 등, 또는 이들의 조합에 의해 형성될 수 있다.
그런 다음, 유전체층(140)이 패터닝된다. 패터닝은 금속화 패턴(138)의 부분을 노출시키도록 개구(142)를 형성한다. 패터닝은, 예컨대, 유전체층이 감광재일 때 유전체층(140)을 광에 노출시킴으로써, 또는 예를 들면, 이방성 에칭을 사용하여 에칭함으로써와 같은, 허용가능한 프로세스에 의한 것일 수 있다. 유전체층(140)이 감광재이면, 유전체층(140)은 노출 후에 현상될 수 있다.
도 10에서, 비아를 구비한 금속화 패턴(144)이 유전체층(140) 상에 형성된다. 금속화 패턴(144)을 형성하는 예시로서, 시드층(미도시됨)은 유전체층(140) 위에 그리고 유전체층(140)을 관통하는 개구(142) 내에 형성된다. 일부 실시예에서, 시드층은 금속층이고, 이 금속층은 단일층이거나 상이한 물질들로 형성된 복수의 서브층들을 포함하는 합성층일 수 있다. 일부 실시예에서, 시드층은 티타늄층과 이 티타늄층 위에 구리층을 포함한다. 시드층은 예를 들면, PVD 등을 사용해 형성될 수 있다. 그런 다음, 포토 레지스트가 시드층 상에 형성되고 패터닝된다. 포토 레지스트는 스핀 코팅 등에 의해 형성될 수 있고, 패터닝을 위해 광에 노출될 수 있다. 포토 레지스트의 패턴은 금속화 패턴(144)에 대응한다. 패터닝은 시드층을 노출시키도록 포토 레지스트를 관통해 개구를 형성한다. 전도성 물질이 포토 레지스트의 개구 내에 그리고 시드층의 노출된 부분 상에 형성된다. 전도성 물질은 예컨대, 전기 도금 또는 무전해 도금 등과 같은 도금에 의해 형성될 수 있다. 전도성 물질은 구리, 티타늄, 텅스텐, 알루미늄 등과 같은 금속을 포함할 수 있다. 그런 다음, 포토 레지스트와 그 위에 전도성 물질이 형성되지 않는 시드층의 부분이 제거된다. 포토 레지스트는 허용가능한 애싱 또는, 예컨대, 산소 플라즈마 등을 사용하는 박리 프로세스에 의해 제거될 수 있다. 일단 포토 레지스트가 제거되면, 시드층의 노출된 부분은, 예컨대, 습식 또는 건식 에칭과 같은 허용가능한 에칭 프로세스를 사용해서 제거된다. 시드층과 전도성 물질의 잔여 부분은 금속화 패턴(144)과 비아를 형성한다. 비아는 유전체층(140)을 관통해 예를 들면, 금속화 패턴(138)의 부분쪽으로 개구(142) 내에 형성된다.
도 11에서, 유전체층(146)이 금속화 패턴(144)과 유전체층(140) 상에 퇴적된다. 일부 실시예에서, 유전체층(146)은 중합체로 형성되고, 이 중합체는 리소그래피 마스크를 사용해 패터닝될 수 있는, 예컨대, PBO, 폴리이미드, BCB 등과 같은 감광재일 수 있다. 다른 실시예에서, 유전체층(146)은, 질화물(예컨대 실리콘 질화물), 산화물(예컨대 실리콘 산화물, PSG, BSG, BPSG) 등으로 형성된다. 유전체층(146)은 스핀 코팅, 라미네이션, CVD 등, 또는 이들의 조합에 의해 형성될 수 있다.
그런 다음, 유전체층(146)이 패터닝된다. 패터닝은 금속화 패턴(144)의 부분을 노출시키도록 개구(148)를 형성한다. 패터닝은, 예를 들면, 유전체층(146)이 감광재일 때 유전체층(146)을 광에 노출시킴으로써, 또는 예를 들면, 이방성 에칭을 사용하여 에칭함으로써와 같은 허용가능한 프로세스에 의한 것일 수 있다. 유전체층(146)이 감광재이면, 유전체층(146)은 노출 후에 현상될 수 있다.
도 12에서, 비아를 구비한 금속화 패턴(150)이 유전체층(146) 상에 형성된다. 금속화 패턴(150)을 형성하는 예시로서, 시드층(미도시됨)은 유전체층(146) 위에 그리고 유전체층(146)을 관통하는 개구(148) 내에 형성된다. 일부 실시예에서, 시드층은 금속층이고, 이 금속층은 단일층이거나 상이한 물질들로 형성된 복수의 서브층들을 포함하는 합성층일 수 있다. 일부 실시예에서, 시드층은 티타늄층과 이 티타늄층 위에 구리층을 포함한다. 시드층은 예를 들면, PVD 등을 사용해 형성될 수 있다. 그런 다음, 포토 레지스트가 시드층 상에 형성되고 패터닝된다. 포토 레지스트는 스핀 코팅 등에 의해 형성될 수 있고, 패터닝을 위해 광에 노출될 수 있다. 포토 레지스트의 패턴은 금속화 패턴(150)에 대응한다. 패터닝은 시드층을 노출시키도록 포토 레지스트를 관통해 개구를 형성한다. 전도성 물질이 포토 레지스트의 개구 내에 그리고 시드층의 노출된 부분 상에 형성된다. 전도성 물질은 예컨대, 전기 도금 또는 무전해 도금 등과 같은 도금에 의해 형성될 수 있다. 전도성 물질은 구리, 티타늄, 텅스텐, 알루미늄 등과 같은 금속을 포함할 수 있다. 그런 다음, 포토 레지스트와 그 위에 전도성 물질이 형성되지 않는 시드층의 부분이 제거된다. 포토 레지스트는 허용가능한 애싱 또는, 예컨대, 산소 플라즈마 등을 사용하는 박리 프로세스에 의해 제거될 수 있다. 일단 포토 레지스트가 제거되면, 시드층의 노출된 부분은, 예컨대, 습식 또는 건식 에칭과 같은 허용가능한 에칭 프로세스를 사용해서 제거된다. 시드층과 전도성 물질의 잔여 부분은 금속화 패턴(150)과 비아를 형성한다. 비아는 유전체층(146)을 관통해 예를 들면, 금속화 패턴(144)의 부분쪽으로 개구 내에 형성된다.
도 13에서, 유전체층(152)이 금속화 패턴(150)과 유전체층(146) 상에 퇴적된다. 일부 실시예에서, 유전체층(152)은 중합체로 형성되고, 이 중합체는 리소그래피 마스크를 사용해 패터닝될 수 있는, 예컨대, PBO, 폴리이미드, BCB 등과 같은 감광재일 수 있다. 다른 실시예에서, 유전체층(152)은, 질화물(예컨대 실리콘 질화물), 산화물(예컨대 실리콘 산화물, PSG, BSG, BPSG) 등으로 형성된다. 유전체층(152)은 스핀 코팅, 라미네이션, CVD 등, 또는 이들의 조합에 의해 형성될 수 있다.
그런 다음, 유전체층(152)이 패터닝된다. 패터닝은 금속화 패턴(150)의 부분을 노출시키도록 개구(154)를 형성한다. 패터닝은, 예를 들면, 유전체층(152)이 감광재일 때 유전체층(152)을 광에 노출시킴으로써, 또는 예를 들면, 이방성 에칭을 사용하는 에칭에 의한 것과 같은 허용가능한 프로세스에 의한 것일 수 있다. 유전체층(152)이 감광재이면, 유전체층(152)은 노출 후에 현상될 수 있다. 개구(154)는 개구(136, 142, 148)보다 넓을 수 있다.
도 14에서, UBM(under bump metallurgies)(156)이 유전체층(152) 상에 형성된다. 예증된 실시예에서, UBM(156)은 금속화 패턴 쪽으로 개구(154)를 관통하고 유전체층(152)을 관통해 형성된다. UBM(156)을 형성하기 위한 예시로서, 시드층(미도시됨)이 유전체층(152) 위에 형성된다. 일부 실시예에서, 시드층은 금속층이고, 이 금속층은 단일층이거나 상이한 물질들로 형성된 복수의 서브층들을 포함하는 합성층일 수 있다. 일부 실시예에서, 시드층은 티타늄층과 이 티타늄층 위에 구리층을 포함한다. 시드층은 예를 들면, PVD 등을 사용해 형성될 수 있다. 그런 다음, 포토 레지스트가 시드층 상에 형성되고 패터닝된다. 포토 레지스트는 스핀 코팅 등에 의해 형성될 수 있고, 패터닝을 위해 광에 노출될 수 있다. 포토 레지스트의 패턴은 UBM(156)에 대응한다. 패터닝은 시드층을 노출시키도록 포토 레지스트를 관통해 개구를 형성한다. 전도성 물질이 포토 레지스트의 개구 내에 그리고 시드층의 노출된 부분 상에 형성된다. 전도성 물질은 예컨대, 전기 도금 또는 무전해 도금 등과 같은 도금에 의해 형성될 수 있다. 전도성 물질은 구리, 티타늄, 텅스텐, 알루미늄 등과 같은 금속을 포함할 수 있다. 그런 다음, 포토 레지스트와 그 위에 전도성 물질이 형성되지 않는 시드층의 부분이 제거된다. 포토 레지스트는 허용가능한 애싱 또는, 예컨대, 산소 플라즈마 등을 사용하는 박리 프로세스에 의해 제거될 수 있다. 일단 포토 레지스트가 제거되면, 시드층의 노출된 부분은, 예컨대, 습식 또는 건식 에칭과 같은 허용가능한 에칭 프로세스를 사용해서 제거된다. 시드층의 잔여 부분과 전도성 물질은 UBM(156)을 형성한다. UBM(156)이 상이하게 형성되는 실시예에서, 더 많은 포드 레지스트 및 패너닝 단계들이 활용될 수 있다.
전면 재배선 구조물(132)이 예시로서 도시된다. 더 많거나 더 적은 유전체층과 금속화 패턴이 전면 재배선 구조물(132) 내에 형성될 수 있다. 더 적은 유전체층과 금속화 패턴이 형성되면, 위에서 논의된 단계 및 프로세스가 생략될 수 있다. 더 많은 유전체층과 금속화 패턴이 형성되면, 위에서 논의된 단계 및 프로세스가 반복될 수 있다. 당업자는 어느 단계 및 프로세스가 생략되거나 반복될지를 쉽게 이해할 것이다.
도 15에서, 전도성 커넥터(158)가 UBM(156) 상에 형성된다. 전도성 커텍터(158)는 BGA 커넥터, 솔더 볼, 금속 필러(pillar), 제어형 붕괴 칩 접속(controlled collapse chip connection; C4) 범프, 마이크로 범프, ENEPIG(electroless nickel-electroless palladium-immersion gold) 기술에 의해 형성된 범프 등일 수 있다. 전도성 커넥터(158)는 예를 들면, 솔더, 구리, 알루미늄, 금, 니켈, 은, 팔라듐, 주석 등, 또는 이들 물질의 조합과 같은, 전도성 물질을 포함할 수 있다. 일부 실시예에서, 전도성 커넥터(158)는 증발, 전기 도금, 프린팅, 솔더 전사(transfer), 볼 배치(ball placement) 등과 같은 일반적으로 사용되는 방법을 통해 솔더층을 초기에 형성함으로써 형성된다. 일단 솔더층이 이 구조물 상에 형성되었으면, 물질을 원하는 범프 형상 내로 성형하도록 리플로우(reflow)가 수행될 수 있다. 또 다른 실시예에서, 전도성 커넥터(158)는 스퍼터링, 프린팅, 전기 도금, 무전해 도금, CVD 등에 의해 형성된 금속 필라(예를 들면, 구리 필라)이다. 금속 필라는 솔더가 없을 수 있고, 실질적으로 수직인 측벽을 가질 수 있다. 일부 실시예에서, 금속 캡층(미도시됨)은 금속 필아의 상단 상에 형성된다. 금속 캡층은 니켈, 주석, 주석-납, 금, 은, 팔라듐, 인듐, 니켈-팔라듐-금, 니켈-금 등, 또는 이들 물질의 조합을 포함할 수 있고, 도금 프로세스에 의해 형성될 수 있다.
도 16에서, 캐리어 기판 디본딩(de-bonding)이, 후면 재배선 구조물, 예를 들면, 유전층(104)으로부터 캐리어 기판(100)을 분리(디본딩)시키도록 수행된다. 이에 따라, 제1 패키지(200)가 제1 패키지 영역(600)과 제2 패키지 영역(602) 각각 내에 형성된다. 일부 실시예에 따라, 릴리스층(102)이 광의 열 하에서 분해되고 캐리어 기판(100)이 제거될 수 있도록, 디본딩은 릴리스층(102) 상에 예를 들면, 레이저 광 또는 UV 광과 같은, 광을 투사하는 것을 포함한다. 그런 다음, 구조물이 뒤집혀지거나 테이프(160) 상에 배치된다. 또한, 금속화 패턴(106)의 부분을 노출시키도록 개구(162)가 유전층(104)을 관통해 형성된다. 개구(162)는 예를 들면, 레이저 드릴링, 에칭 등을 사용해 형성될 수 있다.
도 17 내지 20은 일부 실시예들에 따라 패키지 구조물(500)을 형성하기 위한 프로세스 동안의 중간 단계들의 단면도를 예증한다. 패키지 구조물(500)은 패키지-온-패키지(package-on-package; PoP) 구조물이라고 지칭될 수 있다.
도 17에서, 제2 패키지(300)는 제1 패키지(200)에 부착된다. 제2 패키지(300)는 기판(302)과, 기판(302)에 결합된 하나 이상의 적층된 다이(308)((308A 및 308B)를 포함한다. 비록 다이들(308)(308A 및 308B)의 단일 적층이 예증되지만, 다른 실시예에서, 복수의 적층된 다이들(308)(각각은 하나 이상의 적층된 다이들을 가짐)이 기판(302)의 동일 표면에 나란히 배치될 수 있다. 기판(302)은 실리콘 게르마늄, 다이아몬드 등과 같은 반도체 물질로 제조될 수 있다. 일부 실시예에서, 실리콘, 게르마늄, 실리콘 탄화물, 갈륨 비화물, 인듐 비화물, 인듐 인화물, 실리콘 게르마늄 탄화물, 갈륨 비소 인화물, 갈륨 인듐 인화물, 이 물질들의 조합 등과 같은 복합 물질들이 또한 사용될 수 있다. 또한, 기판(302)은 실리콘-온-인슐레이터(semiconductor-on-insulator; SOI) 기판일 수 있다. 일반적으로, SOI 기판은 예컨대, 에피택셜 실리콘, 게르마늄, 실리콘 게르마늄, SOI, 실리콘 게르마늄 온 인슐레이터(silicon germanium on insulator; SGOI) 또는 이들의 조합과 같은 반도체 물질층을 포함한다. 하나의 대안적인 실시예에서, 기판(302)은 유리 섬유 강화 수지 코어와 같은 절연 코어에 기초한다. 하나의 예시적인 코어 물질은 예를 들면, FR4와 같은 유리섬유 수지이다. 코어 물질을 위한 대체재는 BT(bismaleimide-triazine) 수지, 또는 대안적으로 다른 인쇄 회로 기판(printed circuit board; PCB) 물질 또는 막을 포함한다. 예를 들면, ABF(Ajinomoto build-up film) 또는 다른 라미네이트와 같은 빌드 업(build up) 막이 기판(302)을 위해 사용될 수 있다.
기판(302)은 능동 디바이스와 수동 디바이스를 포함할 수 있다(도시되지 않음). 당업자는, 트랜지스터, 캐패시터, 저항기, 이들의 조합들 등과 같은 매우 다양한 디바이스들이 제2 패키지(300)를 위한 설계의 구조적 및 기능적 요건들을 생성하기 위해 사용될 수 있다는 것을 인식할 것이다. 디바이스는 임의의 적절한 방법을 사용해 형성될 수 있다.
기판(302)은 금속화층(미도시됨) 및 관통 비아(306)를 또한 포함할 수 있다. 금속화층은 능동 디바이스와 수동 디바이스 위에 형성될 수 있고, 기능적 회로를 형성하기 위해 다양한 디바이스들을 접속시키기 위해 설계된다. 금속화층은 전도성 물질의 층들을 상호접속하는 비아와 함께 유전체(예컨대, 로우-k 유전체 물질)와 전도성 물질(예컨대, 구리)의 교번층들로 형성될 수 있고, 임의의 적절한 프로세스(예컨대, 퇴적, 다마신, 이중 다마신 등)를 통해 형성될 수 있다. 일부 실시예에서, 기판(302)은 실질적으로 능동 디바이스와 수동 디바이스를 포함하지 않는다.
기판(302)은 적층된 다이(308)에 결합하도록 기판(202)의 제1 측부 상의 본드 패드(303)와, 전도성 커넥터(314)에 결합시키도록 기판(302)의 제2 측부 - 제2 측부는 기판(302)의 제1 측부에 대향함 - 상에 본드 패드(304)를 구비할 수 있다. 일부 실시예에서, 본드 패드(303 및 304)는 리세스(미도시됨)를 기판(302)의 제1 측부 및 제2 측부 상의 유전층(미도시됨) 안으로 형성함으로써 형성된다. 리세스는 본드 패드(303 및 304)가 유전층안으로 임베드(embed)되게 하도록 형성될 수 있다. 다른 실시예에서, 본드 패드(303 및 304)가 유전층 상에 형성될 수 있으므로 리세스가 생략된다. 일부 실시예에서, 본드 패드(303 및 304)는 구리, 티타늄, 니켈, 금, 팔라듐 등 또는 이 물질들의 조합으로 이루어진 얇은 씨드층(미도시됨)을 포함한다. 본드 패드(303 및 304)의 전도성 물질은 얇은 씨드층 위에 퇴적될 수 있다. 전도성 물질은 전기 화학 도금 프로세스, CVD, ALD, PVD 등 또는 이것들의 조합에 의해 형성될 수 있다. 실시예에서, 본드 패드(303 및 304)의 전도성 물질은 구리, 텅스턴, 알루미늄, 은, 금 등 또는 이 물질들의 조합이다.
실시예에서, 본드 패드들(303 및 304)은, 예컨대, 티타늄층, 구리층 및 니켈층과 같은 전도성 물질의 3개의 층들을 포함하는 UBM이다. 예를 들면, 본드 패드(304)는 구리로부터 형성될 수 있고, 티타늄층 상에 형성될 수 있으며(미도시됨), 니켈 피니쉬(finish, 305)를 가질 수 있다. 니켈 피니쉬(305)는 디바이스 패키지(300)의 유통 기한을 향상시킬 수 있는데, 이는 디바이스 패키지(300)가 예를 들면, DRAM 모듈과 같은 메모리 디바이스일 때 특히 이로울 수 있다. 그러나, 본 발명이 속하는 기술 분야에서 통상의 지식을 가진 자는 본드 패드(303 및 304)의 형성에 적합한 크롬/크롬-구리 합금/구리/금의 배열, 티타늄/티나튬-텅스텐/구리의 배열 또는 구리/니켈/금의 배열과 같은 물질 및 층의 많은 적합한 배열이 있다는 것을 인식할 것이다. 본드 패드(303 및 304)에 대하여 사용될 수 있는 임의의 적합한 물질 또는 물질층은 본 출원의 범위 내에서 포함되는 것으로 전적으로 의도된다. 일부 실시예에서, 관통 비아(306)는 기판(302)을 관통해 연장되고, 적어도 하나의 본드 패드(303)를 적어도 하나의 본드 패드(304)에 결합시킨다.
예증된 실시예에서, 비록 예컨대, 전도성 범프와 같은 다른 접속부들이 사용될 수 있지만, 적층된 다이(308)는 와이어 본드(310)에 의해 기판(302)에 결합된다. 일 실시예에서, 적층된 다이(308)는 적층된 메모리 다이이다. 예를 들면, 적층된 다이(308)는 예컨대, LPDDR1, LPDDR2, LPDDR3, LPDDR4 등의 메모리 모듈과 같은 저전력(low-power; LP) 더블 데이터 레이트(double data rate; DDR) 메모리 모듈일 수 있다. 위에서 지적된 바와 같이, 이러한 실시예에서, 본드 패드(304)는 니켈 피니쉬(305)를 가질 수 있다.
적층된 다이(308)와 와이어 본드(310)는 몰딩 물질(312)에 의해 캡슐화될 수 있다. 몰딩 물질(312)은 예를 들면, 압축 몰딩을 사용해 적층된 다이(308)와 와이어 본드(310) 상에 몰딩될 수 있다. 일부 실시예에서, 몰딩 물질(312)은 몰딩 화합물, 중합체, 에폭시, 실리콘 산화물 필러 물질 등, 또는 이들 물질들의 조합이다. 경화 프로세스는 몰딩 물질(312)을 경화시키도록 수행될 수 있으며, 경화 프로세스는 열 경화, UV 경화 등 또는 이들의 조합일 수 있다.
일부 실시예에서, 적층된 다이(308)와 와이어 본드(310)는 몰딩 물질(312) 내에 매립되고, 몰딩 물질(312)의 경화 후에, 연마와 같은 평탄화 단계가 수행되어 몰딩 물질(312)의 과잉 부분을 제거시키고 제2 패키지(300)를 위해 실질적으로 평면인 표면을 제공한다.
제2 패키지(300)가 형성된 후에, 제2 패키지(300)는 전도성 커넥터(314), 본드 패드(304), 및 금속화 패턴(106)을 경유해 제1 패키지(200)에 기계적으로 그리고 전기적으로 본딩된다. 일부 실시예에서, 적층된 다이(308)는 와이어 본드(310), 본드 패드(303 및 304), 관통 비아(306), 전도성 커넥터(314), 및 관통 비아(112)를 통해 집적 회로 다이(114)에 결합될 수 있다. 도 18a 내지 18c는 전도성 커넥터(314)를 사용해 제1 패키지(200)와 제2 패키지(300)를 본딩하기 위한 프로세스 동안의 영역(650)의 더 많은 세부 사항을 예증하는 단면도이다.
도 18a에서, 리플로우 가능층(402)은 개구(162) 내의 노출된 금속화 패턴(106) 각각 상에 형성된다. 리플로우 가능층(402)은 솔더층(때때로 사전-솔더층(pre-solder layer)이라고 알려짐), 솔더 페이스트 등일 수 있다. 실시예에서, 비록 예를 들면, 전기 도금 또는 무전해 도금과 같은, 다른 프로세스가 활용될 수 있지만, 리플로우 가능층(402)은 예를 들면, SnCu, SnAgCu 등 또는 이들 물질의 조합과 같은, Cu-함유 사전-솔더 물질이며, 노출된 금속화 패턴(106) 상으로 프린팅될 수 있다. 리플로우 가능층(402)의 Cu 농도는 약 5% 내지 약 10%일 수 있다. 일부 실시예에서, 리플로우 가능층(402)은 개구(162)를 완전히 충전하거나 과충전하며, 다른 실시예에서, 리플로우 가능층(402)은 개구(162)를 단지 부분적으로만 충전한다. 개구(162)는 약 230 μm 내지 약 260 μm의 예를 들면, 약 250 μm의 폭 W1로 형성된다. 따라서, 각 개구(162) 내의 리플로우 가능층(402)의 부분은 또한 폭 W1를 가진다.
도 18b에서, 리플로우 가능 커넥터(404)는 후면 재배선 구조물(110)의 후면 위에, 리플로우 가능층(402) 상에 형성된다. 리플로우 가능 커넥터(404)는 전도성 커넥터(158)와 유사할 수 있다. 예를 들면, 리플로우 가능 커넥터(404)는 증발, 전기 도금, 프린팅, 솔더 전사(transfer), 볼 배치(ball placement) 등과 같은 그러한 일반적으로 사용되는 방법을 통해 솔더층을 초기에 형성함으로써 형성된다. 일단 솔더층이 이 구조물 상에 형성되었으면, 물질을 요구되는 범프 형상 내로 성형하도록 리플로우가 수행될 수 있다. 리플로우 가능 커넥터(404)는 실질적으로 어떠한 Cu도 함유하지 않거나 매우 적은 Cu를 함유한다. 특히, 리플로우 가능층(402)의 Cu 농도는 리플로우 가능 커넥터(404)의 Cu 농도보다 크다. 형성 후에, 리플로우 가능 커넥터(404)는 약 250 μm 내지 약 320 μm, 예를 들면 약 300 μm의 폭 W2를 가진다.
일부 실시예에서, 형성 후에, 리플로우 가능 커넥터(404)는 예컨대, 무세척 플럭스와 같은 플럭스(미도시됨)로 코팅된다. 리플로우 가능 커넥터(404)는 플럭스 내에 담거질 수 있거나 플럭스는 리플로우 가능 커넥터(404) 상으로 분사될 수 있다. 또 다른 실시예에서, 플럭스는 금속화 패턴(106)의 표면에 도포될 수 있다.
도 18c에서, 리플로우 프로세스는 예를 들면, 솔더 본딩에 의해 제2 패키지(300)를 제1 패키지(200)에 본딩하도록 수행된다. 이 리플로우 프로세스 동안에, 리플로우 가능층(402)과 리플로우 가능 커넥터(404)는 전도성 커넥터(314)를 형성하도록 리플로우된다. 리플로우 프로세스 후에, 리플로우 가능층(402)과 리플로우 가능 커넥터(404)는 섞일 수 있고, 분리된 구조물들로서 구별되게 보이지 않을 수 있다. 이 리플로우 프로세스 동안에, 전도성 커넥터(314)는, 제2 패키지(300)를 물리적으로 그리고 전기적으로 제1 패키지(200)에 결합시키도록 본드 패드(304) 및 금속화 패턴(106)에 접촉한다. 전도성 커넥터(314)는 개구(162) 내의 적층된 다이(308)로서 기판(302)의 대향 측부 상에 배치될 수 있다. 본딩 프로세스 후에, 금속간 화합물(intermetallic compound; IMC)(미도시됨)은 전도성 커넥터(314)와 본드 패드(304) 사이의 계면에서 형성될 수 있다. IMC(164)는 또한 금속화 패턴(106)과 전도성 커넥터(314)의 계면에서 형성된다. 형성 후에, 각각의 IMC(164)는 약 245 μm 내지 약 275 μm, 예를 들면 약 255 μm의 폭 W3을 가진다. IMC(164)의 폭 W3은 전도성 커넥터(314)의 폭 W2보다 작고, 개구(162)의 폭 W1보다 클 수 있다.
니켈 피니쉬(305)는 본드 패드(304) 상에 형성되는 실시예에서, 리플로우 프로세스는 더 많은 구리가 IMC(164)의 형성 동안에 금속화 패턴(106)으로부터 소모되는 것을 초래한다. 또한, 니켈 피니쉬(305)가 차단층으로서 작용하기 때문에 실질적으로 어떠한 Cu도 본도 패드(304)로부터 소모되지 않는다. 따라서, 픽의 법칙(Fick's law)에 따라, 전도성 커넥터(314)는, 니켈 피니쉬(305)가 형성되는 실시예에서 Cu의 단계적 농도를 가진다. 특히, Cu의 농도는 금속화 패턴(106)으로부터 니켈 피니쉬(305)로 연장되는 방향으로 전도성 커넥터(314)를 거쳐 감소할 수 있다.
IMC(164)는 두께 T1로 형성되고, 후면 재배선 구조물(110)의 금속화 패턴(106)은 두께 T2로 형성된다. 위에서 지적된 바와 같이, 개구(162)는 폭 W1로 형성되고, 리플로우 가능 커넥터(404)는 폭 W2으로 형성된다. 개구(162)와 리플로우 가능 커넥터(404)를 형성하는 프로세스 조건은, 폭 W2 대 폭 W1의 비가 특정 범위 내에 있도록 제어된다. 폭 W2 대 폭 W1의 비를 제어하는 것은 IMC(164)의 두께 T1이 제어되는 것을 허용한다. 특히, 폭 W2 대 폭 W1의 비는, 두께 T1이 두께 T3의 차이만큼 두께 T2보다 작게 되도록 제어된다. 실시예에서, 금속화 패턴(106)의 두께 T2는 약 6 μm 내지 약 10 μm, 예를 들면, 약 7 μm일 수 있다. 이러한 실시예에서, 폭 W2 대 폭 W1의 비를 약 1.53 보다 작게 제약하는 것은, IMC(164)의 두께 T1이 금속화 패턴(106)의 두께 T2보다 작게 되는 것을 허용한다. 예를 들면, IMC(164)의 두께 T1은 예를 들면, 3 μm 내지 약 6 μm와 같이, 약 6.5 μm보다 작을 수 있으며, 두께 T3의 차이는 예를 들면, 약 1 μm 내지 약 2.5 μm와 같이, 약 0.5 μm보다 클 수 있다.
전도성 커넥터(314)가 형성된 후에, 제1 패키지(200)와 제2 패키지(300)는 패키지의 신뢰도를 결정하기 위해 검사될 수 있다. 검사 프로세스는 패키지를 고수준의 열에 쬘(subject) 수 있다. IMC(164)가 금속화 패턴(106)을 통해 완전히 형성되면, 시드층(113)의 적층 박리가 고온 검사 동안에 발생할 수 있다. 따라서, 비록 신뢰성 있는 접속이 리플로우 동안 형성되었지만. 접속은 후속적으로 검사 동안 실패할 수 있다.
리플로우 가능층(402)의 Cu 농도가 리플로우 가능 커넥터(404)보다 크고, 리플로우 가능층(402)이 약 5% 내지 약 10%의 Cu 농도로 형성되기 때문에, 그 결과의 전도성 커넥터(314)는 중량으로 약 0.55 % 내지 중량으로 약 0.7 %, 예를 들면 중량으로 약 0.5%보다 큰 Cu 농도를 가질 수 있다. 이러한 농도는 IMC(164)가 형성되는 것을 허용하지만, 리플로우 동안 금속화 패턴(106)으로부터 소모되는 Cu의 양을 감소시킨다. 금속화 패턴(106)으로부터 소모되는 Cu의 양을 감소시키는 것은, 일부의 순수 Cu가 금속화 패턴(106)의 부분 내에 남는 것을 허용할 수 있어서, 검사 동안 시드층(113)의 적층 박리를 회피한다.
금속화 패턴(106)의 두께 T2보다 작은 두께 T1을 갖도록 IMC(164)를 형성함으로써, 일부 구리가 리플로우 프로세스 후에 IMC(164)와 시드층(113) 사이에 배치된 채로 남아 있다. 시드층(113)과 금속화 패턴(106) 사이의 접착은 시드층(113)과 IMC(164) 사이의 접착보다 더 강할 수 있다. 따라서, 시드층(113)까지 계속 연장되지 않도록 IMC(164)를 형성함으로써, 시드층(113)의 적층 박리가 검사 동안에 회피되거나 감소될 수 있다.
일부 실시예에서, 솔더 레지스트(미도시됨)는 적층된 다이(308)에 대향되는 기판(302)의 측부 상에 형성된다. 전도성 커넥터(314)는 기판(302) 내 전도성 피처(예컨대, 본드 패드(304))에 전기적으로 그리고 기계적으로 결합되도록 솔더 레지스트 내의 개구 내에 배치될 수 있다. 솔더 레지시트는 기판(302)의 영역을 외부 손상으로부터 보호하기 위해 사용될 수 있다.
일부 실시예에서, 전도성 커넥터(314)는, 제2 패키지(300)가 제1 패키지(200)에 부착된 후에 남아 있는 에폭시 플럭스의 에폭시 부분 중 적어도 일부를 가지고 그 자신이 리플로우되기 전에 그 자신 상에 형성된 에폭시 플럭스(미도시됨)를 가진다.
일부 실시예에서, 언더필(미도시됨)은 제1 패키지(200)와 제2 패키지(300) 사이에 그리고 전도성 커넥터(314) 주위에 형성된다. 언더필은 응력을 감소시키고, 전도성 커넥터(314)를 리플로우하는 것으로부터 초래되는 조인트(joint)를 보호할 수 있다. 언더필은 제1 패키지(200)가 부착된 후에 모세관 플로우 프로세스(capillary flow process)에 의해 형성될 수 있거나, 제1 패키지(200)가 부착되기 전에 적절한 퇴적 방법에 의해 형성될 수 있다. 에폭시 플럭스가 형성되는 실시예에서, 에폭시 플럭스가 언더필로서 작용할 수 있다.
도 19에서, 싱귤레이션 프로세스(316)는 예를 들면, 제1 패키지 영역(600)과 제2 패키지 영역(602) 사이에서, 스크라이브 라인 영역을 따라 쏘잉함으로써 수행된다. 쏘잉은 제1 패키지 영역(600)을 제2 패키지 영역(602)으로부터 싱귤레이팅한다. 산출되는 싱귤레이팅된 제1 및 제2 패키지(200와 300)는 제1 패키지 영역(600) 또는 제2 패키지 영역(602) 중 하나로부터 유래한다. 일부 실시예에서, 싱귤레이션 프로세스(316)는 제2 패키지(300)가 제1 패키지(200)에 부착된 후에 수행된다. 다른 실시예에서(미도시됨), 싱귤레이션 프로세스(306)는 제2 패키지(300)가 제1 패키지(200)에 부착되기 전에, 예를 들면, 캐리어 기판(100)이 디본딩되고 개구(162)가 형성된 후에 수행된다.
도 20에서, 제1 패키지(200)는 전도성 커넥터(158)를 사용해 패키지 기판(400)에 실장된다. 패키지 기판(400)은 실리콘, 게르마늄, 다이아몬드 등과 같은 반도체 물질로 제조될 수 있다. 대안적으로, 실리콘 게르마늄, 실리콘 탄화물, 갈륨 비화물, 인듐 비화물, 인듐 인화물, 실리콘 게르마늄 탄화물, 갈륨 비소 인화물, 갈륨 인듐 인화물, 이 물질들의 조합 등과 같은 복합 물질들이 또한 사용될 수 있다. 또한, 패키지 기판(400)은 SOI 기판일 수 있다. 일반적으로, SOI 기판은 예컨대, 에피택셜 실리콘, 게르마늄, 실리콘 게르마늄, SOI, SGOI 또는 이들의 조합과 같은 반도체 물질층을 포함한다. 하나의 대안적인 실시예에서, 패키지 기판(400)은 유리 섬유 강화 수지 코어와 같은 절연 코어에 기초한다. 하나의 예시적인 코어 물질은 예를 들면, FR4와 같은 유리섬유 수지이다. 코어 물질을 위한 대체재는 BT(bismaleimide-triazine) 수지, 또는 대안적으로 다른 PCB 물질 또는 막을 포함한다. ABF 또는 다른 라미네이트와 같은 빌드 업(build up) 막이 패키지 기판(400)을 위해 사용될 수 있다.
패키지 기판(400)은 능동 디바이스와 수동 디바이스(미도시됨)를 포함할 수 있다. 당업자가 인정하는 바와 같이, 트랜지스터, 커패시터, 저항기, 이들의 조합들 등과 같은 매우 다양한 디바이스들이 패키지 구조물(500)을 위한 설계의 구조적 및 기능적 요건들을 생성하기 위해 사용될 수 있다. 디바이스는 임의의 적절한 방법을 사용해 형성될 수 있다.
패키지 기판(400)은 금속화층과 비아(미도시됨)와, 금속화층 및 비아 위의 본드 패드(402)를 또한 포함할 수 있다. 금속화층은 능동 및 수동 디바이스 위에 형성될 수 있고, 기능적 회로를 형성하기 위해 다양한 디바이스들을 접속시키기 위해 설계된다. 금속화층은 전도성 물질의 층들을 상호접속하는 비아와 함께 유전체(예컨대, 로우-k 유전체 물질)와 전도성 물질(예컨대, 구리)의 교번층들로 형성될 수 있고, 임의의 적절한 프로세스(예컨대, 퇴적, 다마신, 이중 다마신 등)를 통해 형성될 수 있다. 일부 실시예에서, 패키지 기판(400)은 실질적으로 능동 및 수동 디바이스들을 포함하지 않는다.
일부 실시예에서, 전도성 커넥터(158)는 제1 패키지(200)를 본드 패드(402)에 부착시키기 위해 리플로우된다. 전도성 커넥터(158)는, 패키지 기판(400) 내의 금속화층을 포함해서, 패키지 기판(400)을 제1 패키지(200)에 전기적으로 그리고/또는 물리적으로 결합시킨다. 일부 실시예에서, 수동 디바이스(예를 들면, 표면 실장 디바이스(surface mount devices; SMD), 예증되지 않음)는 패키지 기판(400) 상에 실장되기 전에 제1 패키지(200)(예를 들면, 본드 패드(402)에 본딩됨)에 부착될 수 있다. 이러한 실시예에서, 수동 디바이스는 제1 패키지(200)의, 전도성 커넥터(158)와 동일한 표면에 본딩될 수 있다.
전도성 커넥터(158)는, 제1 패키지(200)가 기판(400)에 부착된 후에 남아 있는 에폭시 플럭스의 에폭시 부분 중 적어도 일부를 가지고 그 자신이 리플로우되기 전에 그 자신 상에 형성된 에폭시 플럭스(미도시됨)를 가질 수 있다. 이 남아있는 에폭시 부분은 응력을 감소시키기 위한 언더필로서 작용하고, 전도성 커넥터(158)를 리플로우하는 것으로부터 초래되는 조인트(joint)를 보호할 수 있다. 일부 실시예에서, 언더필(미도시됨)은 제1 패키지(200)와 패키지 기판(400) 사이에 그리고 전도성 커넥터(158) 주위에 형성될 수 있다. 언더필은 제1 패키지(200)가 부착된 후에 모세관 플로우 프로세스에 의해 형성될 수 있거나, 제1 패키지(200)가 부착되기 전에 적절한 퇴적 방법에 의해 형성될 수 있다.
실시예는 이점을 달성할 수 있다. 폭 W2 대 폭 W1의 비를 1.53보다 작게 제어하는 것은 IMC(164)의 두께가 제어되는 것을 허용할 수 있다. 특히, 금속화 패턴(106)의 두께보다 작은 두께를 갖도록 IMC(164)를 형성함으로써, 일부 Cu가 전도성 커넥터(314)와 시드층(113) 사이의 금속화 패턴(106) 내에 남을 수 있다. 그러므로, 검사 동안에 시드층(113)의 적층 박리가 감소되거나 회피될 수 있다.
실시예에서, 디바이스는, 제1 유전체층 상의 금속화 패턴과 상기 금속화 패턴 상의 제2 유전체층을 포함하는 후면 재배선 구조물; 금속화 패턴에 접촉하도록 제1 유전체층을 관통해 연장되는 관통 비아; 제1 유전체층 상의 관통 비아에 인접한 집적 회로 다이; 제1 유전체층 상의 몰딩 화합물 - 몰딩 화합물은 관통 비아와 집적 회로 다이를 캡슐화함 -; 금속화 패턴에 접촉하도록 제2 유전체층을 관통해 연장되는 전도성 커넥터 - 전도성 커넥터는 관통 비아에 전기적으로 접속됨 -; 및 전도성 커넥터와 금속화 패턴의 계면에 있는 금속간 화합물을 포함하며, 금속간 화합물은 금속화 패턴 내로 단지 부분적으로만 연장된다.
일부 실시예에서, 금속화 패턴은 약 6 μm 내지 약 10 μm의 두께를 가진다. 일부 실시예에서, 금속간 화합물은 약 6.5 μm보다 작은 거리만큼 금속화 패턴 내로 연장된다. 일부 실시예에서, 전도성 커넥터와 관통 비아 사이의 금속화 패턴의 부분은 약 0.5 μm보다 큰 두께를 가진다. 일부 실시예에서, 제2 유전체층을 관통해 연장되는 전도성 커넥터의 제1 부분은 제1 폭을 가지고, 제2 유전체층 외부의 전도성 커넥터의 제2 부분은 제2 폭을 가지며, 제2 폭 대 제1 폭의 비는 1.53보다 작다. 일부 실시예에서, 제2 유전체층을 관통해 연장되는 전도성 커넥터의 제1 부분은 제1 폭을 가지고, 금속간 화합물은 제2 폭을 가지며, 제2 폭은 제1 폭보다 크다. 일부 실시예에서, 금속화 패턴은 제3 폭을 가지고, 제2 폭은 상기 제3 폭보다 작다.
실시예에서, 방법은, 제1 유전체층과 제2 유전체층 사이에 금속화 패턴을 형성하는 단계; 제1 유전체층을 관통해 제1 개구 - 제1 개구는 금속화 패턴의 제1 측부를 노출시킴 - 를 패터닝하는 단계; 제1 개구 내에 시드층을 퇴적시키는 단계; 제2 유전체층을 관통해 제2 개구 - 제2 개구는 금속화 패턴의 제2 측부를 노출시킴 - 를 패터닝하는 단계; 금속화 패턴의 제2 측부 상의 제2 개구 내에 전도성 커넥터를 배치시키는 단계; 및 전도성 커넥터를 리플로우시켜, 전도성 커넥터와 상기 금속화 패턴의 계면에 금속간 화합물을 형성하는 단계 - 상기 금속화 패턴은 상기 금속간 화합물을 상기 시드층으로부터 분리시킴 - 을 포함한다.
일부 실시예에서, 방법은 집적 회로 다이를 제1 유전체층에 부착시키는 단계를 더 포함한다. 일부 실시예에서, 방법은, 집적 회로 다이를 캡슐화하는 몰딩 화합물을 형성하는 단계; 및 시드층 상에 전도성 물질을 도금하는 단계를 더 포함하고, 전도성 물질은 몰딩 화합물을 관통해 그리고 적어도 부분적으로 제1 유전체층 내로 연장된다. 일부 실시예에서, 전도성 커넥터를 리플로우하는 단계는 전도성 커넥터를 사용해 제1 기판을 금속화 패턴의 제2 측부에 본딩한다. 일부 실시예에서, 리플로우하는 단계 후에, 전도성 커넥터는 솔더와 구리를 포함한다. 일부 실시예에서, 전도성 커넥터는 구리의 단계적 농도를 가지고, 구리의 단계적 농도는 금속화 패턴으로부터 멀어지게 연장되는 방향으로 감소된다. 일부 실시예에서, 제2 유전체층을 관통해 연장되는 전도성 커넥터의 제1 부분은 제1 폭을 가지고, 제2 유전체층 외부의 전도성 커넥터의 제2 부분은 제2 폭을 가지며, 제2 폭 대 상기 제1 폭의 비는 1.53보다 작다.
실시예에 있어서, 방법은, 금속화 패턴을 제1 유전체층 상에 형성하는 단계; 금속화 패턴과 제1 유전체층 상에 제2 유전체층을 퇴적시키는 단계; 금속화 패턴의 제1 측부에 접촉하도록 제2 전체층을 관통해 연장되는 관통 비아를 형성하는 단계; 금속화 패턴의 제2 측부를 노출시키는 제1 개구를 제1 유전체층 내에 에칭하는 단계; 제1 리플로우 가능 물질을 제1 개구 내에 프린팅하는 단계 - 제1 리플로우 가능 물질과 제2 리플로우 가능 물질은 상이한 농도들의 전도성 물질을 포함함 -; 및 제1 리플로우 가능 물질과 제2 리플로우 가능 물질을 리플로우시켜, 제1 유전체층을 관통해 연장되는 전도성 커넥터와, 금속화 패턴과 전도성 커넥터의 계면에 금속간 화합물을 형성하는 단계를 포함한다.
일부 실시예에서, 비아를 형성하는 단계는, 금속화 패턴의 제1 측부를 노출시키는 제2 개구를 제2 유전체층 내에 에칭하는 단계; 시드층을 제2 개구 내에 퇴적시키는 단계; 및 전도성 물질을 시드층 상에 도금하는 단계를 포함하고, 전도성 물질과 시드층은 비아를 형성한다. 일부 실시예에서, 방법은, 집적 회로 다이 - 집적 회로 다이는 비아에 인접함 - 를 상기 제2 유전체층에 부착시키는 단계; 및 비아와 집적 회로 다이를 몰딩 화합물을 사용해 캡슐화하는 단계를 더 포함한다. 일부 실시예에서, 방법은, 전도성 커넥터를 사용해 기판을 금속화 패턴에 부착시키는 단계를 더 포함한다. 일부 실시예에서, 금속화 패턴은 약 6 μm 내지 약 10 μm의 두께를 가진다. 일부 실시예에서, 제1 개구는 제1 폭을 가지고, 전도성 커넥터는 제2 폭을 가지며, 제2 폭 대 제1 폭의 비는 1.53보다 작다.
위에서는 당업자가 본 개시의 양상들을 더 잘 이해할 수 있도록 몇 개의 실시예들의 특징들을 약술한다. 당업자는, 자신이 본 명세서에서 소개된 실시예의 동일한 목적을 수행하고 그리고/또는 동일한 이점을 달성하기 위한 다른 프로세스와 구조물을 설계하기 위한 기초로서 본 발명 개시를 쉽게 이용할 수 있다는 것을 인식해야 한다. 또한, 당업자들은 등가의 구성이 본 개시의 취지 및 범위를 벗어나지 않으며 그리고 본 개시의 취지 및 범위를 벗어나지 않고 다양한 변화, 대체 및 변경을 이룰 수 있음을 알아야 한다.
실시예들
실시예 1. 디바이스에 있어서,
제1 유전체층 상의 금속화 패턴과 상기 금속화 패턴 상의 제2 유전체층을 포함하는 후면 재배선 구조물;
상기 금속화 패턴에 접촉하도록 상기 제1 유전체층을 관통해 연장되는 관통 비아;
상기 제1 유전체층 상의 상기 관통 비아에 인접한 집적 회로 다이;
상기 제1 유전체층 상의 몰딩 화합물 - 상기 몰딩 화합물은 상기 관통 비아와 상기 집적 회로 다이를 캡슐화함 -;
상기 금속화 패턴에 접촉하도록 상기 제2 유전체층을 관통해 연장되는 전도성 커넥터 - 상기 전도성 커넥터는 상기 관통 비아에 전기적으로 접속됨 -; 및
상기 전도성 커넥터와 상기 금속화 패턴의 계면에 있는 금속간 화합물
을 포함하고,
상기 금속간 화합물은 상기 금속화 패턴 내로 단지 부분적으로만 연장되는 것인, 디바이스.
실시예 2. 실시예 1에 있어서,
상기 금속화 패턴은 약 6 μm 내지 약 10 μm의 두께를 갖는 것인, 디바이스.
실시예 3. 실시예 2에 있어서,
상기 금속간 화합물은 약 6.5 μm보다 작은 거리만큼 상기 금속화 패턴 내로 연장되는 것인, 디바이스.
실시예 4. 실시예 2에 있어서,
상기 전도성 커넥터와 상기 관통 비아 사이의 상기 금속화 패턴의 부분은 약 0.5 μm보다 큰 두께를 갖는 것인, 디바이스.
실시예 5. 실시예 2에 있어서,
상기 제2 유전체층을 관통해 연장되는 상기 전도성 커넥터의 제1 부분은 제1 폭을 가지고, 상기 제2 유전체층 외부의 상기 전도성 커넥터의 제2 부분은 제2 폭을 가지며, 상기 제2 폭 대 상기 제1 폭의 비는 1.53보다 작은 것인, 디바이스.
실시예 6. 실시예 1에 있어서,
상기 제2 유전체층을 관통해 연장되는 상기 전도성 커넥터의 제1 부분은 제1 폭을 가지고, 상기 금속간 화합물은 제2 폭을 가지며, 상기 제2 폭은 상기 제1 폭보다 큰 것인, 디바이스.
실시예 7. 실시예 6에 있어서,
상기 금속화 패턴은 제3 폭을 가지고, 상기 제2 폭은 상기 제3 폭보다 작은 것인, 디바이스.
실시예 8. 방법에 있어서,
제1 유전체층과 제2 유전체층 사이에 금속화 패턴을 형성하는 단계;
상기 제1 유전체층을 관통해 제1 개구 - 상기 제1 개구는 상기 금속화 패턴의 제1 측부를 노출시킴 - 를 패터닝하는 단계;
상기 제1 개구 내에 시드층을 퇴적시키는 단계;
상기 제2 유전체층을 관통해 제2 개구 - 상기 제2 개구는 상기 금속화 패턴의 제2 측부를 노출시킴 - 를 패터닝하는 단계;
상기 금속화 패턴의 상기 제2 측부 상의 상기 제2 개구 내에 전도성 커넥터를 배치시키는 단계; 및
상기 전도성 커넥터를 리플로우(reflow)시켜, 상기 전도성 커넥터와 상기 금속화 패턴의 계면에 금속간 화합물을 형성하는 단계 - 상기 금속화 패턴은 상기 금속간 화합물을 상기 시드층으로부터 분리시킴 -
을 포함하는, 방법.
실시예 9. 실시예 8에 있어서,
집적 회로 다이를 상기 제1 유전체층에 부착시키는 단계를 더 포함하는, 방법.
실시예 10. 실시예 9에 있어서,
상기 집적 회로 다이를 캡슐화하는 몰딩 화합물을 형성하는 단계; 및
상기 시드층 상에 전도성 물질을 도금하는 단계
를 더 포함하고,
상기 전도성 물질은 상기 몰딩 화합물을 관통해 그리고 상기 제1 유전체층 내로 적어도 부분적으로 연장되는 것인, 방법.
실시예 11. 실시예 8에 있어서,
상기 전도성 커넥터를 리플로우시키는 단계는 상기 전도성 커넥터를 사용해 상기 제1 기판을 상기 금속화 패턴의 상기 제2 측부에 본딩하는 것인, 방법.
실시예 12. 실시예 8에 있어서,
상기 리플로우시키는 단계 후에, 상기 전도성 커넥터는 솔더와 구리를 포함하는 것인, 방법.
실시예 13. 실시예 12에 있어서,
상기 전도성 커넥터는 상기 구리의 단계적 농도(graded concentration)를 가지며, 상기 구리의 단계적 농도는 상기 금속화 패턴으로부터 멀어지게 연장되는 방향으로 감소하는 것인, 방법.
실시예 14. 실시예 12에 있어서,
상기 제2 유전체층을 관통해 연장되는 상기 전도성 커넥터의 제1 부분은 제1 폭을 가지고, 상기 제2 유전체층 외부의 상기 전도성 커넥터의 제2 부분은 제2 폭을 가지며, 상기 제2 폭 대 상기 제1 폭의 비는 1.53보다 작은 것인, 방법.
실시예 15. 방법에 있어서,
금속화 패턴을 제1 유전체층 상에 형성하는 단계;
상기 금속화 패턴과 상기 제1 유전체층 상에 제2 유전체층을 퇴적시키는 단계;
상기 금속화 패턴의 제1 측부에 접촉하도록 상기 제2 유전체층을 관통해 연장되는 관통 비아를 형성하는 단계;
상기 금속화 패턴의 제2 측부를 노출시키는 제1 개구를 상기 제1 유전체층 내에 에칭하는 단계;
제1 리플로우 가능(reflowable) 물질을 상기 제1 개구 내에 프린팅하는 단계;
상기 제1 리플로우 가능 물질 상에 제2 리플로우 가능 물질을 형성하는 단계 - 상기 제1 리플로우 가능 물질과 상기 제2 리플로우 가능 물질은 상이한 농도들의 전도성 물질을 포함함 -; 및
상기 제1 리플로우 가능 물질과 상기 제2 리플로우 가능 물질을 리플로우시켜, 상기 제1 유전체층을 관통해 연장되는 전도성 커넥터와, 상기 금속화 패턴과 상기 전도성 커넥터의 계면에 금속간 화합물을 형성하는 단계
를 포함하는, 방법.
실시예 16. 실시예 15에 있어서,
상기 비아를 형성하는 단계는,
상기 금속화 패턴의 제1 측부를 노출시키는 제2 개구를 상기 제2 유전체층 내에 에칭하는 단계;
시드층을 상기 제2 개구 내에 퇴적시키는 단계; 및
전도성 물질을 상기 시드층 상에 도금하는 단계
를 포함하고,
상기 전도성 물질과 상기 시드층은 상기 비아를 형성하는 것인, 방법.
실시예 17. 실시예 16에 있어서,
집적 회로 다이 - 상기 집적 회로 다이는 상기 비아에 인접함 - 를 상기 제2 유전체층에 부착시키는 단계; 및
상기 비아와 상기 집적 회로 다이를 몰딩 화합물을 사용해 캡슐화하는 단계
를 더 포함하는, 방법.
실시예 18. 실시예 15에 있어서,
상기 전도성 커넥터를 사용해 기판을 상기 금속화 패턴에 부착시키는 단계를 더 포함하는, 방법.
실시예 19. 실시예 15에 있어서,
상기 금속화 패턴은 약 6 μm 내지 약 10 μm의 두께를 갖는 것인, 방법.
실시예 20. 실시예 19에 있어서,
상기 제1 개구는 제1 폭을 가지고, 상기 전도성 커넥터는 제2 폭을 가지며, 상기 제2 폭 대 상기 제1 폭의 비는 1.53보다 작은 것인, 방법.

Claims (10)

  1. 디바이스에 있어서,
    제1 유전체층 상의 금속화 패턴과 상기 금속화 패턴 상의 제2 유전체층을 포함하는 후면 재배선 구조물;
    상기 금속화 패턴에 접촉하도록 상기 제1 유전체층을 관통해 연장되는 관통 비아;
    상기 제1 유전체층 상의 상기 관통 비아에 인접한 집적 회로 다이;
    상기 제1 유전체층 상의 몰딩 화합물 - 상기 몰딩 화합물은 상기 관통 비아와 상기 집적 회로 다이를 캡슐화함 -;
    상기 금속화 패턴에 접촉하도록 상기 제2 유전체층을 관통해 연장되는 전도성 커넥터 - 상기 전도성 커넥터는 상기 관통 비아에 전기적으로 접속됨 -; 및
    상기 전도성 커넥터와 상기 금속화 패턴의 계면에 있는 금속간 화합물
    을 포함하고,
    상기 금속간 화합물은 상기 금속화 패턴 내로 단지 부분적으로만 연장되는 것인, 디바이스.
  2. 제1항에 있어서,
    상기 금속화 패턴은 6 μm 내지 10 μm의 두께를 갖는 것인, 디바이스.
  3. 제2항에 있어서,
    상기 금속간 화합물은 6.5 μm보다 작은 거리만큼 상기 금속화 패턴 내로 연장되는 것인, 디바이스.
  4. 제2항에 있어서,
    상기 전도성 커넥터와 상기 관통 비아 사이의 상기 금속화 패턴의 부분은 0.5 μm보다 큰 두께를 갖는 것인, 디바이스.
  5. 제2항에 있어서,
    상기 제2 유전체층을 관통해 연장되는 상기 전도성 커넥터의 제1 부분은 제1 폭을 가지고, 상기 제2 유전체층 외부의 상기 전도성 커넥터의 제2 부분은 제2 폭을 가지며, 상기 제2 폭 대 상기 제1 폭의 비는 1.53보다 작은 것인, 디바이스.
  6. 제1항에 있어서,
    상기 제2 유전체층을 관통해 연장되는 상기 전도성 커넥터의 제1 부분은 제1 폭을 가지고, 상기 금속간 화합물은 제2 폭을 가지며, 상기 제2 폭은 상기 제1 폭보다 큰 것인, 디바이스.
  7. 제6항에 있어서,
    상기 금속화 패턴은 제3 폭을 가지고, 상기 제2 폭은 상기 제3 폭보다 작은 것인, 디바이스.
  8. 방법에 있어서,
    제1 유전체층과 제2 유전체층 사이에 금속화 패턴을 형성하는 단계;
    상기 제1 유전체층을 관통해 제1 개구 - 상기 제1 개구는 상기 금속화 패턴의 제1 측부를 노출시킴 - 를 패터닝하는 단계;
    상기 제1 개구 내에 시드층을 퇴적시키는 단계;
    상기 제2 유전체층을 관통해 제2 개구 - 상기 제2 개구는 상기 금속화 패턴의 제2 측부를 노출시킴 - 를 패터닝하는 단계;
    상기 금속화 패턴의 상기 제2 측부 상의 상기 제2 개구 내에 전도성 커넥터를 배치시키는 단계; 및
    상기 전도성 커넥터를 리플로우(reflow)시켜, 상기 전도성 커넥터와 상기 금속화 패턴의 계면에 금속간 화합물을 형성하는 단계 - 상기 금속화 패턴은 상기 금속간 화합물을 상기 시드층으로부터 분리시킴 -
    을 포함하는, 방법.
  9. 방법에 있어서,
    금속화 패턴을 제1 유전체층 상에 형성하는 단계;
    상기 금속화 패턴과 상기 제1 유전체층 상에 제2 유전체층을 퇴적시키는 단계;
    상기 금속화 패턴의 제1 측부에 접촉하도록 상기 제2 유전체층을 관통해 연장되는 관통 비아를 형성하는 단계;
    상기 금속화 패턴의 제2 측부를 노출시키는 제1 개구를 상기 제1 유전체층 내에 에칭하는 단계;
    제1 리플로우 가능(reflowable) 물질을 상기 제1 개구 내에 프린팅하는 단계;
    상기 제1 리플로우 가능 물질 상에 제2 리플로우 가능 물질을 형성하는 단계 - 상기 제1 리플로우 가능 물질과 상기 제2 리플로우 가능 물질은 상이한 농도들의 전도성 물질을 포함함 -; 및
    상기 제1 리플로우 가능 물질과 상기 제2 리플로우 가능 물질을 리플로우시켜, 상기 제1 유전체층을 관통해 연장되는 전도성 커넥터와, 상기 금속화 패턴과 상기 전도성 커넥터의 계면에 금속간 화합물을 형성하는 단계
    를 포함하는, 방법.
  10. 제9항에 있어서,
    상기 비아를 형성하는 단계는,
    상기 금속화 패턴의 제1 측부를 노출시키는 제2 개구를 상기 제2 유전체층 내에 에칭하는 단계;
    시드층을 상기 제2 개구 내에 퇴적시키는 단계; 및
    전도성 물질을 상기 시드층 상에 도금하는 단계
    를 포함하고,
    상기 전도성 물질과 상기 시드층은 상기 비아를 형성하는 것인, 방법.
KR1020180062684A 2017-11-15 2018-05-31 반도체 패키지 및 방법 KR102221322B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762586413P 2017-11-15 2017-11-15
US62/586,413 2017-11-15
US15/907,869 2018-02-28
US15/907,869 US10784203B2 (en) 2017-11-15 2018-02-28 Semiconductor package and method

Publications (2)

Publication Number Publication Date
KR20190055703A true KR20190055703A (ko) 2019-05-23
KR102221322B1 KR102221322B1 (ko) 2021-03-04

Family

ID=66431411

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020180062684A KR102221322B1 (ko) 2017-11-15 2018-05-31 반도체 패키지 및 방법

Country Status (4)

Country Link
US (2) US10784203B2 (ko)
KR (1) KR102221322B1 (ko)
CN (1) CN109786267B (ko)
TW (1) TWI708291B (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210053125A (ko) * 2019-10-31 2021-05-11 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 집적 회로 패키지 및 방법

Families Citing this family (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2018209088A1 (en) 2017-05-10 2018-11-15 Mcmahon Shane Thomas Thin film crystallization process
US11062915B2 (en) 2018-03-29 2021-07-13 Taiwan Semiconductor Manufacturing Company, Ltd. Redistribution structures for semiconductor packages and methods of forming the same
US10658287B2 (en) * 2018-05-30 2020-05-19 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having a tapered protruding pillar portion
EP3621107A1 (en) 2018-09-10 2020-03-11 AT & S Austria Technologie & Systemtechnik Aktiengesellschaft Component with dielectric layer for embedding in component carrier
US11139262B2 (en) * 2019-02-07 2021-10-05 Micron Technology, Inc. Use of pre-channeled materials for anisotropic conductors
US10950519B2 (en) * 2019-05-31 2021-03-16 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit package and method
US11133282B2 (en) * 2019-05-31 2021-09-28 Taiwan Semiconductor Manufacturing Company, Ltd. COWOS structures and methods forming same
DE102020108481B4 (de) * 2019-09-27 2023-07-06 Taiwan Semiconductor Manufacturing Company, Ltd. Halbleiter-Die-Package und Herstellungsverfahren
KR20220070531A (ko) * 2019-10-03 2022-05-31 럭스 세미컨덕터스 인코포레이티드 시스템-온-포일 디바이스
US11211371B2 (en) * 2019-10-18 2021-12-28 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit package and method
US11616026B2 (en) * 2020-01-17 2023-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US11614592B2 (en) * 2020-01-22 2023-03-28 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices and methods of manufacture
US11716117B2 (en) * 2020-02-14 2023-08-01 Texas Instruments Incorporated Circuit support structure with integrated isolation circuitry
TWI777467B (zh) * 2020-03-30 2022-09-11 台灣積體電路製造股份有限公司 半導體裝置及其製造方法
US11495472B2 (en) * 2020-04-16 2022-11-08 Taiwan Semiconductor Manufacturing Co., Ltd. Semicondutor packages and methods of forming same
US11264359B2 (en) * 2020-04-27 2022-03-01 Taiwan Semiconductor Manufacturing Co., Ltd. Chip bonded to a redistribution structure with curved conductive lines
US11942417B2 (en) 2020-05-04 2024-03-26 Taiwan Semiconductor Manufacturing Co., Ltd. Sensor package and method
US11239208B2 (en) * 2020-05-12 2022-02-01 Taiwan Semiconductor Manufacturing Co., Ltd. Packaged semiconductor devices including backside power rails and methods of forming the same
US11508665B2 (en) * 2020-06-23 2022-11-22 Taiwan Semiconductor Manufacturing Co., Ltd. Packages with thick RDLs and thin RDLs stacked alternatingly
US11842935B2 (en) * 2021-02-18 2023-12-12 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming a reconstructed package substrate comprising substrates blocks
US11715717B2 (en) * 2021-03-18 2023-08-01 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of forming integrated circuit packages having adhesion layers over through vias
KR20220161767A (ko) * 2021-05-31 2022-12-07 삼성전자주식회사 반도체 패키지 장치
US11848234B2 (en) * 2021-08-26 2023-12-19 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package and method comprising formation of redistribution structure and interconnecting die

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20120110058A (ko) * 2011-03-28 2012-10-09 훼어촤일드 세미컨덕터 코포레이션 신뢰성 있는 칩 스케일 패키지 내의 솔더 범프 커플링
KR20150040577A (ko) * 2013-10-07 2015-04-15 삼성전기주식회사 패키지 기판
KR20150144305A (ko) * 2012-12-28 2015-12-24 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 반도체 다이 패키지 형성 방법

Family Cites Families (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1600249A1 (en) 2004-05-27 2005-11-30 Koninklijke Philips Electronics N.V. Composition of a solder, and method of manufacturing a solder connection
US7601612B1 (en) * 2005-10-24 2009-10-13 Globalfoundries Inc. Method for forming solder joints for a flip chip assembly
US7626274B2 (en) 2006-02-03 2009-12-01 Texas Instruments Incorporated Semiconductor device with an improved solder joint
US8072059B2 (en) 2006-04-19 2011-12-06 Stats Chippac, Ltd. Semiconductor device and method of forming UBM fixed relative to interconnect structure for alignment of semiconductor die
US8759964B2 (en) 2007-07-17 2014-06-24 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer level package structure and fabrication methods
KR101006619B1 (ko) * 2008-10-20 2011-01-07 삼성전기주식회사 라운드형 솔더범프를 갖는 인쇄회로기판 및 그 제조방법
US20110122592A1 (en) * 2009-11-24 2011-05-26 Sanka Ganesan First-level interconnects with slender columns, and processes of forming same
US9985150B2 (en) 2010-04-07 2018-05-29 Shimadzu Corporation Radiation detector and method of manufacturing the same
US9048233B2 (en) 2010-05-26 2015-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Package systems having interposers
US8361842B2 (en) 2010-07-30 2013-01-29 Taiwan Semiconductor Manufacturing Company, Ltd. Embedded wafer-level bonding approaches
US9064879B2 (en) 2010-10-14 2015-06-23 Taiwan Semiconductor Manufacturing Company, Ltd. Packaging methods and structures using a die attach film
US8884431B2 (en) 2011-09-09 2014-11-11 Taiwan Semiconductor Manufacturing Company, Ltd. Packaging methods and structures for semiconductor devices
US8829676B2 (en) 2011-06-28 2014-09-09 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure for wafer level package
US9000584B2 (en) 2011-12-28 2015-04-07 Taiwan Semiconductor Manufacturing Company, Ltd. Packaged semiconductor device with a molding compound and a method of forming the same
US8680647B2 (en) 2011-12-29 2014-03-25 Taiwan Semiconductor Manufacturing Company, Ltd. Packages with passive devices and methods of forming the same
US20170221830A1 (en) * 2011-12-30 2017-08-03 Deca Technologies Inc. Fully molded peripheral package on package device
KR20130123682A (ko) 2012-05-03 2013-11-13 삼성전자주식회사 반도체 패키지 및 이의 제조 방법
US8703542B2 (en) 2012-05-18 2014-04-22 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer-level packaging mechanisms
US9991190B2 (en) 2012-05-18 2018-06-05 Taiwan Semiconductor Manufacturing Company, Ltd. Packaging with interposer frame
US8809996B2 (en) 2012-06-29 2014-08-19 Taiwan Semiconductor Manufacturing Company, Ltd. Package with passive devices and method of forming the same
US8785299B2 (en) 2012-11-30 2014-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Package with a fan-out structure and method of forming the same
US8803306B1 (en) 2013-01-18 2014-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. Fan-out package structure and methods for forming the same
US8778738B1 (en) 2013-02-19 2014-07-15 Taiwan Semiconductor Manufacturing Company, Ltd. Packaged semiconductor devices and packaging devices and methods
US9263511B2 (en) 2013-02-11 2016-02-16 Taiwan Semiconductor Manufacturing Co., Ltd. Package with metal-insulator-metal capacitor and method of manufacturing the same
US9048222B2 (en) 2013-03-06 2015-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating interconnect structure for package-on-package devices
US8877554B2 (en) 2013-03-15 2014-11-04 Taiwan Semiconductor Manufacturing Company, Ltd. Packaged semiconductor devices, methods of packaging semiconductor devices, and PoP devices
US9368460B2 (en) 2013-03-15 2016-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Fan-out interconnect structure and method for forming same
US9633869B2 (en) * 2013-08-16 2017-04-25 Taiwan Semiconductor Manufacturing Company, Ltd. Packages with interposers and methods for forming the same
US9252065B2 (en) * 2013-11-22 2016-02-02 Taiwan Semiconductor Manufacturing Co., Ltd. Mechanisms for forming package structure
US9520370B2 (en) 2014-05-20 2016-12-13 Micron Technology, Inc. Methods of forming semiconductor device assemblies and interconnect structures, and related semiconductor device assemblies and interconnect structures
US10032704B2 (en) * 2015-02-13 2018-07-24 Taiwan Semiconductor Manufacturing Company, Ltd. Reducing cracking by adjusting opening size in pop packages
WO2017052640A1 (en) 2015-09-25 2017-03-30 Pilin Liu Electronic assembly using bismuth-rich solder
US10797038B2 (en) 2016-02-25 2020-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and rework process for the same
WO2017160231A1 (en) 2016-03-14 2017-09-21 Agency For Science, Technology And Research Semiconductor package and method of forming the same

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20120110058A (ko) * 2011-03-28 2012-10-09 훼어촤일드 세미컨덕터 코포레이션 신뢰성 있는 칩 스케일 패키지 내의 솔더 범프 커플링
KR20150144305A (ko) * 2012-12-28 2015-12-24 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 반도체 다이 패키지 형성 방법
KR20150040577A (ko) * 2013-10-07 2015-04-15 삼성전기주식회사 패키지 기판

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210053125A (ko) * 2019-10-31 2021-05-11 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 집적 회로 패키지 및 방법

Also Published As

Publication number Publication date
CN109786267B (zh) 2021-06-15
KR102221322B1 (ko) 2021-03-04
TWI708291B (zh) 2020-10-21
US20210005554A1 (en) 2021-01-07
US11502039B2 (en) 2022-11-15
US10784203B2 (en) 2020-09-22
CN109786267A (zh) 2019-05-21
TW201923915A (zh) 2019-06-16
US20190148301A1 (en) 2019-05-16

Similar Documents

Publication Publication Date Title
KR102221322B1 (ko) 반도체 패키지 및 방법
US11652063B2 (en) Semiconductor package and method of forming the same
US11682655B2 (en) Semiconductor packages and methods of forming the same
US11990454B2 (en) Package structure and method of forming the same
US11177201B2 (en) Semiconductor packages including routing dies and methods of forming same
US20220352086A1 (en) Dense Redistribution Layers in Semiconductor Packages and Methods of Forming the Same
CN107808870B (zh) 半导体封装件中的再分布层及其形成方法
US11728249B2 (en) Semiconductor package and method
CN108987380B (zh) 半导体封装件中的导电通孔及其形成方法
KR20190055692A (ko) 반도체 패키지들 내의 금속화 패턴들 및 그 형성 방법들
KR102331050B1 (ko) 반도체 패키지 및 그 형성 방법
US20230075602A1 (en) Semiconductor Packages
US20230335471A1 (en) Semiconductor packages
US12002767B2 (en) Integrated circuit package and method
US20230335536A1 (en) Semiconductor Packages and Methods of Forming the Same

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E90F Notification of reason for final refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant