KR20190045279A - 셀프얼라인 멀티패터닝 기술을 위한 스페이서 형성 - Google Patents

셀프얼라인 멀티패터닝 기술을 위한 스페이서 형성 Download PDF

Info

Publication number
KR20190045279A
KR20190045279A KR1020197009248A KR20197009248A KR20190045279A KR 20190045279 A KR20190045279 A KR 20190045279A KR 1020197009248 A KR1020197009248 A KR 1020197009248A KR 20197009248 A KR20197009248 A KR 20197009248A KR 20190045279 A KR20190045279 A KR 20190045279A
Authority
KR
South Korea
Prior art keywords
spacer
etching
substrate
freeze
etch
Prior art date
Application number
KR1020197009248A
Other languages
English (en)
Other versions
KR102239765B1 (ko
Inventor
에릭 리우
아키테루 고
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20190045279A publication Critical patent/KR20190045279A/ko
Application granted granted Critical
Publication of KR102239765B1 publication Critical patent/KR102239765B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/67034Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for drying
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical & Material Sciences (AREA)
  • Ceramic Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

SAMP 기술을 위한 스페이서 형성 시스템 및 방법의 실시형태를 설명한다. 일 실시형태에서, 방법은 등각의 코팅을 갖는 스페이서를 구비한 기판을 제공하는 단계를 포함한다. 방법은 스페이서 프리즈 처리 공정(spacer freeze treatment process)을 수행하는 단계를 더 포함할 수도 있다. 또한, 방법은 기판에 대해 에칭 및 세정 공정을 수행하는 단계를 포함할 수 있다. 뿐만 아니라, 방법은 스페이서 형성 목표를 달성하도록 스페이서 프리즈 처리 공정과 에칭 및 세정 공정을 제어하는 단계를 더 포함할 수 있다.

Description

셀프얼라인 멀티패터닝 기술을 위한 스페이서 형성
<관련 출원과의 교차 참조>
본원은 2016년 9월 20일에 출원한 발명의 명칭이 "Spacer Formation for Self-Aligned Multi-Patterning Technique"인 미국 가특허출원 제62/396,952호의 이익을 주장하며, 이 우선권 주장 출원은 그 전체가 참조로 본 명세서에 포함된다.
<발명의 분야>
본 발명은 기판 처리 시스템 및 기판 처리 방법에 관한 것이며, 더 구체적으로는 셀프얼라인 멀티패터닝(SAMP, self-aligned multi-patterning) 기술을 위한 스페이서 형성 방법 및 시스템에 관한 것이다.
SAMP 기술은 핀타입 전계효과 트랜지스터(FinFET, fin-type field effect transistor) 디바이스 등의 구성요소를 형성하는 데에 사용되어 왔다. 치수 수축은 집적 회로 처리 개발의 추동력 중 하나이다. 사이즈 치수를 줄임으로써, 비용 편익과 디바이스 성능 향상이 달성될 수 있다. 이 확장성은 특히 패터닝 기술에 대한 공정 플로우에서 피할 수 없는 복잡성을 야기한다. SAMP 기술은 22 nm 이하(sub-22 nm) FinFET 아키텍처에서 널리 채택되고 있는데, 이 아키텍처는 피치 감소 요건을 달성하기 위해 별도의 스페이서 에칭 단계를 사용한다. 통상의 SAMP 플로우는 코어 에칭, 스페이서 퇴적, 스페이서 에칭 및 코어 풀(core pull)을 비롯한 여러 단계를 갖는다. 이 접근법에서, 최종 피처 임계 치수(CD)는 라인 엣지 거칠기(LER, ine edge roughness) 및 라인 폭 거칠기(LWR, line width roughness)와 같은, 스페이서 퇴적 두께 및 스페이서 물리 피처에 의해 제어된다.
통상의 SAMP 접근법으로는, 종종 스페이서 에칭 시에, 스페이서 패싯 및 CD 손실과 같은 최종 스페이서 프로파일의 왜곡이 발생한다. 그러나, 스페이서 프로파일 및 CD를 유지하는 것은 중요한데, 스페이서 프로파일이 피치 워킹 효과(pitch-walking effec), 마스크 버짓(mask budget) 및 최종 구조에 대한 CD 타겟팅에 상당한 영향을 미치기 때문이다.
종래의 처리 기술에 따른 다른 문제점으로는, 불균일한 에칭에서 기인한 스페이서 높이 손실 및 게이트 코어 재료와 스페이서 재료 간의 선택성 부족이 있다. 또한, 스페이서 재료의 부절한 에칭은 스페이서 푸팅(spacer footing), 코어-스페이서 단차의 높이차(step height difference) 등을 초래할 수도 있다. 이러한 제조 결함은 추가 디바이스 결함 야기, 제품 생산 속도 감소, 제조 디바이스의 스케일 제한 등을 일으킬 수도 있다.
SAMP 기술을 위한 스페이서 형성 시스템 및 방법의 실시형태를 설명한다. 일 실시형태에서, 방법은 등각의 코팅(conformal coating)을 갖는 스페이서를 구비한 기판을 제공하는 단계를 포함한다. 방법은 스페이서 프리즈 처리 공정(spacer freeze treatment process)을 수행하는 단계를 더 포함할 수도 있다. 또한, 방법은 기판에 대해 에칭 및 세정 공정을 수행하는 단계를 포함할 수 있다. 뿐만 아니라, 방법은 스페이서 형성 목표를 달성하도록 스페이서 프리즈 처리 공정과 에칭 및 세정 공정을 제어하는 단계를 더 포함할 수 있다.
시스템의 일 실시형태는 이온 에칭 챔버 및 이온 에칭 챔버에 결합된 컨트롤러를 포함할 수 있다. 일 실시형태에서, 반응성 이온 에칭 챔버는, 등각의 코팅을 갖는 스페이서를 구비한 기판을 수용하고, 스페이서 프리즈 처리 공정을 수행하며, 기판에 대해 에칭 및 세정 공정을 수행하도록 구성될 수 있다. 일 실시형태에서, 컨트롤러는 스페이서 형성 목표를 달성하도록 스페이서 프리즈 처리 공정과 에칭 및 세정 공정을 제어하도록 구성될 수 있다.
첨부하는 도면은 본 명세서에 통합되어 본 명세서의 일부를 구성하고, 본 발명의 실시형태를 도시하며, 전술한 본 발명의 일반적인 설명 및 이하의 상세한 설명과 함께 본 발명을 설명하는 역할을 한다.
도 1은 SAMP 기술에 적합한 스페이서를 형성하도록 구성된 플라즈마 에칭 시스템의 일 실시형태를 도시하는 개략적 블록도이다.
도 2a는 스페이서 형성용 워크피스의 일 실시형태를 도시하는 개략적 단면도이다.
도 2b는 스페이서 형성용 워크피스의 일 실시형태를 도시하는 개략적 단면도이다.
도 3a는 도 2a 내지 도 2b의 공정의 결과로서 워크피스의 단면을 도시하는 개략적 단면도이다.
도 3b는 도 2a 내지 도 2b의 공정과 연관된 제조 문제를 나타내는 단면도이다.
도 4a는 도 2a 내지 도 2b의 공정의 결과로서 워크피스의 단면을 도시하는 개략적 단면도이다.
도 4b는 도 2a 내지 도 2b의 공정과 연관된 제조 문제를 나타내는 단면도이다.
도 5a는 스페이서 형성용 워크피스의 일 실시형태를 도시하는 개략적 단면도이다.
도 5b는 스페이서 형성용 워크피스의 일 실시형태를 도시하는 개략적 단면도이다.
도 5c는 스페이서 형성용 워크피스의 일 실시형태를 도시하는 개략적 단면도이다.
도 5d는 스페이서 형성용 워크피스의 일 실시형태를 도시하는 개략적 단면도이다.
도 6a는 도 4a 내지 도 4d의 공정의 결과로서 워크피스의 단면을 도시하는 개략적 단면도이다.
도 6b는 도 4a 내지 도 4d의 공정과 연관된 제조 문제를 나타내는 단면도이다.
도 7은 SAMP 기술에 적합한 스페이서 형성 방법의 일 실시형태를 도시하는 개략적 흐름도이다.
도 8은 현재의 SAMP 기술의 실시형태에 따라 제조된 디바이스를 포함하는 시스템의 일 실시형태를 도시하는 개략도이다.
측벽 형상을 패터닝하기 위한 방법 및 시스템이 제시된다. 그러나, 당업자라면 특정 세부사항 중 하나 이상 없이, 또는 기타 대체 및/또는 추가 방법, 재료, 또는 구성요소로 다양한 실시형태들이 실시될 수 있음을 알 것이다. 다른 경우에 있어서, 잘 알려진 구조, 재료, 또는 동작은 본 발명의 다양한 실시형태의 불명확한 양상을 피하기 위해 상세하게 도시하거나 설명하지 않는다.
마찬가지로, 설명의 편의상, 본 발명의 면밀한 이해를 제공하기 위해 특정 수, 재료, 및 구성요소를 설명한다. 그렇지만, 본 발명은 특정 세부사항 없이도 실시될 수 있다. 또한, 도면에 나타내는 다양한 실시형태들은 예시적인 것일뿐, 반드시 축적에 따라 도시되지 않은 것임은 물론이다. 도면을 참조할 때에, 도면 전체에 걸쳐 같은 도면 부호는 같은 부분을 가리킨다.
본 명세서 전반에서 언급하는 "하나의 실시형태" 또는 "일 실시형태" 또는 이들의 변형예는 그 실시형태와 관련하여 설명한 특정 피처, 구조, 재료 또는 특성이 실시형태의 적어도 하나의 실시형태에 포함되는 것을 의미하지만, 그것들이 모든 실시형태에도 존재함을 나타내지는 않는다. 이에, 본 명세서 전반에 걸쳐서 다양한 곳에서의 "하나의 실시형태에 있어서" 또는 "일 실시형태에 있어서"라는 어구의 등장은 반드시 본 발명의 동일한 실시형태를 지칭하지는 않는다. 또한, 특정 피처, 구조, 재료, 또는 특성이 하나 이상의 실시형태에서 임의의 적절한 방식으로 조합될 수도 있다. 다른 실시형태에서는 다양한 추가 층 및/또는 구조가 추가될 수도 있고/있거나 설명한 피처가 생략될 수도 있다.
또한, "일" 또는 "하나"("a" 또는 "an")란 표현은 다른 식으로 명시적으로 언급하지 않는다면 "하나 이상"을 의미하는 것으로 이해되어야 한다.
다양한 동작들에 대해 본 발명을 이해하는데 있어서 가장 유용한 방식으로 다수의 개별 동작들로서 순서대로 설명될 것이다. 그러나, 설명의 순서는 이들 동작들이 반드시 순서에 종속되는 것을 암시하도록 해석되어서는 안 된다. 특히, 이들 동작은 반드시 제시 순서로 수행될 필요가 없다. 설명하는 동작들은 설명하는 실시형태와는 상이한 순서로 수행될 수도 있다. 다양한 추가 동작들이 수행될 수도 있고/있거나, 설명하는 동작들이 추가 실시형태에서는 생략될 수도 있다.
본 명세서에서 사용되는 용어 "기판"은 재료가 형성되는 기재 또는 구성을 의미하고 포함한다. 기판은 단일 재료, 상이한 재료의 복수 층, 또는 내부에 상이한 재료 또는 상이한 구조의 영역들을 갖는 층 또는 층들 등을 포함할 수 있음이 이해될 것이다. 이들 재료는 반도체, 절연체, 전도체, 또는 이들의 조합을 포함할 수 있다. 예를 들어, 기판은 반도체 기판, 지지 구조 상의 베이스 반도체층, 금속 전극 또는 하나 이상의 층, 구조 또는 영역이 형성되어 있는 반도체 기판일 수도 있다. 기판은 반도체 재료의 층을 포함하는, 통상의 실리콘 기판 또는 기타 벌크 기판일 수 있다. 본 명세서에서 사용되는 용어 "벌크 기판(bulk substrate)"는 실리콘 웨이퍼뿐만 아니라, "SOS(silicon-on-sapphire)" 기판과 "SOG(silicon-on-glass)" 기판 등의 "SOI(silicon-on-insulator)" 기판, 베이스 반도체 파운데이션 상의 실리콘으로 된 에피택셜층, 및 실리콘-게르마늄, 게르마늄, 갈륨 비화물, 갈륨 질화물, 및 인듐 인화물 등의 기타 반도체 또는 광전 재료를 의미하며 포함한다. 기판은 도핑되거나 도핑되지 않을 수 있다.
본 명세서에서 사용되는 용어 "워크피스(workpiece)"는 반도체 디바이스 제조 공정의 하나 이상의 단계(phase) 중에 기판 상에 형성되는 재료 또는 층의 구성물(composition)로서, 워크피스는 최종 단계의 처리 시에 궁극적으로 반도체 디바이스를 포함하는 것이다.
본 실시형태는 SAMP 기술에 적합한 스페이서 형성 시스템 및 방법을 포함한다. 일 실시형태에서, 방법은 등각의 코팅을 갖는 스페이서를 구비한 기판을 제공하는 단계를 포함한다. 방법은 스페이서 프리즈 처리 공정(spacer freeze treatment process)을 수행하는 단계를 더 포함할 수도 있다. 또한, 방법은 기판에 대해 에칭 및 세정 공정을 수행하는 단계를 포함할 수 있다. 뿐만 아니라, 방법은 스페이서 형성 목표를 달성하도록 스페이서 프리즈 처리 공정과 에칭 및 세정 공정을 제어하는 단계를 더 포함할 수 있다. 스페이서 형성 목표는 스페이서 높이, 패싯 깊이, CD, 코어-스페이서 단차의 높이차 등에 대한 미리 정해진 사양을 충족하는 것을 포함할 수 있다.
유리한 점은, 이 실시형태에서는 높이 손실 및 비대칭 스페이서 형성을 감소시킬 수 있다는 것이다. 또한, 본 실시형태에서는 코어-스페이서 단차의 높이차를 제한할 수 있고, 뿐만 아니라 스페이서 푸팅을 줄일 수 있다.
이제 도면을 참조하면, 여러 도면에 걸쳐 같은 도면 부호는 동일하거나 대응하는 부분들을 가리킨다.
도 1은 SAMP 기술에 따른 스페이서 형성 시스템(100)의 일 실시형태이다. 다른 실시형태에서는, 도 4a 내지 도 4d를 참조하여 설명하겠지만 스페이서를 형성하기 위한 SAMP 기술을 수행하도록 시스템이 구성될 수도 있다. 전술한 공정 조건을 수행하도록 구성된 에칭 및 가열후 처리 시스템(etch and post heat treatment system)(100)은 처리 챔버(110), 처리 대상 웨이퍼(25)가 부착되는 기판 홀더(120), 및 진공 펌핑 시스템(150)을 포함하는 것으로 도 1에 도시되고 있다. 웨이퍼(125)는 반도체 기판, 웨이퍼, 플랫 패널 디스플레이, 또는 액정 디스플레이일 수 있다. 처리 챔버(110)는 기판(125)의 표면의 부근에서 처리 영역(145)의 에칭을 용이하게 하도록 구성될 수 있다. 공정 가스의 이온화 가능 가스 또는 혼합물이 가스 분배 시스템(140)을 통해 도입된다. 주어진 공정 가스의 플로우에 대해, 진공 펌핑 시스템(150)을 이용해 공정 압력이 조정된다.
웨이퍼(125)는 기계적 클램핑 시스템 또는 전기적 클램핑 시스템(예컨대, 정전 클램핑 시스템) 등의 클램핑 시스템(도시 생략)을 통해 기판 홀더(120)에 부착될 수 있다. 또한, 기판 홀더(120)는 기판 홀더(120) 및 웨이퍼(125)의 온도를 조절 및/또는 제어하도록 구성되는 가열 시스템(도시 생략) 또는 냉각 시스템(도시 생략)을 포함할 수 있다. 가열 시스템 또는 냉각 시스템은 냉각시 기판 홀더(120)로부터의 열을 받아서 열을 열 교환 시스템(도시 생략)을 전달하거나, 가열시 열 교환 시스템으로부터의 열을 기판 홀더(120)에 전달하는 열 전달 유체의 재순환 플로우를 포함할 수 있다. 다른 실시형태에서는, 저항성 가열 소자 또는 열-전기 히터/쿨러 등의 가열/냉각 소자가 기판 홀더(120)뿐만 아니라 플라즈마 처리 챔버(110)의 챔버 벽 및 플라즈마 처리 시스템(100) 내의 기타 구성요소에 포함될 수 있다.
또한, 열 전달 가스는 웨이퍼(125)와 기판 홀더(10) 사이에서 가스-갭 열 전도성을 향상시키기 위해 후면 가스 공급 시스템(126)을 통해 웨이퍼(125)의 후면으로 전달될 수 있다. 이러한 시스템은 승온 또는 감온에서 기판의 온도 제어가 요구될 때 이용될 수 있다. 예를 들어, 후면 가스 공급 시스템은 헬륨 가스-갭 압력이 웨이퍼(125)의 중심과 가장자리 사이에서 독립적으로 변할 수 있는 2존(zone) 가스 분배 시스템을 포함할 수 있다.
도 1에 도시하는 실시형태에서, 기판 홀더(120)는 전극(122)을 포함할 수 있고, 이 전극을 통해 RF 전력이 처리 영역(145)에 결합된다. 예를 들어, RF 발생기(130)로부터 옵션적인 임피던스 정합 네트워크(132)를 통해 기판 홀더(120)로 RF 전력을 전송함으로써 기판 홀더(120)가 RF 전압에 전기적으로 바이어스될 수 있다. RF 전기적 바이어스는 전자를 가열하여 플라즈마를 형성하고 유지하는 역할을 할 수 있다. 이 구성에서, 시스템(100)은 반응성 이온 에칭(RIE, reactive ion etch) 반응기로서 동작할 수 있으며, 여기에서 챔버 및 상부 가스 주입 전극은 접지면으로서 작용한다.
또한, RF 전압에서의 전극(122)의 전기적 바이어스는 펄스형 바이어스 신호 컨트롤러(131)를 사용하여 펄싱될 수 있다. RF 발생기(130)로부터 출력된 RF 전력은 예컨대 오프 상태와 온 상태 사이에서 펄싱될 수 있다. 대안으로, RF 전력은 다중 주파수로 기판 홀더 전극에 인가된다. 또한, 임피던스 매칭 네트워크(132)는 반사된 전력을 감소시킴으로써 플라즈마 처리 챔버(110) 내의 플라즈마로의 RF 전력의 전송을 향상시킬 수 있다. 매칭 네트워크 토폴로지(예컨대, L타입, π타입, T타입 등) 및 자동 제어 방법은 해당 기술분야에 잘 알려져 있다.
가스 분배 시스템(140)은 공정 가스들의 혼합물을 도입하기 위한 샤워 헤드 설계를 포함할 수 있다. 대안적으로, 가스 분배 시스템(140)은 공정 가스들의 혼합물을 도입하고 웨이퍼(125) 위에서의 이 공정 가스들의 혼합물의 분배를 조정하기 위한 멀티존(multi-zone) 샤워 헤드 설계를 포함할 수 있다. 예를 들어, 멀티존 샤워 헤드 설계는 웨이퍼(125) 위의 실질적으로 중심 영역에 대한 공정 가스 플로우 또는 조성의 양에 관하여, 공정 가스 플로우 또는 조성을 웨이퍼(125) 위의 실질적으로 주변 영역으로 조정하도록 구성될 수도 있다. 이러한 실시형태에서는, 챔버(110) 내에 고도로 균일한 플라즈마를 형성하도록 가스가 적절한 조합으로 분배될 수 있다.
진공 펌핑 시스템(150)은 초당 약 8000 리터(이상)까지 펌핑 속도를 높일 수 있는 터보 분자 진공 펌프("TMP")와 챔버 압력을 조절(throttling)하기 위한 게이트 밸브를 포함할 수 있다. 건식 플라즈마 에칭에 사용되는 종래의 플라즈마 처리 디바이스에는, 초당 800 내지 3000 리터 TMP가 채택될 수 있다. TMP는 저압 처리에, 통상 50 mTorr 미만에 유용하다. 고압 처리(즉, 약 80 mTorr보다 높음)의 경우, 기계적 부스터 펌프 및 건식 러핑 펌프(dry roughing pump)가 이용될 수 있다. 또한, 챔버 압력을 모니터링하는 디바이스(도시 생략)가 플라즈마 처리 챔버(110)에 결합될 수 있다.
일 실시형태에서, 소스 컨트롤러(155)는 플라즈마 처리 시스템(100)으로부터의 출력을 모니터링할뿐만 아니라 플라즈마 처리 시스템(100)에 입력을 전달 및 활성화시키기에 충분한 제어 전압을 생성할 수 있는 디지털 I/O 포트, 마이크로프로세서, 및 메모리를 포함할 수 있다. 또한, 소스 컨트롤러(155)는 기판 가열/냉각 시스템(도시 생략), 후면 가스 공급 시스템(126), 및/또는 정전 클램핑 시스템(128)뿐만 아니라 RF 발생기(130), 펄스형 바이어스 신호 컨트롤러(131), 임피던스 매칭 네트워크(132), 가스 분배 시스템(140), 가스 공급장치(gas supply)(190), 및 진공 펌핑 시스템(150)에 결합될 수 있고, 이들과 정보를 교환할 수 있다. 예를 들어, 메모리에 저장된 프로그램은 웨이퍼(125) 상에, 플라즈마 에칭 공정 또는 가열후 처리 공정 등의 플라즈마 사용 공정(plasma assisted process)을 수행하기 위해 공정 레시피에 따라 플라즈마 처리 시스템(100)의 전술한 구성요소에 대한 입력을 활성화시키는데 이용될 수 있다.
또한, 플라즈마 처리 시스템(100)은 옵션적인 임피던스 매칭 네트워크(174)를 통해 RF 발생기(172)로부터의 RF 전력이 결합될 수 있는 상부 전극(170)을 더 포함할 수 있다. 일 실시형태에서, RF 전력을 상부 전극에 인가하기 위한 주파수는 약 0.1 MHz 내지 약 200 MHz의 범위일 수 있다. 한편, 본 실시형태는 GHz 주파수대에서 동작하도록 구성된 래디얼 라인 슬롯 안테나(RLSA) 소스, 유도성 결합 플라즈마(ICP) 소스, 용량성 결합 플라즈마(CCP) 소스, 서브-GHz 내지 GHz대에서 동작하도록 구성된 전자 사이클론 공진(ECR) 소스 등과 함께 이용될 수 있다. 또, 하부 전극에 전력을 인가하기 위한 주파수는 약 0.1 MHz 내지 약 80 MHz의 범위일 수 있다. 또한, 소스 컨트롤러(155)는 상부 전극(170)에 대한 RF 전력의 인가를 제어하기 위해 RF 발생기(172) 및 임피던스 매칭 네트워크(174)에 결합된다. 상부 전극의 설계 및 구현은 해당 기술분야에 잘 알려져 있다. 상부 전극(170) 및 가스 분배 시스템(140)은 도시하는 바와 같이 동일한 챔버 어셈블리 내에 설계될 수 있다. 대안적으로, 상부 전극(170)은 웨이퍼(125) 위의 플라즈마에 결합되는 RF 전력 분배를 조정하기 위한 멀티존 전극 설계를 포함할 수 있다. 예를 들어, 상부 전극(170)은 중심 전극과 가장자리 전극으로 분할될 수도 있다.
적용에 따라, 센서 또는 계측 디바이스 등의 부가 디바이스가 플라즈마 처리 챔버(110)에 그리고 소스 컨트롤러(155)에 결합되어 실시간 데이터를 수집하고 이러한 실시간 데이터를 사용하여, 소자구조설계(integration scheme)의 퇴적 공정, RIE 공정, 풀(pull) 공정, 프로파일 재성형 공정, 가열 처리 공정, 및/또는 패턴 전사 공정을 수반하는 2개 이상의 단계에서의 2개 이상의 선택된 통합 동작 변수를 동시에 제어할 수 있다. 또한, 동일한 데이터를 사용하여, 가열후 처리의 완성, 패터닝 균일성(균일성), 구조의 풀다운(풀다운), 구조의 슬리밍(슬리밍), 구조의 종횡비(종횡비), 라인 엣지 거칠기, 라인 폭 거칠기, 기판 쓰루풋, 소유 비용(cost of ownership) 등을 포함하는 통합 목표의 달성을 보장할 수 있다.
인가 전력을 변조함으로써, 통상 펄스 주파수 및 듀티 레이트의 변화를 통해, 연속파(CW)에서 생성되는 것과는 확연히 상이한 플라즈마 속성을 취득하는 것이 가능하다. 결과적으로, 전극의 RF 전력 변조는 시간 평균적 이온 플럭스 및 이온 에너지에 대한 제어를 제공할 수 있다.
스페이서 에칭 공정의 일 실시형태를 도 2a 내지 도 2b에서 설명한다. 일 실시형태에서, 워크피스는 하나 이상의 스페이서 코어(204)와 스페이서 재료의 등각의 코팅(206)을 구비한 기판(202)을 포함한다. 일 실시형태에서, 등각층(206)은 스페이서 코어(204) 위에 배치된 하나 이상의 에칭 표면(208)을 포함할 수 있다. 일 실시형태에 있어서, 등각의 코팅(206)은 에칭 방향(210)으로 에칭될 수 있다. 이 실시형태에서, 웨이퍼(125)는 기판(202)을 포함할 수 있다.
일 실시형태에서, 기판(202)은 실리콘, 갈륨 비화물, 산화물, 질화물, 금속 산화물, 및 금속 질화물을 포함하는 재료로 형성될 수 있다. 스페이서 코어(204)는 실리콘, 비정질 탄소, 포토레지스트, 산화물, 질화물 등을 비롯한 재료를 포함할 수 있다. 등각층(206)은 산화물, 질화물, 실리콘, 금속 산화물, 및 금속 질화물을 비롯한 재료를 포함할 수 있다.
도 2b의 단계에서, 등각층(206)과 스페이서 코어(204)는 반응성 이온 에칭 공정을 이용해서 에칭될 수 있다. 반응성 이온 에칭 공정에서, 하나 이상의 반응성 이온(214)을 포함하는 플라즈마 필드(212)가 워크피스 위에 형성될 수 있다. 이 실시형태에서, 반응성 이온(214)은 에칭 표면(208)을 열어서 선택적으로 스페이서 코어(204)를 에칭할 수 있다. 반응성 이온 에칭 공정 후에, 도 3a의 워크피스가 형성될 수 있다.
도 3a의 실시형태에서, 복수의 스페이서(302)가 형성될 수 있다. 각 스페이서는 강한 이온 에칭으로 인해 원래의 스페이서 높이보다 상당히 줄어든 높이(308)를 가질 수 있다. 불충분한 에칭 선택성의 결과로서 스페이서 사이의 트렌치에 추가 스페이서 푸팅(304)이 남겨질 수 있다. 또한, 기판(202) 내의 코어-스페이서의 높이차(306)도 이온 에칭 공정의 결과일 수 있다. 일 실시형태에서는, 코어-스페이서 높이차(306)가 스페이서 코어(204)를 에칭하는데 소요된 시간과 연관될 수 있다.
도 3b는 도 3a에 도시하는 불균일한 스페이서(302)로 형성된 게이트 디바이스의 세트(310)의 일 실시형태를 도시한다. 이 실시형태에서, 스페이서 푸팅(304) 및 코어-스페이서 높이차(306)의 문제는 디바이스 처리의 다른 양상으로 확장될 수도 있다.
도 4a는 도 2a 내지 도 2b의 공정의 결과로서 워크피스의 단면을 도시하는 개략적 단면도이다. 도 4a에 도시하는 바와 같이, 도 2a 내지 도 2b의 공정에서 초래된 스페이서(302)는 사실상 불균일성을 포함할 수 있다. 이 실시형태에서, 스페이서 프로파일은 실질적으로 손상될 수도 있다. 도 4b에 도시하는 바와 같이, 스페이서(302)는 패싯(402)을 가질 수도 있다. 또한, 스페이서의 임계 치수(CD)(404)는 도 2b의 이온 에칭 단계에서 과도하게 노출됨으로써 부정적인 영향을 받을 수 있다.
도 5a 내지 도 5d는 SAMP 기술에 적합한 대안적 스페이서 형성 방법을 도시한다. 도 5a는 스페이서 형성용 워크피스의 일 실시형태를 도시하는 개략적 단면도이다. 도 5a에 도시하는 바와 같이, 워크피스는 기판(202), 하나 이상의 스페이서 코어(204), 및 코어(204) 위에 하나 이상의 에칭 표면(208)을 갖는 등각층(206)을 포함할 수 있다.
도 5b는 스페이서 프리즈 처리(spacer freeze treatment)(502)의 일 실시형태를 도시한다. 일 실시형태에서, 스페이서 프리즈 처리(502)는 라디칼 플라즈마 공정(radical plasma process)(504) 및 이온 에칭 공정(506)을 포함할 수 있다. 라디칼 플라즈마 공정(504)에서, 워크피스는 라디칼 플라즈마 필드(508)에 노출되고, 등각층(206)에는 라디칼(510)이 퇴적된다. 이온 에칭 공정에서, 워크피스는 이온 플라즈마 필드(512)에 노출된다. 조합된 라디칼 에칭 공정(504)과 이온 에칭 공정(506)의 결과는, 특히 에칭 표면(208)을 따르는 등각층의 부분의 엣지 및 솔더(shoulder) 상에서의 부산물(byproduct)(516)의 축적(buildup)이다. 일 실시형태에서, 스페이서 프리즈 처리(502)가 반복되어 부산물(516)의 축적을 가중시킬 수 있다. 일 실시형태에서, 부산물은 예컨대 등각층(206), 및 라디칼 및 이온 에칭 플라즈마 가스에서 발견될 수 있는, 실리콘, 탄소, 산소, 질소 및 불소의 조합을 포함할 수 있다.
일 실시형태에서, 라디칼 에칭 공정(504)에 이용되는 화학물은 N2, O2, CO, CO2, H2, HBr, Cl2, CxHy, Ar, He, CxHyFz, 및 CxF를 포함할 수 있다. 일 실시형태에서, 이온 에칭 공정(506)에 이용되는 화학물은 N2, O2, CO, CO2, H2, HBr, Cl2, CxHy, Ar, 및 He를 포함할 수 있다.
스페이서 프리즈 처리(502)가 완료되면, 에칭 표면(208)이 열릴 수 있고, 이온 플라즈마 에칭 필드(212) 내의 에칭 이온(214)과의 워크피스의 충격(bombardment)에 의해 스페이서 코어(204)가 제거될 수 있다. 일 실시형태에서, 에칭 표면(208)을 여는데 이용되는 에칭 공정은 도 2b에서 설명한 바와 동일한 에칭 공정일 수도 있다. 예를 들어, 이 실시형태에서, 이온 플라즈마 에칭 필드(212)는 N2, O2, CO, CO2, H2, HBr, Cl2, CxHy, Ar, He, CxHyFz, 및 CxFy를 포함하는 화학물을 이용하여 형성될 수 있다. 이 실시형태에서, 부산물(516)의 축적은 도 5c의 에칭 공정 시에 제거될 수도 있다. 그러나, 도 5d에 도시하는 바와 같이, 부산물(516)의 축적은 실질적으로 등각층(206)에서 스페이서 재료를 보호할 수 있고, 그렇게 형성된 스페이서(302)는 도 3a의 결과와 비교할 때 실질적으로 개선될 수 있다.
도 6a에 도시하는 바와 같이, 스페이서 균일성이 향상될 수 있다. 또한, 도 6b에 도시하는 바와 같이, 패싯(402)이 감소할 수 있고, 도 2a 내지 도 2b의 공정에서 초래된 스페이서(302)와 비교할 때에, CD(404)도 개선될 수 있다. 이에, 도 5a 내지 도 5d에 도시하는 공정은 도 2a 내지 도 2b에서 설명한 공정을 능가하는 사실상의 개선을 나타내고 있다. 예를 들어, 도 5d에 도시하는 바와 같이, 도 5d의 워크피스 내의 스페이서 높이(308)는 도 3a의 워크피스의 스페이서 높이(308)보다 클 수 있다. 또, 코어-스페이서 높이차(306)는 감소할 수 있고, 스페이서 푸팅(304)은 실질적으로 제거될 수 있다.
도 7은 SAMP 기술에 적합한 스페이서 형성 방법(700)의 일 실시형태의 개요를 도시하는 개략적 흐름도이다. 일 실시형태에서, 방법(700)은 블록 702에 나타내는 바와 같이, 등각의 코팅을 갖는 스페이서를 구비한 기판을 제공하는 단계를 포함할 수 있다. 방법(700)은 블록 704에 나타내는 바와 같이, 스페이서 프리즈 처리 공정(spacer freeze treatment process)을 수행하는 단계를 더 포함할 수도 있다. 또한, 방법은 블록 706에 나타내는 바와 같이, 기판에 대해 에칭 및 세정 공정을 수행하는 단계를 포함할 수 있다. 마지막으로, 방법(700)은 블록 708에 나타내는 바와 같이, 스페이서 형성 목표를 달성하도록 스페이서 프리즈 처리 공정과 에칭 및 세정 공정을 제어하는 단계를 더 포함할 수 있다.
일 실시형태에서, 스페이서 형성 목표를 달성하도록 스페이서 프리즈 처리 공정을 제어하는 것은 챔버 내로의 에칭 가스의 유량을 제어하는 것을 포함할 수도 있다. 또, 스페이서 처리 공정을 제어하는 것은 챔버(110) 내의 압력, 또는 온도를 제어하는 것을 포함할 수도 있다. 추가 제어는 플라즈마 필드(212, 508 및 512)를 생성하는데 사용되는 무선 주파수(RF) 전력 또는 마이크로파(MW) 전력 레벨을 제어하는 것을 포함할 수도 있다. 당업자라면 처리 목표를 충족시키기 위해 제어할 수 있는 추가 파라미터들을 알 것이다.
여기에 설명하는 공정 및 방법의 실시형태는 상업용 제품에 포함시킬 반도체 기반 제품을 제조하기 위한 상업용 공정에 사용될 수 있다. 예를 들어, 도 8은 인쇄 회로 기판(PCB)을 포함하는 전기적 디바이스(802)를 도시한다. 전기적 디바이스(802)는, 예컨대 컴퓨터, 컴퓨터 모니터, 텔레비전, 오디오 증폭기, 카메라, 스마트폰 및 개인용 데이터 어시스턴트, 태블릿 컴퓨팅 디바이스, 스마트 워치, 주문형 처리 장비(application-specific processing equipment), 센서 디바이스, 의료용 디바이스 등을 포함한, 다수의 시판용 제품 중 하나일 수 있다. 당업자는 본 실시형태에 따라 제조되는 디바이스가 임의의 특정 분야에 제한되지 않는다는 것을 인식할 것이다.
전기적 디바이스(802)는 하나 이상의 PCB(804)를 포함할 수 있으며, PCB(804)는 칩 패키지(806) 등의 하나 이상의 반도체 기반 전기 부품을 포함한다. 칩 패키지(806)는 도 4a 내지 도 4d에서 설명한 공정에 따라 제조된 FinFET 디바이스와 같은 하나 이상의 피처가 배치되어 있는 웨이퍼의 분할 칩을 포함할 수 있다. 칩은 예컨대 기판(202)을 포함할 수 있다. 칩은 그 위에 배치된 피처를 보호하기 위해 내구성 있는 패키지 내에 패키징될 수 있다. 칩 패키지(806)는 칩 상의 특정 컨택 포인트에 외부 액세스를 제공하도록 구성된 하나 이상의 컨택 핀을 더 포함할 수 있다.
유리한 점은, 칩 패키지(806) 내의 칩 상에 배치된 피처의 크기 및 밀도가, 스페이서 프리즈 처리(502)를 사용함으로써, 이전 방법들에 비해, 반도체 디바이스의 고분해능 패터닝이 가능하기 때문에, 다른 기술로 제조된 디바이스들에 비해 작을 수 있다는 것이다.
부가적인 장점 및 수정이 당업자에게 명백할 것이다. 따라서, 보다 넓은 관점에서의 본 발명은 특정 세부 사항, 대표적인 장치와 방법 그리고 도시하고 설명한 예시적인 예들로 제한되지 않는다. 따라서, 일반적인 발명의 사상의 범주를 벗어나지 않는 범위 내에서 그러한 세부 사항으로부터 벗어날 수도 있다.

Claims (21)

  1. 기판 상에 스페이서 패턴을 형성하는 방법에 있어서,
    등각의 코팅(conformal coating)을 갖는 스페이서를 구비한 기판을 제공하는 단계와,
    스페이서 프리즈 처리 공정(spacer freeze treatment process)을 수행하는 단계와,
    상기 기판에 대해 에칭 및 세정 공정을 수행하는 단계와,
    스페이서 형성 목표를 달성하도록 상기 스페이서 프리즈 처리 공정과 상기 에칭 및 세정 공정을 제어하는 단계
    를 포함하는, 스페이서 패턴 형성 방법.
  2. 제1항에 있어서, 상기 스페이서 프리즈 처리 공정을 수행하는 단계는 라디칼 플라즈마 에칭(radical plasma etch)을 수행하는 단계를 포함하는, 스페이서 패턴 형성 방법.
  3. 제2항에 있어서, 상기 라디칼 플라즈마 에칭을 수행하는 단계는, N2, O2, CO, CO2, H2, HBr, Cl2, CxHy, Ar, He, CxHyFz, 및 CxFy로 이루어진 그룹에서 선택된 플라즈마 에칭 가스를 포함하는 플라즈마 에칭 가스 화학물을 사용하여 수행되는, 스페이서 패턴 형성 방법.
  4. 제1항에 있어서, 상기 스페이서 프리즈 처리 공정을 수행하는 단계는 이온 플라즈마 에칭을 수행하는 단계를 포함하는, 스페이서 패턴 형성 방법.
  5. 제4항에 있어서, 상기 이온 플라즈마 에칭을 수행하는 단계는, N2, O2, CO, CO2, H2, HBr, Cl2, CxHy, Ar, 및 He로 이루어진 그룹에서 선택된 플라즈마 에칭 가스를 포함하는 플라즈마 에칭 가스 화학물을 사용하여 수행되는, 스페이서 패턴 형성 방법.
  6. 제1항에 있어서, 한 사이클 내에서 상기 스페이서 프리즈 처리 공정을 반복하는 단계를 더 포함하는, 스페이서 패턴 형성 방법.
  7. 제1항에 있어서, 상기 스페이서 프리즈 처리 공정을 수행하는 단계는 상기 스페이서의 표면 상에 부산물(byproduct)을 축적하는 단계를 포함하는, 스페이서 패턴 형성 방법.
  8. 제7항에 있어서, 스페이서 솔더 표면(spacer shoulder surface) 상에 부산물을 축적하는 단계를 더 포함하는, 스페이서 패턴 형성 방법.
  9. 제7항에 있어서, 상기 부산물의 축적은 상기 에칭 및 세정 공정에 대한 패시베이션의 증가를 제공하는, 스페이서 패턴 형성 방법.
  10. 제1항에 있어서, 상기 에칭 및 세정 공정을 수행하는 단계는 상기 등각의 코팅에 대해 브레이크쓰루 에칭(breakthrough etch)을 수행하는 단계를 포함하는, 스페이서 패턴 형성 방법.
  11. 제1항에 있어서, 상기 에칭 및 세정 공정을 수행하는 단계는, 상기 스페이서를 에칭하여 코어 재료를 노출시키는 단계를 포함하는, 스페이서 패턴 형성 방법.
  12. 제11항에 있어서, 상기 에칭 및 세정 공정을 수행하는 단계는 상기 코어 재료를 제거하는 단계를 포함하는, 스페이서 패턴 형성 방법.
  13. 제12항에 있어서, 상기 코어 재료를 제거하는 단계는, N2, O2, CO, CO2, H2, HBr, Cl2, CxHy, Ar, He, CxHyFz, 및 CxFy로 이루어진 그룹에서 선택된 플라즈마 에칭 가스를 포함하는 플라즈마 에칭 가스 화학물을 사용하여 수행되는, 스페이서 패턴 형성 방법.
  14. 제11항에 있어서, 상기 코어 재료는, 실리콘, 비정질 탄소, 및 포토레지스트 폴리머로 이루어진 재료들의 그룹에서 선택된 재료를 포함하는, 스페이서 패턴 형성 방법.
  15. 제1항에 있어서, 상기 등각의 코팅은 산화물층 또는 질화물층을 포함하는, 스페이서 패턴 형성 방법.
  16. 제1항에 있어서, 상기 스페이서 패턴을 형성하는 것은, 핀타입 전계효과 트랜지스터(FinFET) 구조를 형성하기 위한 셀프얼라인 멀티패터닝(Self-Aligned Multi-Patterning, SAMP) 기술을 포함하는, 스페이서 패턴 형성 방법.
  17. 제16항에 있어서, 상기 FinFET은 22 nm 이하(sub-22 nm)의 트랜지스터 아키텍처를 포함하는, 스페이서 패턴 형성 방법.
  18. 제1항에 있어서, 상기 스페이서 프리즈 처리 공정은 스페이서 높이 손실 및 비대칭 형성을 저감시키는, 스페이서 패턴 형성 방법.
  19. 제1항에 있어서, 상기 스페이서 프리즈 처리 공정은 스페이서 푸팅(spacer footing)을 줄이는, 스페이서 패턴 형성 방법.
  20. 제1항에 있어서, 상기 스페이서 프리즈 처리 공정은 코어-스페이서 단차의 높이차를 줄이는, 스페이서 패턴 형성 방법.
  21. 기판 상에 스페이서 패턴을 형성하기 위한 시스템에 있어서,
    이온 에칭 챔버와,
    상기 이온 에칭 챔버에 결합된 컨트롤러
    를 포함하고,
    상기 이온 에칭 챔버는,
    등각의 코팅을 갖는 스페이서를 구비한 기판을 수용하고,
    스페이서 프리즈 처리 공정을 수행하며,
    상기 기판에 대해 에칭 및 세정 공정을 수행하도록 구성되고,
    상기 컨트롤러는, 스페이서 형성 목표를 달성하도록 상기 스페이서 프리즈 처리 공정 및 상기 에칭 및 세정 공정을 제어하도록 구성되는, 스페이서 패턴 형성 시스템.
KR1020197009248A 2016-09-20 2017-09-19 셀프얼라인 멀티패터닝 기술을 위한 스페이서 형성 KR102239765B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201662396952P 2016-09-20 2016-09-20
US62/396,952 2016-09-20
PCT/US2017/052202 WO2018057499A1 (en) 2016-09-20 2017-09-19 Spacer formation for self-aligned multi-patterning technique

Publications (2)

Publication Number Publication Date
KR20190045279A true KR20190045279A (ko) 2019-05-02
KR102239765B1 KR102239765B1 (ko) 2021-04-12

Family

ID=61618043

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020197009248A KR102239765B1 (ko) 2016-09-20 2017-09-19 셀프얼라인 멀티패터닝 기술을 위한 스페이서 형성

Country Status (5)

Country Link
US (1) US10170329B2 (ko)
KR (1) KR102239765B1 (ko)
CN (1) CN109997211B (ko)
TW (1) TWI719257B (ko)
WO (1) WO2018057499A1 (ko)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11551930B2 (en) * 2018-12-12 2023-01-10 Tokyo Electron Limited Methods to reshape spacer profiles in self-aligned multiple patterning
US11276560B2 (en) 2019-08-30 2022-03-15 Mattson Technology, Inc. Spacer etching process

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6333268B1 (en) * 1999-09-17 2001-12-25 Novellus Systems, Inc. Method and apparatus for removing post-etch residues and other adherent matrices
US9269590B2 (en) * 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
KR20160097609A (ko) * 2015-02-09 2016-08-18 삼성전자주식회사 미세 패턴을 가지는 반도체 소자의 제조 방법

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1320629C (zh) * 2004-06-28 2007-06-06 中芯国际集成电路制造(上海)有限公司 集成电路器件形成隔离物后修复等离子体损伤的方法
US7910288B2 (en) 2004-09-01 2011-03-22 Micron Technology, Inc. Mask material conversion
US7560390B2 (en) 2005-06-02 2009-07-14 Micron Technology, Inc. Multiple spacer steps for pitch multiplication
US8852851B2 (en) * 2006-07-10 2014-10-07 Micron Technology, Inc. Pitch reduction technology using alternating spacer depositions during the formation of a semiconductor device and systems including same
US8084310B2 (en) 2008-10-23 2011-12-27 Applied Materials, Inc. Self-aligned multi-patterning for advanced critical dimension contacts
CN101882579B (zh) * 2009-05-06 2011-11-30 中芯国际集成电路制造(上海)有限公司 Ono介电层切断方法
JP5486883B2 (ja) 2009-09-08 2014-05-07 東京エレクトロン株式会社 被処理体の処理方法
TWI476832B (zh) 2011-09-28 2015-03-11 Tokyo Electron Ltd 蝕刻方法及裝置
US9159579B2 (en) 2013-10-25 2015-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography using multilayer spacer for reduced spacer footing
US20150155176A1 (en) 2013-12-03 2015-06-04 Lam Research Corporation Sidewall height nonuniformity reduction for sidewall image transfer processes
US9478433B1 (en) * 2015-03-30 2016-10-25 Applied Materials, Inc. Cyclic spacer etching process with improved profile control
CN108389796A (zh) * 2017-02-03 2018-08-10 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6333268B1 (en) * 1999-09-17 2001-12-25 Novellus Systems, Inc. Method and apparatus for removing post-etch residues and other adherent matrices
US9269590B2 (en) * 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
KR20160097609A (ko) * 2015-02-09 2016-08-18 삼성전자주식회사 미세 패턴을 가지는 반도체 소자의 제조 방법

Also Published As

Publication number Publication date
CN109997211B (zh) 2020-10-02
WO2018057499A1 (en) 2018-03-29
KR102239765B1 (ko) 2021-04-12
CN109997211A (zh) 2019-07-09
US20180082851A1 (en) 2018-03-22
US10170329B2 (en) 2019-01-01
TW201824390A (zh) 2018-07-01
TWI719257B (zh) 2021-02-21

Similar Documents

Publication Publication Date Title
KR102445013B1 (ko) 하드 마스크들을 사용하지 않고서 자기 정렬 패터닝 방식들에서 패턴 밀도를 증가시키는 방법
US9318343B2 (en) Method to improve etch selectivity during silicon nitride spacer etch
JP6779846B2 (ja) セルフアライン式マルチパターニングのためのその場スペーサ再整形方法及びシステム
JP5706946B2 (ja) プラズマエッチング方法及びプラズマエッチング装置
KR101880831B1 (ko) 가스 펄싱을 사용하는 딥 실리콘 에칭 방법
KR20160102356A (ko) 10nm 이하의 패터닝을 달성하기 위한 물질 처리
KR102328025B1 (ko) 서브-해상도 스케일들로 상이한 임계 치수들을 패터닝하기 위한 방법
KR102460795B1 (ko) 낮은 종횡비 적층물의 패터닝을 위한 방법 및 시스템
TWI659259B (zh) 用於選擇性之矽抗反射塗層移除的方法
CN109997211B (zh) 用于自对准多重图案化技术的间隙壁形成
TWI804573B (zh) 基板處理之方法與系統
US6506687B1 (en) Dry etching device and method of producing semiconductor devices
US10811273B2 (en) Methods of surface restoration for nitride etching
US10937664B2 (en) Surface modification process
CN107690694B (zh) 通过溢料添加进行部分蚀刻记忆

Legal Events

Date Code Title Description
E701 Decision to grant or registration of patent right
GRNT Written decision to grant