KR20180100071A - 실시간 프로세스 특성화 - Google Patents

실시간 프로세스 특성화 Download PDF

Info

Publication number
KR20180100071A
KR20180100071A KR1020187024658A KR20187024658A KR20180100071A KR 20180100071 A KR20180100071 A KR 20180100071A KR 1020187024658 A KR1020187024658 A KR 1020187024658A KR 20187024658 A KR20187024658 A KR 20187024658A KR 20180100071 A KR20180100071 A KR 20180100071A
Authority
KR
South Korea
Prior art keywords
monitoring device
process monitoring
sensor
substrate
sensors
Prior art date
Application number
KR1020187024658A
Other languages
English (en)
Other versions
KR102660879B1 (ko
Inventor
레오나르드 테데스키
카르틱 라마스와미
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Priority to KR1020247013306A priority Critical patent/KR20240055907A/ko
Publication of KR20180100071A publication Critical patent/KR20180100071A/ko
Application granted granted Critical
Publication of KR102660879B1 publication Critical patent/KR102660879B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/24Optical enhancement of defects or not directly visible states, e.g. selective electrolytic deposition, bubbles in liquids, light emission, colour change
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • H01L22/34Circuits for electrically characterising or monitoring manufacturing processes, e. g. whole test die, wafers filled with test structures, on-board-devices incorporated on each die, process control monitors or pad structures thereof, devices in scribe line
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01DMEASURING NOT SPECIALLY ADAPTED FOR A SPECIFIC VARIABLE; ARRANGEMENTS FOR MEASURING TWO OR MORE VARIABLES NOT COVERED IN A SINGLE OTHER SUBCLASS; TARIFF METERING APPARATUS; MEASURING OR TESTING NOT OTHERWISE PROVIDED FOR
    • G01D21/00Measuring or testing not otherwise provided for
    • G01D21/02Measuring two or more variables by means not covered by a single other subclass

Abstract

실시예들은 프로세스 모니터링 디바이스들, 및 그러한 프로세스 모니터링 디바이스들을 사용하는 방법들을 포함한다. 일 실시예에서, 프로세스 모니터링 디바이스는 기판을 포함한다. 프로세스 모니터링 디바이스는 또한, 기판의 지지 표면 상에 형성된 복수의 센서들을 포함할 수 있다. 실시예에 따르면, 각각의 센서는 프로세싱 조건에 대응하는 출력 신호를 생성할 수 있다. 게다가, 실시예들은 기판 상에 형성된 네트워크 인터페이스 디바이스를 포함하는 프로세스 모니터링 디바이스를 포함한다. 실시예에 따르면, 복수의 센서들 각각은 네트워크 인터페이스 디바이스에 통신가능하게 커플링된다. 네트워크 인터페이스 디바이스는 프로세싱 동작들 동안에, 센서들로부터 획득된 출력 신호들이 외부 컴퓨터에 무선으로 송신될 수 있게 한다.

Description

실시간 프로세스 특성화
본 출원은 발명의 명칭이 실시간 프로세스 특성화인 2016년 1월 28일자로 출원된 미국 정식 특허 출원 제15/009,705호의 우선권을 주장하며, 그 미국 정식 특허 출원은 모든 목적들에 대해 그 전체가 인용에 의해 본원에 포함된다.
실시예들은 반도체 프로세싱의 분야에 관한 것으로, 특히 실시간으로 프로세싱을 특성화하기 위한 디바이스들 및 방법들에 관한 것이다.
전형적으로, 증착 및 제거 레이트들은 주어진 시간량 동안 기판을 프로세싱한 후에, 막 두께 측정 툴(예컨대, 엘립소미터(ellipsometer))을 사용하여, 증착 또는 제거된 막의 양을 측정함으로써 측정된다. 이 기법에 대한 문제는 프로세스의 최종 결과만이 결정될 수 있다는 것이다. 따라서, 프로세싱의 진행 동안의 막에 대한 실시간 변화들은 결정되는 것이 가능하지 않다. 일부 경우들에서, OES(optical emission spectroscopy)의 사용은 플라즈마에 관한 약간의 실시간 정보를 제공할 수 있지만, 여전히, 플라즈마가 기판의 표면에 미치는 영향을 결정하는 능력은 부족하다. 부가적으로, OES는 원격 플라즈마에 대해 사용하는 데 적합하지 않다.
실시예들은 프로세스 모니터링 디바이스들, 및 그러한 프로세스 모니터링 디바이스들을 사용하는 방법들을 포함한다. 일 실시예에서, 프로세스 모니터링 디바이스는 기판을 포함한다. 프로세스 모니터링 디바이스는 또한, 기판의 지지 표면 상에 형성된 복수의 센서들을 포함할 수 있다. 실시예에 따르면, 각각의 센서는 프로세싱 조건에 대응하는 출력 신호를 생성할 수 있다. 게다가, 실시예들은 기판 상에 형성된 네트워크 인터페이스 디바이스를 포함하는 프로세스 모니터링 디바이스를 포함한다. 실시예에 따르면, 복수의 센서들 각각은 네트워크 인터페이스 디바이스에 통신가능하게 커플링된다. 네트워크 인터페이스 디바이스는 프로세싱 동작들 동안에, 센서들로부터 획득된 출력 신호들이 외부 컴퓨터에 무선으로 송신될 수 있게 한다.
기판 프로세싱 동작을 모니터링하기 위한 방법이 또한, 일부 실시예들에 포함된다. 실시예에서, 프로세스 모니터링 방법은 프로세싱 스테이션을 이용하여 프로세스 모니터링 디바이스 상에서 프로세싱 동작을 개시하는 단계를 포함할 수 있다. 예컨대, 프로세싱 스테이션은 임의의 프로세싱 툴, 이를테면 증착 또는 에칭 프로세스에서의 챔버일 수 있다. 실시예에 따르면, 프로세스 모니터링 디바이스는 프로세스 모니터링 디바이스의 지지 표면에 걸쳐 분포된 복수의 센서들을 포함한다. 센서들 각각은 프로세싱 챔버 내의 프로세싱 조건에 대응하는 센서 출력들을 생성한다. 실시예에 따르면, 방법은 또한, 프로세싱 동작 동안에 프로세스 모니터링 디바이스로부터 센서 출력들을 수신하는 단계를 포함할 수 있다. 일부 실시예들에서, 방법은 또한, 프로세스 모니터링 디바이스 상의 클록을 프로세싱 스테이션과 연관된 클록과 동기화시키는 단계를 포함할 수 있다.
위의 요약은 모든 실시예들의 철저한 리스트를 포함하지 않는다. 위에서 요약된 다양한 실시예들의 모든 적합한 조합들뿐만 아니라, 아래의 상세한 설명에서 개시되고 본 출원과 함께 제출된 청구항들에서 특히 지적되는 것들로부터 실시될 수 있는 모든 시스템들 및 방법들이 포함된다는 것이 고려된다. 그러한 조합들은 위의 요약에서 구체적으로 기재되지 않은 특정한 이점들을 갖는다.
도 1a는 실시예에 따른, 복수의 센서 뱅크들을 포함하는 프로세스 모니터링 디바이스의 예시이다.
도 1b는 실시예에 따른, 교체가능 층 상에 형성된 복수의 센서 뱅크들을 포함하는 프로세스 모니터링 디바이스의 예시이다.
도 2는 실시예에 따른, 프로세스 모니터링 디바이스 상에 형성될 수 있는 센서 뱅크의 예시이다.
도 3은 실시예에 따른, 프로세스 모니터링 디바이스 상에 탑재된 전자 회로망의 예시이다.
도 4a 내지 도 4c는 실시예에 따른, 센서 뱅크에 포함될 수 있는 센서들의 예시들이다.
도 5는 실시예에 따른, 기판 프로세싱 툴의 챔버에 배치된 프로세스 모니터링 디바이스의 예시이다.
도 6은 실시예에 따른, 프로세싱 툴에 실시간 프로세스 특성화를 제공하기 위한 방법의 동작들을 표현하는 흐름도의 예시이다.
도 7은 실시예에 따른, 복수의 센서 뱅크들을 갖는 프로세스 모니터링 디바이스를 사용하고 개장하기 위한 방법의 동작들을 표현하는 흐름도의 예시이다.
도 8은 실시예에 따른, 프로세스 모니터링 디바이스와 연대하여 사용될 수 있는 예시적인 컴퓨터 시스템의 블록도를 예시한다.
다양한 실시예들에 따라, 프로세싱 동작 동안에 기판 상의 프로세싱 조건들을 모니터링하기 위해 사용되는 디바이스들 및 방법들이 설명된다. 다음의 설명에서, 다수의 특정 세부사항들이 실시예들의 철저한 이해를 제공하기 위해 제시된다. 이들 특정 세부사항들이 없이도 실시예들이 실시될 수 있다는 것이 당업자에게 명백할 것이다. 다른 경우들에서, 실시예들을 불필요하게 모호하게 하지 않기 위해 잘-알려진 양상들은 상세히 설명되지 않는다. 게다가, 첨부 도면들에 도시된 다양한 실시예들이 예시적인 표현들이고, 반드시 실척대로 도시된 것이 아니라는 것이 이해되어야 한다.
다양한 기판 프로세싱 동작들에서 사용되는 프로세싱 동작들을 검증하기 위한 기존의 기법들은 시간 소모적이고 고가이다. 예컨대, 증착 프로세스가 검증될 필요가 있는 경우에, 샘플 기판이 증착 챔버에 배치되고, 층이 기판의 표면 위에 증착된다. 그 후에, 기판은 증착 챔버로부터 제거되고, 상이한 툴을 사용하여 분석된다. 예컨대, 증착 프로세스에 의해 획득된 최종 막 두께를 결정하기 위해, 계측 툴, 이를테면 엘립소미터가 사용될 수 있다.
이러한 전형적인 검증 프로세스는 여러 단점들을 갖는다. 첫번째로, 프로세스 검증은 하나 초과의 툴을 사용한다. 부가적인 계측 툴은 제작 설비에서 유용한 공간을 차지한다. 부가적으로, 다수의 툴들의 사용은 부가적인 기판 운송 동작들을 필요로 하고, 그에 따라, 프로세스를 검증하는 데 요구되는 시간을 증가시킨다. 두번째로, 프로세스 검증은 프로세스가 완료된 후에만, 막의 두께를 결정할 수 있다. 따라서, 현재의 프로세스 검증 기법들은 시간에 걸친 두께의 변화가 실시간으로 결정되는 것을 가능하게 하지 않는다.
따라서, 실시예들은 프로세싱 동안에 프로세스 모니터링이 발생할 수 있게 하는 프로세스 모니터링 디바이스를 포함한다. 따라서, 실시예들은 고가의 계측 장비에 대한 필요성을 제거하고, 프로세싱 동작들 동안의 기판 상의 조건들의 실시간 분석을 가능하게 한다. 게다가, 실시예들은 복수의 상이한 프로세스 파라미터들의 분석을 동시에 가능하게 한다. 예컨대, 프로세싱 동작 동안에, (예컨대, 증착 또는 에칭 프로세스들 동안의) 막 두께, 입자들의 존재 또는 부재, 질량, 기판 온도, 척 온도, 표면 전하, 자기장 세기, 특정 가스 농도, 플라즈마의 EEDF(electron energy distribution function), VDC(voltage direct current) 등이 모니터링될 수 있다.
다수의 상이한 프로세싱 조건들의 실시간 변화를 모니터링하는 것은 프로세스 검증에 부가하여 프로세스 최적화를 가능하게 할 수 있다. 하나 초과의 프로세스 파라미터를 모니터링하는 능력은, 하나의 프로세스 조건의 변화가 다른 프로세스 조건들의 변화에 어떻게 영향을 미치는지 분석하기 위해 사용될 수 있는 실험 설계(DOE)들이 구현되는 것을 가능하게 할 수 있다. 예컨대, 표면 온도와 VDC 사이의 관계는, VDC의 변화들을 포함하는 프로세스 레시피를 실행하고, VDC의 변화에 대한 응답으로 표면 온도가 어떻게 변화되는지를 모니터링함으로써, 결정될 수 있다. 각각의 프로세싱 조건이 기판 표면에 미치는 영향을 이해하는 것은 더 견고한 프로세스들 및 하드웨어를 가능하게 한다. 부가적으로, 툴들 사이의 작은 차이들이 모니터링 및 제어될 수 있기 때문에, 챔버 매칭이 또한 개선될 수 있다.
아래에서 설명되는 프로세스 모니터링 디바이스 및 방법들이, 실시간 프로세스 모니터링이 유익한 임의의 폼 팩터 또는 프로세스에서 사용될 수 있다는 것이 이해될 것이다. 더 구체적으로, 프로세스 모니터링 디바이스들 및 방법들이 집적 회로들의 제작을 위한 웨이퍼 프로세싱에 대하여 설명되어 있지만, 디바이스들 및 방법들은 또한, 다른 기술들, 이를테면 일렉트로닉스 산업의 디스플레이들 및/또는 솔라 산업의 광발전 셀들에서 사용하도록 적응될 수 있다.
이제 도 1a를 참조하면, 실시예에 따라, 프로세스 모니터링 디바이스(100)의 예시가 도시된다. 프로세스 모니터링 디바이스(100)는, 전체 폼 팩터(form factor) 및/또는 반도체 웨이퍼와 동일한 재료 및 형상을 갖는 기판(102)을 포함할 수 있다. 일 실시예에서, 기판(102)은 반도체 재료로 적어도 부분적으로 구성될 수 있다. 예컨대, 기판(102)은 결정질 실리콘 재료, 결정질 III-V 반도체 재료, SOI(silicon-on-insulator) 등일 수 있다. 게다가, 기판(102)은 본질적으로 디스크-형상인 웨이퍼 폼 팩터를 가질 수 있고, 그리고 직경(106)을 갖는 지지 표면(104)을 포함한다. 지지 표면(104)은 디스크의 상부 표면일 수 있고, 기판(102)의 하단 표면(미도시)은 두께(109)만큼 지지 표면(104)으로부터 이격될 수 있다. 실시예에서, 기판(102)의 웨이퍼 폼 팩터는 95 mm 내지 455 mm의 직경(106)을 포함한다(예컨대, 직경(106)은 공칭적으로 100 mm, 200 mm, 300 mm, 또는 450 mm일 수 있음). 게다가, 기판(102)의 웨이퍼 폼 팩터는 1 mm 미만(예컨대, 525 μm, 775 μm, 또는 925 μm)의 두께(109)를 포함할 수 있다. 두께(109)는 또한, 1 mm 초과(예컨대, 최대 10 mm까지 수 밀리미터)일 수 있다. 따라서, 프로세스 모니터링 디바이스(100)는 쉽게 이용가능한 웨이퍼 재료들 및 전형적인 웨이퍼 제조 프로세스들 및 장비를 사용하여 제조될 수 있고, 그리고 웨이퍼 프로세싱 툴에서 프로세싱되는 경우에, 본질적으로 반도체 웨이퍼를 시뮬레이팅할 수 있다. 부가적인 실시예에 따르면, 기판(102)은 기판 프로세싱 툴에서 전형적으로 프로세싱되는 임의의 타입의 기판의 폼 팩터를 가질 수 있다. 예컨대, 디스플레이 기술들(예컨대, TFT(thin-film-transistor) 기반 디스플레이들)에서 사용되는 유리 패널들이 또한, 기판(102)으로서 사용될 수 있다.
프로세스 모니터링 디바이스(100)는 지지 표면(104) 상에서 미리 결정된 위치들에 제작 또는 탑재된 하나 또는 그 초과의 센서 뱅크들(108)을 포함할 수 있다. 실시예에 따르면, 각각의 센서 뱅크(108)는 하나 또는 그 초과의 센서들 및/또는 패터닝된 피처(feature)들(예컨대, 핀(fin)들, 트렌치들 등)을 가질 수 있다. 도면을 불명료하게 하지 않기 위해, 개별적인 센서들 및 패터닝된 피처들은 도 1a에 예시되지 않으며, 아래에서 더 상세히 설명될 것이다. 실시예에서, 복수의 센서 뱅크들(108)(예컨대, 수십개 내지 수백만개)이 지지 표면(104)에 걸쳐 형성 또는 배치될 수 있다. 각각의 센서 뱅크(108)는 알려져 있는 위치를 가질 수 있다. 예컨대, 제1 센서 뱅크는 제1 위치(110)에 위치될 수 있고, 제2 센서 뱅크는 제2 위치(112)에 위치될 수 있다. 제2 위치(112)는 제1 위치(110)에 대하여, 또는 프로세스 모니터링 디바이스(100) 상의 어떤 다른 기준 포인트에 대하여 알려져 있는 위치를 가질 수 있다.
센서 뱅크들(108)은 지지 표면(104)에 걸쳐 무작위로 분포될 수 있거나, 또는 미리 결정된 패턴으로 배열될 수 있다. 무작위 분포가 사용되는 경우에도, 센서 뱅크들(108) 각각의 절대적 또는 상대적 위치들은 여전히, 미리 결정될 수 있고 알려져 있을 수 있다. 실시예에서, 센서 뱅크들에 대해 사용되는 미리 결정된 패턴들은 격자 패턴, 동심원 패턴, 나선형 패턴 등을 포함할 수 있다. 예컨대, 도 1a에 도시된 센서 뱅크들(108)은 정렬된 동심원들로 지지 표면(104)에 걸쳐 분포된다. 무작위 패턴들 및 미리 결정된 패턴들 둘 모두는, 프로세스 모니터링 디바이스(100)의 지지 표면(104) 상의 정확한 위치들에 센서 뱅크들(108)을 형성하기 위한 알려져 있는 에칭 및/또는 증착 프로세스들을 사용하여 달성될 수 있다. 대안적으로, 센서 뱅크들(108)은 지지 표면(104) 상에서 미리 결정된 위치들에 탑재된 이산 컴포넌트들로서 제작될 수 있다.
실시예에서, 센서 뱅크들(108)은 프로세싱 동작 동안에 프로세싱 조건들의 가장 큰 정도의 변동을 가질 것으로 예측되는 위치들에서 프로세스 모니터링 정보를 제공하도록 배열된다. 예컨대, 기판(102)의 온도 또는 플라즈마에 대한 노출이 기판의 표면에 걸쳐 변화될 수 있다. 따라서, 일부 실시예들은 기판의 상이한 구역들(111)(예컨대, 중앙 구역(111c), 중간 구역(111m), 외측 구역(111o) 등)에 하나 또는 그 초과의 센서 뱅크들(108)을 형성하는 것을 포함할 수 있다. 각각의 구역은 동일한 수의 센서 뱅크들(108)을 가질 수 있다. 부가적인 실시예들은 상이한 수의 센서 뱅크들(108)을 갖는 구역들을 포함할 수 있다. 예컨대, 기판의 외측 둘레는 전형적으로, 기판(102)의 중앙보다 더 큰 프로세스 변동을 겪는다. 따라서, 외측 구역은 기판의 중앙 구역보다 더 많은 센서 뱅크들(108)을 가질 수 있다.
프로세스 모니터링 디바이스(100)는 기판(102) 상에 형성된 전자 회로망(113)의 하나 또는 그 초과의 영역들을 포함할 수 있다. 프로세스 모니터링 디바이스(100)의 전자 회로망(113)은 기판(102)의 지지 표면(104) 상에 형성된 하나 또는 그 초과의 센서 뱅크들(108)에 통신가능하게 커플링될 수 있다. 전자 회로망(113)은 전자 회로망(113)이 기판(102)의 지지 표면(104) 상에 형성되지 않을 수 있다는 것을 표시하기 위해 파선으로 예시된다. 예컨대, 아래에서 더 상세히 설명될 바와 같이, 전자 회로망(113)은 기판(102)에 매립될 수 있다. 실시예에 따르면, 전자 회로망(113)은 비아(via)들 및/또는 트레이스(trace)들에 의해 센서 뱅크들(108)에 전기적으로 커플링될 수 있다.
예시된 실시예에서, 각각의 센서 뱅크(108)는 전자 회로망(113)과 쌍을 이룬다. 부가적인 실시예들에 따르면, 하나 초과의 센서 뱅크(108)가 전자 회로망(113)의 각각의 영역과 쌍을 이룰 수 있다. 부가적으로, 실시예들은 전자 회로망 허브(116)를 포함할 수 있다. 전자 회로망 허브(116)는 유선 또는 무선 연결들로 전자 회로망(113)의 개별적인 영역들 각각에 통신가능하게 커플링될 수 있다. 예컨대, 기판(102)에 매립된(또는 기판(102) 위에 형성된) 전기 트레이스(114)가 전자 회로망(113)의 하나 또는 그 초과의 영역들을 전자 회로망 허브(116)와 직렬로 연결할 수 있거나, 또는 전자 회로망(113)의 하나 또는 그 초과의 영역들이, 각각의 전기 트레이스들(115)에 의해, 전자 회로망 허브(116)와 병렬로 연결될 수 있다. 따라서, 전기 트레이스들, 전기 리드들, 비아들, 및 다른 알려져 있는 타입의 전기 커넥터들을 사용하여, 센서 뱅크들(108) 사이에 전기 연결들이 이루어질 수 있고, 그리고/또는 센서 뱅크들(108)이 전자 회로망 허브(116)에 연결될 수 있다. 일부 실시예들에서, 전자 회로망 허브(116)는 제외된다.
이제 도 1b를 참조하면, 부가적인 실시예에 따라, 프로세스 모니터링 디바이스(100)의 단면 예시가 도시된다. 예시된 실시예에서, 지지 표면(104)과 센서 뱅크들(108) 사이에 교체가능 층(105)이 형성된다. 교체가능 층(105)을 포함하는 실시예들은, 센서 뱅크들(108)이 교체될 수 있게 함으로써, 프로세스 모니터링 디바이스(100)의 수명을 개선할 수 있다. 예컨대, 센서 뱅크들(108) 및 교체가능 층(105)은 미리 결정된 사용 횟수 후에 에칭 또는 폴리싱 프로세스로 제거될 수 있다. 대안적으로, 센서 뱅크들(108) 및 교체가능 층은, 센서들의 성능이 저하(예컨대, 기계적 피로 또는 다른 손상으로 인한 센서들의 고장)되기 시작한 후에 제거될 수 있다.
실시예에 따르면, 센서 뱅크들(108) 및 교체가능 층(105)의 제거는 기판(102) 바로 위에 형성된 또는 기판(102)에 매립된 전기 연결들이 보존될 수 있게 한다. 따라서, 후속하여 형성된 교체 센서 뱅크들을 위한 전기 연결들을 형성하기 위한 부가적인 프로세싱이 필요하지 않을 수 있다.
교체가능 층(105)은 기판(102) 위에 증착될 수 있는 임의의 적합한 재료일 수 있다. 예컨대, 교체가능 층(105)은 산화물, 질화물, 폴리실리콘, 단결정 반도체 재료 등일 수 있다. 교체가능 층(105)을 위한 재료는 또한, 제품 웨이퍼들의 프로세싱 동안에 노출될 재료와 매칭되도록 선택될 수 있다. 부가적으로, 교체가능 층(105)은 센서 뱅크들(108) 내의 센서들을 구성하게 될 재료일 수 있다. 그러한 실시예에서, 센서 뱅크들은, 도 1b에 도시된 바와 같이 교체가능 층(105) 위에 형성되는 대신에, 교체가능 층(105) 내에 형성될 수 있다.
위에서 설명된 바와 같이, 전자 회로망(113)의 각각의 영역은 기판(102)에 매립될 수 있다. 예컨대, 공동(128)이 기판(102) 내에 형성될 수 있다. 이어서, 전자 회로망(113)이 공동(128)에 형성될 수 있다. 예시된 실시예에서, 전자 회로망(113)은 공동(128)의 하단 표면으로부터 위로 연장되는 것으로 도시된다. 예컨대, 전자 회로망(113)은 공동(128)에 탑재된 다이일 수 있다. 그러나, 실시예들은 그러한 구성들로 제한되지 않는다. 예컨대, 전자 회로망(113)은 (예컨대, 기판이 반도체 기판인 경우에) 기판(102) 내에 직접적으로 제작될 수 있다. 기판 프로세싱 동작들 동안에 프로세싱 조건들로부터 전자 회로망(113)을 격리시키기 위해, 캡 층(129)이 공동(128)에 형성될 수 있다. 실시예에서, 캡 층(129)의 상단 표면은 기판(102)의 상단 표면과 실질적으로 동일 평면 상에 있을 수 있다. 게다가, 기판의 “지지 표면”에 대한 언급들은 또한, 캡 층(129)의 상단 표면을 포함할 수 있다는 것이 인식되어야 한다. 따라서, 일부 실시예들에서, 센서 뱅크들(108)은 캡 층(129)의 상단 표면 위에 형성된다. 센서 뱅크들(108)로부터 전자 회로망(113)으로의 전기 연결을 제공하기 위해, 비아(117)가 캡 층(129)(및 교체가능 층(105)(존재하는 경우))을 통해 형성될 수 있다. 캡 층(129)은 기판(102) 위에 증착될 수 있는 임의의 재료일 수 있다. 예컨대, 캡 층(129)은 산화물, 질화물, 폴리실리콘, 에피택셜 성장된 반도체 재료 등일 수 있다. 이제 도 2를 참조하면, 실시예에 따라, 센서 뱅크(108)가 더 상세히 예시된다. 실시예들은 하나 또는 그 초과의 센서들(219)을 포함하는 센서 뱅크(108)를 포함할 수 있다. 예컨대, 센서 뱅크(108)는 센서들(219A 내지 219n)을 포함할 수 있다. 실시예에서, 각각의 센서(219)는 상이한 프로세스 조건들을 모니터링할 수 있게 하는 상이한 타입의 센서일 수 있다. 예컨대, 센서들(219)은 막 두께, 입자들의 존재 또는 부재, 질량, 기판 온도, 척 온도, 표면 전하, 자기장 세기, 특정 가스 농도, 플라즈마의 EEDF, VDC 등의 변화들을 측정하기 위한 센서들을 포함할 수 있다. 이들 센서들(219)이 구현될 수 있는 방법의 특정 예들이 아래에서 더 상세히 개시된다.
일부 실시예들에서, 센서 뱅크(108)는 또한, 하나 또는 그 초과의 패터닝된 피처들(221)을 포함할 수 있다. 패터닝된 피처들(221)은 트렌치들, 핀들, 콘택 홀들, 듀얼 다마신 피처들, 또는 생산 기판 상에 있을 수 있는 임의의 다른 패터닝된 피처를 포함할 수 있다. 실제 디바이스들의 제작 동안에 조우하게 될 프로세싱 조건들과 유사한 프로세싱 조건들을 제공하기 위해, 패터닝된 피처들(221)은 또한, 제품 웨이퍼들에서 프로세싱될 재료와 동일한 재료로 형성될 수 있다. 패터닝된 피처들(221)은 지지 표면(104) 위에 형성될 수 있거나, 또는 지지 표면(104) 내에 형성될 수 있다. 부가적인 실시예들은 패터닝된 피처들(221)을 교체가능 층(105) 위에 또는 내에 형성하는 것을 포함할 수 있다. 실시예에 따르면, 센서 뱅크(108)는 하나 초과의 특정한 타입의 센서(219)를 포함할 수 있다. 하나 초과의 주어진 타입의 센서를 갖는 것은 여러 이점들을 갖는다. 하나의 이점은, 센서들(219) 중 하나가 동작 불가능하게 되는 경우에, 동일한 프로세싱 조건들을 모니터링하는 제2 센서(219)가 대체물로서 사용될 수 있다는 것이다. 대체 센서들의 포함은 프로세스 모니터링 디바이스의 유효 수명을 연장시킬 수 있다.
부가적으로, 센서들은 상이한 패터닝된 피처들(221) 근처에 형성될 수 있다. 예컨대, 센서(219A) 및 센서(219G)는 둘 모두, 막 두께 센서들, 이를테면 공진기일 수 있다. 센서(219A)는 격리된 패터닝된 피처(221L) 근처에 위치되고, 센서(219G)는 복수의 밀집 이격된 패터닝된 피처들(221D) 근처에 위치된다. 따라서, 패터닝된 피처들의 밀도로부터 기인할 수 있는 임의의 로딩 효과들이 또한 모니터링될 수 있다.
이제 도 3을 참조하면, 실시예에 따라, 프로세스 모니터링 디바이스(100)의 전자 회로망 허브(116)의 블록도의 예시가 예시된다. 도 3에서 전자 회로망 허브(116)가 참조되지만, 기판(102)에 걸쳐 분포된 전자 회로망(113)의 각각의 영역에 전자 회로망 허브(116)의 컴포넌트들 중 하나 또는 그 초과가 포함될 수 있다는 것이 인식되어야 한다. 부가적으로, 일부 실시예들에서, 전자 회로망 허브(116)는 제외될 수 있고, 도 3에 표현된 컴포넌트들 중 하나 또는 그 초과는 전자 회로망(113)의 각각의 영역에 제공될 수 있다. 프로세스 모니터링 디바이스(100)의 전자 회로망 허브(116)는 하우징(370) 내에 밀폐되거나 지지될 수 있거나, 또는 노출될 수 있다. 하우징(370) 및/또는 전자 회로망 허브(116)의 전자 컴포넌트들은 기판(102)의 지지 표면(104) 상에 탑재될 수 있다. 실시예에서, 전자 회로망 허브(116)의 하나 또는 그 초과의 컴포넌트는 기판(102) 내에 매립된다. 기판(102) 내에 매립된 전자 회로망을 형성하는 것은 부가적인 센서 뱅크들(108)을 형성하기 위한, 지지 표면(104) 상의 더 큰 표면적을 제공한다. 그렇지만, 전자 회로망 허브(116)는, 기판(102)의 대향 면들 상에 탑재되는 경우에도, 하나 또는 그 초과의 전기 트레이스, 전기 리드, 또는 비아를 통해, 각각의 센서 뱅크(108) 내의 센서들(219)과 전기적으로 연결되어 배치될 수 있다.
프로세스 모니터링 디바이스(100)의 전자 회로망 허브(116)는 기판(102) 상에 탑재된 클록(374)을 포함할 수 있다. 클록(374)은, 본 기술분야에서 알려져 있는 바와 같이, 정확한 주파수를 갖는 전기 신호를 출력하기 위한 전자 발진기(예컨대, 수정 결정)를 갖는 전자 회로일 수 있다. 따라서, 클록(374)은 전기 신호에 대응하는 시간 값을 출력하도록 구성될 수 있다. 시간 값은 다른 동작들과 독립적인 절대적 시간 값일 수 있거나, 또는 시간 값은 기판 프로세싱 툴들(아래에서 더 상세히 설명됨) 내의 다른 클록들에 동기화될 수 있다. 예컨대, 클록(374)은 기판 프로세싱 툴들의 시스템 클록에 동기화될 수 있고, 그에 따라, 클록(374)에 의해 출력되는 시간 값은, 시스템 클록에 의해 출력되거나 또는 제어되는 시스템 시간 값 및/또는 시스템 동작들에 대응한다. 클록(374)은 특정한 프로세스 동작이 발생하는 경우에 시간 값의 출력을 개시하도록 구성될 수 있다. 예컨대, 전자 회로망 허브(16)는, 프로세스 모니터링 디바이스(100)가 이동을 중단하는 경우에, 시간 값을 출력하기 시작하도록 클록(374)을 트리거링하는 가속도계(375)를 포함할 수 있다. 따라서, 시간 값은 기판 프로세싱 툴의 특정한 챔버 내에 프로세스 모니터링 디바이스(100)가 언제 로딩되었는지에 관한 정보를 제공할 수 있다.
프로세스 모니터링 디바이스(100)의 전자 회로망 허브(116)는 기판(302) 상에 탑재된 프로세서(376)를 포함할 수 있다. 프로세서(376)는 하나 또는 그 초과의 센서들(219) 및 클록(374)에 동작가능하게 커플링될 수 있다(예컨대, 버스(377) 및/또는 트레이스들(114/115)에 의해 전기적으로 연결될 수 있다). 프로세서(376)는 마이크로프로세서, 중앙 프로세싱 유닛 등과 같은 하나 또는 그 초과의 범용 프로세싱 디바이스들을 표현한다. 더 구체적으로, 프로세서(376)는 CISC(complex instruction set computing) 마이크로프로세서, RISC(reduced instruction set computing) 마이크로프로세서, VLIW(very long instruction word) 마이크로프로세서, 다른 명령 세트들을 구현하는 프로세서, 또는 명령 세트들의 조합을 구현하는 프로세서들일 수 있다. 프로세서(376)는 또한, 하나 또는 그 초과의 특수-목적 프로세싱 디바이스들, 이를테면, 주문형 집적 회로(ASIC), 필드 프로그래머블 게이트 어레이(FPGA), 디지털 신호 프로세서(DSP), 네트워크 프로세서 등일 수 있다.
프로세서(376)는 본원에서 설명되는 동작들을 수행하기 위한 프로세싱 로직을 실행하도록 구성된다. 예컨대, 프로세서(376)는 센서(219)의 미리 결정된 위치, 클록(374)에 의해 출력된 시간 값, 및 센서(219)로부터의 출력 신호를 송신 및/또는 레코딩하도록 구성될 수 있다. 따라서, 프로세서(376)는 프로세싱 동작 동안에 기판 상에 발생하는 실시간 프로세싱 조건들을 송신 및/또는 레코딩하도록 구성될 수 있다.
프로세서(376)는 또한, 어떤 센서들(219)이 모니터링될지를 결정할 수 있다. 예컨대, 특정한 프로세싱 동작 동안에, 주어진 프로세스 조건이 필요하지 않을 수 있다. 따라서, 그 특정한 프로세스 조건을 검출하고 있는 센서(219)로부터의 출력 신호는 송신 및/또는 레코딩되지 않는다. 부가적으로, 네트워크 인터페이스 디바이스(371)로부터 출력 신호들을 수신하는 외부 컴퓨터 또는 프로세서(376)가 액세스가능한 펌웨어 또는 소프트웨어는 센서들(219)로부터의 출력 신호들 중 하나 또는 그 초과의 송신 및/또는 레코딩을 방지하는 명령들을 제공할 수 있다. 그러한 실시예는, 모든 각각의 프로세스 모니터링 디바이스(100) 상에 모든 가능한 센서들이 형성되게 한 후에, 상이한 펌웨어/소프트웨어를 제공하여 각각의 프로세스 모니터링 디바이스(100)의 능력들의 커스터마이제이션을 가능하게 하는 데 유용할 수 있다. 따라서, 모든 각각의 타입의 프로세스 모니터링 디바이스(100)를 생산하기 위해 단일 마스크(또는 마스크 세트)가 요구되므로, 제조 비용들이 감소될 수 있다.
일부 실시예들에서, 전자 회로망 허브(116)는 네트워크 인터페이스 디바이스(371)를 포함할 수 있다. 네트워크 인터페이스는 비-솔리드(non-solid) 매체를 통한 변조된 전자기 방사선의 사용을 통해 데이터를 통신할 수 있다. 네트워크 인터페이스 디바이스(371)는, Wi-Fi(IEEE 802.11 패밀리), WiMAX(IEEE 802.16 패밀리), IEEE 802.20, LTE(long term evolution), Ev-DO, HSPA+, HSDPA+, HSUPA+, EDGE, GSM, GPRS, CDMA, TDMA, DECT, 블루투스, 이들의 파생물들뿐만 아니라, 3G, 4G, 5G 등으로서 지정된 임의의 다른 무선 프로토콜들을 포함하는(그러나, 이에 제한되지는 않음) 다수의 무선 표준들 또는 프로토콜들 중 임의의 것을 구현할 수 있다. 프로세서(376)는 버스(377) 또는 다른 전기 연결을 통해 네트워크 인터페이스 디바이스(371)와 통신할 수 있다. 따라서, 프로세서(376)는 센서들(219)로부터의 출력 신호들 및 클록(374)에 의해 출력된 시간 값을 외부 디바이스에 송신하기 위해 네트워크 인터페이스 디바이스에 동작가능하게 커플링될 수 있다.
실시예에 따르면, 네트워크 인터페이스 디바이스(371)는 센서들(219)에 통신가능하게 커플링되고, 그에 따라, 센서들(219) 각각으로부터의 출력 신호는 프로세서 또는 임의의 다른 컴포넌트에 의해 먼저 프로세싱되지 않고 네트워크 인터페이스 디바이스(371)에 전송될 수 있다. 이어서, 네트워크 인터페이스 디바이스(371)는 프로세싱 모니터링 디바이스(100) 외부에 있는 컴퓨팅 디바이스에 출력 신호들을 송신할 수 있다. 따라서, 센서들(219)로부터의 출력 신호들이 국부적으로 프로세싱되거나 또는 저장될 필요가 없을 수 있기 때문에, 실시예들은, 전력 소스(379) 및 네트워크 인터페이스 디바이스(371)를 포함하는 전자 회로망을 갖는 프로세스 모니터링 디바이스(100)를 포함할 수 있다. 대신에, 실시예들은 센서 출력 신호들로부터의 데이터가 외부 디바이스 상에서 프로세싱 또는 레코딩될 수 있게 한다.
외부 디바이스에 프로세싱 및 저장 기능들을 넘기는 것은 여러 이익들을 갖는다. 먼저, 디바이스의 전력 소비가 감소된다. 따라서, 캐패시터 뱅크, 압전 스프링들 등이 출력 신호들을 송신하기 위한 충분한 전력을 제공할 수 있으므로, 배터리가 필요하지 않을 수 있다. 부가적으로, 불필요한 컴포넌트들을 제거함으로써 전자 회로망의 복잡성을 감소시키는 것은, 더 신뢰가능하고 더 저렴한 디바이스를 제공한다.
프로세스 모니터링 디바이스(100)의 전자 회로망 허브(116)는 선택적으로, 기판(102) 상에 탑재된 메모리(378)를 포함할 수 있다. 메모리(378)는 메인 메모리(예컨대, 판독-전용 메모리(ROM), 플래시 메모리, 동적 랜덤 액세스 메모리(DRAM), 이를테면 동기 DRAM(SDRAM) 또는 램버스 DRAM(RDRAM) 등), 정적 메모리(예컨대, 플래시 메모리, 정적 랜덤 액세스 메모리(SRAM) 등), 또는 이차 메모리(예컨대, 데이터 저장 디바이스) 중 하나 또는 그 초과를 포함할 수 있다. 프로세서(376)는 버스(377) 또는 다른 전기 연결을 통해 메모리(378)와 통신할 수 있다. 따라서, 프로세서(376)는 센서들(219)로부터의 출력 신호들 및 클록(374)에 의해 출력된 시간 값을 메모리(378)에 레코딩하기 위해 메모리(378)에 동작가능하게 커플링될 수 있다.
프로세스 모니터링 디바이스(100)의 전자 회로망 허브(116)는 기판(102) 상에 탑재된 전력 소스(379)를 포함할 수 있다. 전력 소스(379)는 배터리, 캐패시터 뱅크, 또는 다른 알려져 있는 전력 공급부를 포함할 수 있다. 전력 소스(379)는 버스(377)를 통해 프로세스 모니터링 디바이스(100)의 컴포넌트들 중 하나 또는 그 초과에 전기적으로 연결되어, 연결된 컴포넌트들에 전력을 공급할 수 있다. 예컨대, 전력 소스(379)는 센서들(219), 클록(374), 프로세서(376), 또는 메모리(378) 중 하나 또는 그 초과에 전력을 공급하기 위해, 센서들(219), 클록(374), 프로세서(376), 또는 메모리(378) 중 하나 또는 그 초과에 전기적으로 연결될 수 있다.
프로세스 모니터링 디바이스(100)의 전자 회로망 허브(116)는 위에서 설명된 프로세스 모니터링 디바이스(100)의 컴포넌트들에 전기적으로 연결된 부가적인 컴포넌트들을 포함할 수 있다. 더 구체적으로, 전자 회로망 허브(116)는 주파수 소스(372)(예컨대, 광역 주파수 소스) 또는 검출기(373)를 포함할 수 있다. 주파수 소스(372) 및/또는 검출기(373)는 기판(102) 상에 탑재될 수 있다. 주파수 소스(372) 및 검출기(373)는 프로세스 모니터링 디바이스(100)의 특정 센서들(219)에 관하여 특정한 애플리케이션을 가질 수 있다. 따라서, 주파수 소스(372) 및 검출기(373)의 추가적인 설명은 아래의 대응하는 센서 논의를 위해 보류된다.
이제 도 4a를 참조하면, 실시예에 따라, 프로세스 모니터링 디바이스(100)의 트랜지스터 센서 타입의 센서(219)의 개략적인 예시가 예시된다. 실시예에서, 프로세스 모니터링 디바이스(100)의 하나 또는 그 초과의 센서들(219)은 트랜지스터 센서(219)를 포함한다. 트랜지스터 센서(219)는 하나 또는 그 초과의 트랜지스터들(예컨대, MOSFET(metal oxide semiconductor field effect transistor)(442))을 포함할 수 있다. MOSFET(442)는 소스(444), 드레인(446), 및 게이트(448)를 포함할 수 있다. 트랜지스터 센서(219)는 또한, 콜렉터(440)를 포함할 수 있다. 콜렉터들(440)은 막(432)이 상부에 증착될 수 있는 표면을 갖도록 형성될 수 있다. 실시예에서, 막(432)은 프로세싱 동작 동안에 두께가 변화될 막일 수 있다(예컨대, 막 두께는 증착 프로세스 동안에 증가될 것이고, 에칭 프로세스 동안에 감소될 것임). 따라서, 실시예들은 막(432)의 두께를 감소시키기 이해 사용되는 에칭 프로세스에 대해 에칭 저항적인 재료인 콜렉터(440)를 포함한다.
실시예에서, 콜렉터(440)는 MOSFET(442)에 전기적으로 연결된다. 예컨대, 콜렉터(440)는 전기 트레이스(414)를 통해 MOSFET(442)의 게이트(448)에 전기적으로 연결될 수 있다. 콜렉터(440)는 MOSFET(442)으로부터 물리적으로 분리될 수 있지만, 서브컴포넌트들은 서로 전기적으로 연결될 수 있다. 따라서, MOSFET(442)은, 콜렉터(440)가 MOSFET(442)으로부터 이격된 미리 결정된 위치에 위치되는 경우에도, 콜렉터(440) 상의 막(432)의 두께의 증가 또는 감소를 검출하도록 구성될 수 있다.
실시예에서, 콜렉터(440)는 외측 림(443)에 의해 정의된 프로파일을 포함할 수 있다. 하방 방향으로 보는 경우에, 외측 림(443)의 형상은 원형, 직사각형, 또는 임의의 다른 형상일 수 있다. 게다가, 콜렉터(440)는 평탄할 수 있거나(즉, 콜렉터(440)는 본질적인 평면 상부 표면을 가질 수 있음), 또는 콜렉터(440)는 도 4a에 도시된 바와 같이 원뿔형 상부 표면을 가질 수 있다. 실시예에서, 콜렉터(440)는 MOSFET(442)과 별개인 구조가 아니라, 대신에, MOSFET(442) 내에 통합된다. 예컨대, 콜렉터(440)는 MOSFET(442)의 게이트(448) 상의 수집 영역일 수 있다.
실시예에서, 트랜지스터 센서(219)의 출력 신호는 게이트(448) 양단에 걸쳐 측정되는 바와 같은, MOSFET(442)의 임계 전압일 수 있다. 임계 전압은 콜렉터(440) 상의 막(432)의 두께에 직접적으로 대응할 수 있다. 예컨대, 임계 전압은 콜렉터(440) 상에 막(432)이 있지 않은 경우에 제1 값을 가질 수 있고, 임계 전압은 콜렉터(440) 상에 막(432)이 있는 경우에 (제1 값과 상이한) 제2 값을 가질 수 있다. 따라서, MOSFET(442)의 임계 전압은 콜렉터(440) 상의 막(432)의 두께에 대한 응답으로 변화할 수 있다. 프로세서(376)는 임계 전압의 변화를 검출하도록 구성될 수 있고, 그에 따라, 프로세스 모니터링 디바이스(100)는 트랜지스터 센서(219)의 위치에서의 막(432)의 두께의 변화를 기록(note)할 수 있다. 부가적인 실시예들은 네트워크 인터페이스 디바이스(371)를 이용하여 출력 신호(즉, 임계 전압)를 외부 컴퓨팅 디바이스에 송신하는 것을 포함할 수 있다.
이제 도 4b를 참조하면, 실시예에 따라, 프로세스 모니터링 디바이스(100)의 공진기 타입의 센서(219)의 개략적인 예시가 예시된다. 실시예에서, 프로세스 모니터링 디바이스(100)의 하나 또는 그 초과의 센서들(219)은 공진기 타입 센서(219)를 포함한다. 공진기 센서(219)는 적합한 공진 질량 센서(resonant mass sensor), 이를테면, QCM(Quartz Crystal Microbalance), SAW(Surface Acoustic Wave), 또는 FBAR(Film Bulk Acoustic Resonators)일 수 있고, 이들 모두는 이들의 표면들 상에 증착되는 막(432)의 누적 질량을 정량화하기 위한 것으로 알려져 있다. 공진기들의 복잡성 및 다양성의 설명은 이해의 용이성 및 간결성의 목적을 위해 간략화된 설명을 위하여 본원에서 설명되지 않는다. 공진기 센서(219)는 기판(102)의 지지 표면(104)에 걸친 또는 교체가능 층(105)(존재하는 경우) 위의 미리 결정된 위치들에 있는 하나 또는 그 초과의 센서 뱅크들(108)에 형성될 수 있다. 각각의 공진기 센서(219)는 본 기술분야에 알려져 있는 바와 같이 특성 주파수(예컨대, 공진 주파수)를 가질 수 있다. 예컨대, 더 상세히 들어가지 않으면서, 공진기 센서(219)는 도 4b에 도시된 바와 같이 단순한 질량-스프링 시스템에 의해 표현될 수 있다. 공진기 센서(219)의 특성 주파수는 공진기 센서의 질량(M)에 반비례할 수 있다. 예컨대, 특성 주파수는 마이크로-공진기 시스템의 sqrt(k/M)에 비례할 수 있고, 여기서, ‘M’은 질량(M)에 대응하고, ‘k’는 공진기 센서(219)의 비례 상수에 대응한다. 따라서, 공진기 센서(219) 상의 막(432)의 두께가 변화되는 경우에, 특성 주파수가 시프트된다는 것이 인식될 것이다. 따라서, 막(432)의 증착 또는 에칭 동안에, 막(432)의 두께가 모니터링될 수 있다.
이제 도 4c를 참조하면, 실시예에 따라, 프로세스 모니터링 디바이스(100)의 공진기 타입의 센서(219)의 개략적인 예시가 예시된다. 사용될 수 있는 하나의 예시적인 타입의 공진기 센서(219)는 MEMS(microelectromechanical system) 공진 질량 센서, 이를테면 열 작동식 고-주파수 단결정 실리콘 공진기이다. 그러한 공진기 타입 센서들(219)은, 단일 마스크 프로세스들을 사용하여, 개별적인 디바이스들 또는 어레이들로서 지지 표면(104) 또는 교체가능 층(105) 상에 제작될 수 있다. 공진기 센서(219)는 대칭 평면(452)의 양 측 상에 2개의 패드들(450)을 포함할 수 있다. 변동 전류가 2개의 패드들(450) 사이로 통과될 수 있고, 그에 따라, 전류 경로에서 교류(AC) 옴 손실 컴포넌트를 발생시킬 수 있다. 실시예에서, 옴 손실의 대부분은 패드들(450)을 상호연결하는 얇은 필러들(454)에서 발생한다. 얇은 필러들(454)은 중앙에 위치될 수 있고, 대칭 평면(452)에 직교하는 방향으로 패드들(450) 사이에서 연장될 수 있다. 필러들(454)에서 생성되는 변동 온도는 평면-내 공진 모드로 공진기 센서(219)를 작동시키기 위해 필러들(454)에서 AC 힘 및 교번 열 응력을 발생시킬 수 있다. 평면-내 공진 모드에서, 질량(M)을 갖는 패드들(450)은 반대 방향들로 진동한다. 따라서, 공진 시에, 공진기 센서(219)는 진동 패드들(450)의 특성 주파수를 포함하고, 필러들(454)의 저항은 압전 저항 효과로 인한 교번 기계적 응력에 의해 조절된다. 따라서, 특성 주파수에 대응하는, 공진기 센서(219)에서의 검출가능한 작은 신호 운동 전류가 존재한다.
공진기 센서(219)의 특성 주파수의 시프트를 검출하기 위해, 주파수 소스(372) 및 검출기(373)가 프로세스 모니터링 디바이스(100)의 전자 회로망(113/116)에 통합될 수 있다. 주파수 소스(372)는 공진기 센서(219)를 여기시키기 위해 사용되는 광역 주파수 소스일 수 있다. 검출기(373)는 공진기 센서(219)의 특성 주파수를 모니터링할 수 있고, 특성 주파수의 변화들을 검출할 수 있다. 예컨대, 검출기(373)는 특성 주파수에 대응하는 신호(예컨대, 출력 전압 또는 전류)를 프로세서(376)에 출력할 수 있다. 프로세서(376)는 출력 전압을 수신하고 특성 주파수의 변화를 인식하도록 구성될 수 있다. 따라서, 출력 전압이 변화되는 경우 그리고/또는 공진기 센서(219)의 특성 주파수가 변화되는 경우에, 프로세스 모니터링 디바이스(100)는 그 변화를 막(432)의 두께의 변화로서 기록할 수 있다. 프로세싱 동작의 전체 진행 동안에 특정한 위치에서의 막(432)의 두께의 변화의 프로세스 모니터링을 제공하기 위해, 막(432)의 두께의 변화의 시간 및 위치가 또한 마찬가지로 레코딩될 수 있다. 예컨대, 공진기 센서(219)의 질량(M)이 증가됨에 따라(예컨대, 막(432)의 두께가 증가됨에 따라), 특성 주파수가 하향 시프트될 것이고, 그에 따라, 프로세스 모니터링 디바이스(100)가 막 두께 증가의 이력을 캡처할 수 있게 된다. 대안적으로, 프로세스 모니터링 디바이스(100)에 프로세서 및 메모리가 포함되지 않는 경우에, 프로세싱 동작의 실시간 프로세스 모니터링을 제공하기 위해, 네트워크 인터페이스 디바이스(371)에 의해 출력 신호가 외부 컴퓨팅 디바이스에 송신될 수 있다.
예시적인 트랜지스터 센서들 및 공진 센서들이 본원에서 제공되지만, 임의의 센서가 프로세싱 동작 동안에 상이한 프로세싱 조건들을 모니터링하기 위해 사용될 수 있다는 것이 인식되어야 한다. 다양한 실시예들에 따라, 프로세싱 조건(예컨대, 막 두께, 입자들의 존재 또는 부재, 질량, 기판 온도, 척 온도, 표면 전하, 자기장 세기, 특정 가스 농도, 플라즈마의 EEDF, VDC 등)에 대응하는 출력 신호(예컨대, 출력 전압, 출력 전류, 주파수 응답, 시간 측정 등)를 생성할 수 있는 임의의 센서가 센서 뱅크(108) 내의 센서(219)로서 사용될 수 있다. 따라서, 실시예들은 프로세싱 스테이션 내의 그리고 기판 상의 프로세싱 조건들이 실시간으로 모니터링될 수 있게 한다. 실시예에 따르면, 프로세스 모니터링 디바이스(100)는 임의의 프로세싱 스테이션과 연대하여 사용될 수 있다. 일부 실시예들에서, 하나 또는 그 초과의 프로세싱 스테이션들이 기판 프로세싱 툴에 포함될 수 있다. 실시예에 따라, 하나의 예시적인 기판 프로세싱 툴(560)의 평면도 예시가 도 5에 예시된다. 기판 프로세싱 툴(560)은 하나 또는 그 초과의 로드 락들(566)에 의해 팩토리 인터페이스(564)에 물리적으로 연결된 버퍼 챔버(562)를 포함할 수 있다. 팩토리 인터페이스(564)는 제작 설비 내의 툴들 사이에서 기판들을 운송하기 위해 사용되는 하나 또는 그 초과의 FOUP(front opening unified pod)들(565)을 수용하는 것이 가능할 수 있다. 프로세스 모니터링 디바이스들(100)이 생산 기판들의 폼 팩터와 유사한 폼 팩터를 갖는 실시예들에서, 제작 설비 내에서 프로세스 모니터링 디바이스들(100)을 운송하기 위해 동일한 장비(예컨대, FOUP들, 기판 이송 로봇들(미도시) 등)가 사용될 수 있다.
하나 또는 그 초과의 프로세싱 챔버들(568)이 하나 또는 그 초과의 각각의 로드 락들(미도시)에 의해 또는 직접적으로 버퍼 챔버(562)에 물리적으로 연결될 수 있다. 버퍼 챔버(562)는, 낮은 압력이기는 하지만 프로세싱 챔버들(568) 내의 프로세스 압력들보다는 더 높은 압력으로 유지되는, 프로세싱 챔버들(568)의 각각의 볼륨들보다 더 큰 중간 볼륨으로서 본질적으로 작동할 수 있다. 따라서, 반도체 디바이스들의 제조 동안에, 기판(예컨대, 프로세스 모니터링 디바이스 또는 생산 기판)은 진공(또는 거의 진공) 조건들 하에 기판 프로세싱 툴(560)의 챔버들 사이에서 이동될 수 있다. 이러한 이동은 기판 프로세싱 툴(560)에 포함된 다양한 디바이스들(예컨대, 로봇식 암들, 셔틀들 등)에 의해 가능하게 될 수 있으며, 그 다양한 디바이스들은 예시를 과도하게 복잡화하지 않기 위해 도시되지 않는다. 다양한 제조 동작들이 프로세싱 챔버들(568)에서 수행될 수 있다. 예컨대, 프로세싱 챔버들(568) 중 적어도 하나는 플라즈마 에칭 챔버, 증착 챔버, 리소그래피 툴의 챔버, 또는 임의의 다른 반도체 프로세스 툴 챔버일 수 있다. 그에 따라, 프로세싱 챔버(568)는 진공 조건들, 대기 조건들, 또는 임의의 다른 압력 체제 하에서 제조 프로세스들을 수행하기 위해 사용될 수 있다. 프로세스 모니터링 디바이스(100)의 각각의 센서(219)는, 다양한 프로세싱 챔버들(568) 또는 임의의 프로세싱 스테이션에 의해 구현되는 프로세싱 동작들 동안에, 기판(102) 상의 주어진 프로세싱 조건(예컨대, 막 두께, 입자들의 존재 또는 부재, 질량, 기판 온도, 척 온도, 표면 전하, 자기장 세기, 특정 가스 농도, 플라즈마의 EEDF, VDC 등)의 변화를 감지하도록 구성될 수 있다.
기판 프로세싱 툴(560)은 외부 컴퓨터 또는 서버(561)에 커플링될 수 있다. 외부 컴퓨터(561)는 기판들 상에서 수행될 프로세싱 동작들에 대한 레시피들을 제공하고, 설비 전체에 걸쳐 기판들의 플로우를 모니터링하며, 일반적으로는 자동화된 제작 프로세스를 제공하기 위해 사용될 수 있다. 기판 프로세싱 툴(560)은 외부 컴퓨터(561)에 유선 또는 무선으로 커플링될 수 있다. 실시예에서, 컴퓨터(561)는 또한, 프로세싱 툴(560) 내에 통합될 수 있다. 실시예에서, 컴퓨터(561)는 챔버 프로세스들에 대응하는 출력 신호들, 이를테면 전압들, 가스 유량들, 압력 세팅들 등을 챔버들(568) 각각으로부터 수신할 수 있다. 부가적으로, 컴퓨터(561)는 프로세스 모니터링 디바이스(100)의 네트워크 인터페이스 디바이스(371)에 의해 프로세스 모니터링 디바이스(100)에 무선으로 커플링될 수 있다.
따라서, 실시예들은 프로세싱 동작들 동안에 프로세싱 스테이션 내의 또는 기판(102) 상의 실시간 프로세스 조건들이 외부 컴퓨터(561)에 송신될 수 있게 한다. 외부 컴퓨터(561)는 프로세싱 동작의 진행에 걸쳐, 프로세스 레시피에 대한 변화들이 조건들(예컨대, 막 두께, 입자들의 존재 또는 부재, 질량, 기판 온도, 척 온도, 표면 전하, 자기장 세기, 특정 가스 농도, 플라즈마의 EEDF, VDC 등)을 어떻게 변경하는지에 대한 분석을 제공하기 위해, 레코딩된 프로세싱 스테이션 세팅들 및/또는 프로세싱 스테이션 센서 판독들로, 프로세스 모니터링 디바이스(100)에 의해 획득된 프로세스 조건들을 오버레이(overlay)하도록 구성될 수 있다. 따라서, 프로세싱 레시피들은 주어진 결과가 생성되는 것으로 검증될 수 있거나, 또는 개선될 결과(예컨대, 기판의 전체 표면에 걸쳐 더 균일한 또는 일관적인 결과를 갖는 프로세스)를 생성하도록 개량될 수 있다.
이제 도 6을 참조하면, 실시예에 따라, 기판 프로세싱 스테이션에서 프로세스 모니터링 디바이스(100)를 이용하여 기판 상의 프로세스 조건들을 모니터링하기 위한 방법의 동작들을 표현하는 흐름도의 예시가 예시된다. 동작(682)에서, 프로세스 모니터링 디바이스(100)가 프로세싱 스테이션(예컨대, 기판 프로세싱 툴(560)의 챔버(568)) 내에 삽입된다. 프로세스 모니터링 디바이스(100)는 위에서 설명된 구조 및 컴포넌트들(예컨대, 지지 표면(104) 상의 미리 결정된 위치들에 탑재된 하나 또는 그 초과의 센서들(219)을 갖는 복수의 센서 뱅크들(108), 하나 또는 그 초과의 패터닝된 피처들(221), 및 하나 또는 그 초과의 센서들(219)로부터 획득된 출력 신호들을 송신하기 위한 네트워크 인터페이스)을 가질 수 있다. 센서들(219) 각각은 프로세싱 스테이션 내의 또는 기판 표면 상의 프로세스 조건에 대응하는 출력 신호를 생성하도록 구성될 수 있다.
동작(682)에서, 프로세스 모니터링 디바이스(100) 상의 클록(374)이 활성화될 수 있고, 그리고 프로세싱 스테이션과 연관된 클록과 동기화될 수 있다. 예컨대, 클록(374)은 제로(zero) 이동으로의 감속을 검출하는, 프로세스 모니터링 디바이스(100) 상의 가속도계(375)에 의해 활성화될 수 있다. 프로세싱 스테이션과 연관된 클록과 프로세스 모니터링 디바이스(100) 상의 클록(374)을 동기화시키는 것은 프로세싱 챔버로부터의 데이터가 프로세스 모니터링 디바이스(100)로부터의 데이터로 오버레이될 수 있게 한다.
동작(684)에서, 프로세스 모니터링 디바이스(100)를 프로세싱하기 위한 프로세싱 동작이 개시된다. 예컨대, 기판 프로세싱 스테이션은 외부 컴퓨터(561)로부터 프로세스 레시피를 수신할 수 있다. 실시예에서, 프로세싱 레시피는 증착 프로세스, 에칭 프로세스, 노출 프로세스, 또는 기판들 상의 디바이스들의 제작에서 사용되는 임의의 다른 프로세싱 동작을 위한 것일 수 있다. 실시예에 따르면, 프로세싱 동작은 후속 프로세싱되는 생산 기판들 상에서 사용될 프로세싱 동작일 수 있거나, 또는 프로세싱 동작은 새로운 프로세스 레시피를 개발하거나 또는 프로세싱 레시피를 개량하기 위해 사용되는 DOE의 일부일 수 있다. 예컨대, 표면 온도와 VDC 사이의 관계는, VDC의 변화들을 포함하는 프로세스 레시피를 실행하고, VDC의 변화에 대한 응답으로 표면 온도가 어떻게 변화되는지를 모니터링함으로써, 결정될 수 있다.
동작(686)에서, 프로세스 모니터링 디바이스(100)는 기판(102) 상에 형성된 하나 또는 그 초과의 센서들(219)로부터 출력 신호들을 획득할 수 있다. 실시예에 따르면, 출력 신호들은 프로세싱 동작 동안의 프로세싱 스테이션 내의 또는 기판(102) 상의 프로세싱 조건에 대응할 수 있다. 예컨대, 출력 신호들은 막 두께, 입자들의 존재 또는 부재, 질량, 기판 온도, 척 온도, 표면 전하, 자기장 세기, 특정 가스 농도, 플라즈마의 EEDF, VDC 등과 대응할 수 있다. 실시예에서, 출력 신호들은 출력 전압, 출력 전류, 주파수, 시간 측정 등일 수 있다. 실시예에서, 출력 신호들은 프로세싱 동작 동안에 연속적으로 획득될 수 있다. 대안적인 실시예들은 미리 세팅된 간격들에서(예컨대, 0.5 매 초마다, 초마다, 5초마다 등) 출력 신호들을 획득하는 것을 포함할 수 있다.
동작(688)에서, 프로세스 모니터링 디바이스(100)로부터 출력 신호들이 수신된다. 실시예에서, 출력 신호들은 프로세스 모니터링 디바이스(100) 상의 네트워크 인터페이스 디바이스(371)로부터 수신될 수 있다. 예컨대, 외부 컴퓨터(561)는 네트워크 인터페이스 디바이스(371)로부터 무선 신호를 통해 출력 신호들을 수신할 수 있다. 따라서, 프로세스 조건들의 변화의 실시간 분석이 획득될 수 있다. 부가적으로, 프로세스 레시피에 대한 변화들이 기판을 어떻게 변경하는지를 결정하기 위해, 출력 신호들은 프로세싱 툴(560) 상의 센서들로부터 획득된 데이터로 오버레이될 수 있다. 프로세스 모니터링 디바이스 상에 프로세서(376) 및 메모리(378)를 포함하는 대안적인 실시예들은 출력 신호들을 메모리(378)에 레코딩할 수 있다. 프로세싱 동작이 완료된 후에, 메모리(378) 상에 저장된 정보는 분석을 위해 외부 컴퓨터(561)로 다운로드될 수 있다.
부가적인 실시예에 따르면, 프로세스 모니터링 디바이스(100)를 사용하기 위한 프로세스는 프로세스 모니터링 디바이스(100)를 개장(refurbish)하는 것을 포함할 수 있다. 그러한 프로세스의 동작들을 표현하는 흐름도가 도 7에 예시된다. 동작(792)에서, 제1 복수의 센서들(219)이 프로세스 모니터링 디바이스의 표면(104)(또는 교체가능 층(105)) 상에 형성될 수 있다. 제1 복수의 센서들은 위에서 설명된 센서들(219)과 실질적으로 유사할 수 있고, 전형적인 반도체 제조 프로세스들, 이를테면 본 기술분야에 알려져 있는 증착 및 에칭 프로세스들을 이용하여 형성될 수 있다.
동작(794)에서, 프로세스 모니터링 디바이스(100)는 프로세싱 스테이션(예컨대, 프로세싱 툴(560)의 프로세싱 챔버(568))에서 하나 또는 그 초과의 횟수만큼 프로세싱될 수 있다. 실시예에서, 프로세스 모니터링 디바이스(100)는 제1 복수의 센서들(219)의 예상되는 사용가능 수명에 대응하는 미리 결정된 횟수만큼 프로세싱될 수 있다. 제1 복수의 센서들(219)의 예상되는 사용가능 수명은 프로세스 모니터링 디바이스(100) 상에서 수행되는 프로세싱 동작들의 타입들에 따라 부분적으로 좌우될 수 있다. 대안적으로, 프로세스 모니터링 디바이스(100)는 센서들(219)이 여전히 동작가능한지를 검증하기 위해 주기적인 검사를 받을 수 있다. 센서들이 동작 불가능한 것(즉, 신뢰가능한 데이터가 더 이상 획득되는 것이 가능하지 않도록 충분히 손상된 것)으로 간주되거나, 또는 미리 결정된 횟수의 프로세싱 동작들이 수행되었으면, 프로세스 모니터링 디바이스(100)는 개장될 수 있다. 부가적인 실시예들은, 새로운 타입의 센서들이 필요한 경우, 패터닝된 피처들(221)이 다시 제조되거나 또는 변화될 필요가 있는 경우, 또는 임의의 다른 이유로, 프로세스 모니터링 디바이스(100)를 개장하는 것을 포함할 수 있다.
동작들(796)에서, 프로세스 모니터링 디바이스(100)로부터 제1 복수의 센서들(219)이 제거될 수 있다. 실시예에서, 제1 복수의 센서들(219)을 제거하는 것은 프로세스 모니터링 디바이스(100) 상의 상호연결 라인들(114/115) 또는 회로망(113/116)을 손상시키거나 또는 제거하지 않으면서 행해질 수 있다. 예컨대, 회로망(113/116) 및 상호연결 라인들(114/115)은 기판(102) 내에 매립될 수 있거나, 또는 제1 복수의 센서들(219)을 제거하기 위해 사용되는 에칭 프로세스에 대해 저항적일 수 있다. 실시예들은 또한, 제1 복수의 센서들(219)이 제거될 때, 교체가능 층(105)을 제거하는 것을 포함할 수 있다.
동작(798)에서, 제2 복수의 센서들(219)이 프로세스 모니터링 디바이스(100) 상에 형성될 수 있다. 실시예에서, 제2 복수의 센서들(219)은 제1 복수의 센서들(219)과 동일한 타입 및/또는 수의 센서들일 수 있다. 대안적으로, 새로운 센서 타입들이 제2 복수의 센서들(219)에 포함될 수 있다. 프로세스 모니터링 디바이스(100)의 회로망(113/116)으로의 새로운 전기 상호연결부들(114/115) 및/또는 비아들(117)을 형성하기 위한 필요성을 최소화 또는 제거하기 위해, 제2 복수의 센서들(219)은 제1 복수의 센서들(219)이 위치되었던 위치들에 형성될 수 있다. 교체가능 층(105)을 포함하는 실시예들에서, 제2 교체가능 층(105)은 제2 복수의 센서들(219)을 형성하기 전에 지지 표면(104) 위에 형성될 수 있다. 따라서, 프로세스 모니터링 디바이스(100)가 개장될 수 있기 때문에, 프로세스 모니터링 디바이스(100)는 연장된 사용가능 수명을 가질 수 있거나, 또는 업그레이드 가능할 수 있다.
이제 도 8을 참조하면, 실시예에 따라, 기판 프로세싱 툴(560)의 예시적인 컴퓨터 시스템(561)의 블록도가 예시된다. 예시된 컴퓨터 시스템(561)의 하나 또는 그 초과의 컴포넌트들은 프로세스 모니터링 디바이스(100)의 전자 회로망(113/116)에서 사용될 수 있다. 게다가, 기판 프로세싱 툴(560)은 컴퓨터 시스템(561)을 통합할 수 있다. 실시예에서, 컴퓨터 시스템(561)은 기판 프로세싱 툴(560)의 로봇들, 로드 락들, 프로세싱 챔버들, 및 다른 컴포넌트들에 커플링되고, 이들을 제어한다. 컴퓨터 시스템(561)은 또한, 위에서 논의된 바와 같은 기판 프로세싱 툴(560)을 위한 시스템 로그 파일을 제공할 수 있다. 컴퓨터 시스템(561)은 또한, 프로세스 모니터링 디바이스(100)로부터 획득된 출력 신호들을 수신할 수 있고 분석할 수 있다. 즉, 컴퓨터 시스템(561)은 웨이퍼 제조 프로세스의 프로세스 동작들을 제어하고, 프로세스에 관련된 시간들 및 액션들을 레코딩하기 위해 로그 파일을 생성하고, 그리고 프로세스 모니터링 디바이스(100)에 의해 레코딩된 데이터의 로그 파일을 비교하여, 프로세싱 조건들에 대한 변화들이 프로세스 모니터링 디바이스(100)의 표면 상의 프로세싱 조건들을 어떻게 변경하는지를 결정하기 위해, 기판 프로세싱 툴(560)에서 구현될 수 있다.
컴퓨터 시스템(561)은 로컬 영역 네트워크(LAN), 인트라넷, 익스트라넷, 또는 인터넷의 다른 머신들에 연결(예컨대, 네트워킹)될 수 있다. 컴퓨터 시스템(561)은 클라이언트-서버 네트워크 환경에서 서버 또는 클라이언트 머신으로서 동작할 수 있거나, 또는 피어-투-피어(또는 분산형) 네트워크 환경에서 피어 머신으로서 동작할 수 있다. 컴퓨터 시스템(561)은 개인용 컴퓨터(PC), 태블릿 PC, 셋-톱 박스(STB), PDA(Personal Digital Assistant), 셀룰러 전화, 웹 기기, 서버, 네트워크 라우터, 스위치 또는 브리지, 또는 해당 머신에 의해 행해질 액션들을 특정하는 명령들의 세트를 (순차적으로 또는 다른 방식으로) 실행할 수 있는 임의의 머신일 수 있다. 게다가, 단일 머신만이 컴퓨터 시스템(561)에 대해 예시되어 있지만, “머신”이라는 용어는 또한, 본원에서 설명되는 방법들 중 임의의 하나 또는 그 초과를 수행하도록 명령들의 세트(또는 다수의 세트들)를 개별적으로 또는 집합적으로 실행하는 머신들(예컨대, 컴퓨터들)의 임의의 집합을 포함하는 것으로 취해질 것이다.
컴퓨터 시스템(561)은, 실시예들에 따라 프로세스를 수행하도록 컴퓨터 시스템(561)(또는 다른 전자 디바이스들)을 프로그래밍하기 위해 사용될 수 있는 명령들이 저장된 비-일시적인 머신-판독가능 매체를 갖는 컴퓨터 프로그램 제품 또는 소프트웨어(822)를 포함할 수 있다. 머신-판독가능 매체는 머신(예컨대, 컴퓨터)에 의해 판독가능한 형태의 정보를 저장 또는 송신하기 위한 임의의 메커니즘을 포함한다. 예컨대, 머신-판독가능(예컨대, 컴퓨터-판독가능) 매체는, 머신(예컨대, 컴퓨터) 판독가능 저장 매체(예컨대, 판독 전용 메모리(“ROM”), 랜덤 액세스 메모리(“RAM”), 자기 디스크 저장 매체들, 광학 저장 매체들, 플래시 메모리 디바이스들 등), 머신(예컨대, 컴퓨터) 판독가능 송신 매체(전기, 광학, 음향, 또는 다른 형태의 전파 신호들(예컨대, 적외선 신호들, 디지털 신호들 등)) 등을 포함한다.
실시예에서, 컴퓨터 시스템(561)은, 시스템 프로세서(802), 메인 메모리(804)(예컨대, 판독-전용 메모리(ROM), 플래시 메모리, 동적 랜덤 액세스 메모리(DRAM), 이를테면 동기 DRAM(SDRAM) 또는 램버스 DRAM(RDRAM) 등), 정적 메모리(806)(예컨대, 플래시 메모리, 정적 랜덤 액세스 메모리(SRAM) 등), 또는 이차 메모리(818)(예컨대, 데이터 저장 디바이스)를 포함할 수 있고, 이들은 버스(830)를 통해 서로 통신한다.
시스템 프로세서(802)는 마이크로시스템 프로세서, 중앙 프로세싱 유닛 등과 같은 하나 또는 그 초과의 범용 프로세싱 디바이스들을 표현한다. 더 구체적으로, 시스템 프로세서는 CISC(complex instruction set computing) 마이크로시스템 프로세서, RISC(reduced instruction set computing) 마이크로시스템 프로세서, VLIW(very long instruction word) 마이크로시스템 프로세서, 다른 명령 세트들을 구현하는 시스템 프로세서, 또는 명령 세트들의 조합을 구현하는 시스템 프로세서들일 수 있다. 시스템 프로세서(802)는 또한, 하나 또는 그 초과의 특수-목적 프로세싱 디바이스들, 이를테면, 주문형 집적 회로(ASIC), 필드 프로그래머블 게이트 어레이(FPGA), 디지털 신호 시스템 프로세서(DSP), 네트워크 시스템 프로세서 등일 수 있다. 시스템 프로세서(802)는 본원에서 설명되는 동작들을 수행하기 위한 프로세싱 로직을 실행하도록 구성된다.
컴퓨터 시스템(561)은 다른 디바이스들 또는 머신들, 예컨대 프로세스 모니터링 디바이스(100)와 통신하기 위한 시스템 네트워크 인터페이스 디바이스(808)를 더 포함할 수 있다. 컴퓨터 시스템(561)은 또한, 비디오 디스플레이 유닛(810)(예컨대, 액정 디스플레이(LCD), 발광 다이오드 디스플레이(LED), 또는 음극 선관(CRT)), 알파뉴메릭 입력 디바이스(812)(예컨대, 키보드), 커서 제어 디바이스(814)(예컨대, 마우스), 및 신호 생성 디바이스(816)(예컨대, 스피커)를 포함할 수 있다.
이차 메모리(818)는 머신-액세스가능 저장 매체(831)(또는 더 구체적으로는 컴퓨터-판독가능 저장 매체)를 포함할 수 있고, 그 머신-액세스가능 저장 매체 상에, 본원에서 설명되는 방법들 또는 기능들 중 임의의 하나 또는 그 초과를 실시하는 명령들의 하나 또는 그 초과의 세트들(예컨대, 소프트웨어(822))이 저장된다. 소프트웨어(822)는 또한, 컴퓨터 시스템(561)에 의한 그의 실행 동안에 시스템 프로세서(802) 내에 그리고/또는 메인 메모리(804) 내에 완전히 또는 적어도 부분적으로 상주할 수 있고, 메인 메모리(804) 및 시스템 프로세서(802)는 또한, 머신-판독가능 저장 매체들을 구성한다. 소프트웨어(822)는 추가로, 시스템 네트워크 인터페이스 디바이스(808)를 통하여 네트워크(820)를 통해 송신 또는 수신될 수 있다.
머신-액세스가능 저장 매체(831)가 예시적인 실시예에서 단일 매체인 것으로 도시되어 있지만, “머신-판독가능 저장 매체”라는 용어는 명령들의 하나 또는 그 초과의 세트들을 저장하는 단일 매체 또는 다수의 매체들(예컨대, 중앙 집중형 또는 분산형 데이터베이스, 및/또는 연관된 캐시들 및 서버들)을 포함하는 것으로 취해져야 한다. “머신-판독가능 저장 매체”라는 용어는 또한, 머신에 의한 실행을 위해 명령들의 세트를 저장 또는 인코딩할 수 있고, 머신으로 하여금 방법들 중 임의의 하나 또는 그 초과를 수행하게 하는 임의의 매체를 포함하는 것으로 취해질 것이다. 그에 따라, “머신-판독가능 저장 매체”라는 용어는 솔리드-스테이트 메모리들, 및 광학 및 자기 매체들을 포함하는(그러나 이에 제한되지는 않는) 것으로 취해질 것이다.
전술한 명세서에서, 특정한 예시적인 실시예들이 설명되었다. 다음의 청구항들의 범위로부터 벗어나지 않으면서 그 실시예들에 다양한 변형들이 이루어질 수 있다는 것이 자명할 것이다. 그에 따라, 명세서 및 도면들은 제한적인 의미가 아니라 예시적인 의미로 간주되어야 한다.

Claims (15)

  1. 프로세스 모니터링 디바이스로서,
    기판;
    상기 기판의 지지 표면 상에 형성된 복수의 센서들 - 각각의 센서는 프로세싱 조건에 대응하는 출력 신호를 생성할 수 있음 -; 및
    상기 기판 상에 형성된 네트워크 인터페이스 디바이스
    를 포함하며,
    상기 복수의 센서들 각각은 상기 네트워크 인터페이스 디바이스에 통신가능하게 커플링되는,
    프로세스 모니터링 디바이스.
  2. 제1 항에 있어서,
    상기 네트워크 인터페이스 디바이스는 상기 기판에 매립되는,
    프로세스 모니터링 디바이스.
  3. 제1 항에 있어서,
    상기 센서들 각각은 전기 트레이스(electrical trace)에 의해 상기 네트워크 인터페이스 디바이스에 통신가능하게 커플링되는,
    프로세스 모니터링 디바이스.
  4. 제1 항에 있어서,
    상기 복수의 센서들은 상기 지지 표면 상의 하나 또는 그 초과의 구역들에 걸쳐 분포되는,
    프로세스 모니터링 디바이스.
  5. 제1 항에 있어서,
    상기 복수의 센서들은 복수의 센서 뱅크(sensor bank)들로 그룹화되는,
    프로세스 모니터링 디바이스.
  6. 제5 항에 있어서,
    각각의 센서 뱅크는 하나 초과의 센서를 포함하며,
    각각의 센서 뱅크는 하나 또는 그 초과의 상이한 타입들의 센서들을 포함하는,
    프로세스 모니터링 디바이스.
  7. 제6 항에 있어서,
    상기 센서들 중 적어도 하나는 트랜지스터 센서 또는 공진기 센서인,
    프로세스 모니터링 디바이스.
  8. 제5 항에 있어서,
    하나 또는 그 초과의 센서 뱅크들은 패터닝된 피처(feature)들을 포함하는,
    프로세스 모니터링 디바이스.
  9. 제1 항에 있어서,
    출력 신호들은 전압들, 전류들, 주파수들, 또는 시간 측정들이며,
    프로세싱 조건들은 막 두께, 입자들의 존재 또는 부재, 질량, 기판 온도, 척 온도, 표면 전하, 자기장 세기, 특정 가스 농도, 플라즈마의 EEDF(electron energy distribution function), 또는 VDC 중 하나 또는 그 초과를 포함하는,
    프로세스 모니터링 디바이스.
  10. 제1 항에 있어서,
    상기 지지 표면 상에 형성된 교체가능 층을 더 포함하는,
    프로세스 모니터링 디바이스.
  11. 기판 프로세싱 동작을 모니터링하기 위한 방법으로서,
    프로세싱 스테이션을 이용하여 프로세스 모니터링 디바이스 상에서 프로세싱 동작을 개시하는 단계 - 상기 프로세스 모니터링 디바이스는 상기 프로세스 모니터링 디바이스의 지지 표면에 걸쳐 분포된 복수의 센서들을 포함하고, 상기 센서들은 프로세싱 조건에 대응하는 센서 출력들을 생성함 -; 및
    상기 프로세싱 동작 동안에 상기 프로세스 모니터링 디바이스로부터 상기 센서 출력들을 수신하는 단계
    를 포함하는,
    기판 프로세싱 동작을 모니터링하기 위한 방법.
  12. 제11 항에 있어서,
    상기 프로세스 모니터링 디바이스 상의 클록을 상기 프로세싱 스테이션과 연관된 클록과 동기화시키는 단계를 더 포함하는,
    기판 프로세싱 동작을 모니터링하기 위한 방법.
  13. 제12 항에 있어서,
    상기 센서 출력들로 프로세싱 챔버 데이터를 오버레이(overlay)하는 단계를 더 포함하는,
    기판 프로세싱 동작을 모니터링하기 위한 방법.
  14. 제11 항에 있어서,
    출력 신호들은 전압들, 전류들, 주파수들, 또는 시간 측정들인,
    기판 프로세싱 동작을 모니터링하기 위한 방법.
  15. 제11 항에 있어서,
    프로세싱 조건들은 막 두께, 입자들의 존재 또는 부재, 질량, 기판 온도, 척 온도, 표면 전하, 자기장 세기, 특정 가스 농도, 플라즈마의 EEDF(electron energy distribution function), 또는 VDC 중 하나 또는 그 초과를 포함하는,
    기판 프로세싱 동작을 모니터링하기 위한 방법.
KR1020187024658A 2016-01-28 2016-12-13 실시간 프로세스 특성화 KR102660879B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020247013306A KR20240055907A (ko) 2016-01-28 2016-12-13 실시간 프로세스 특성화

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/009,705 US10818561B2 (en) 2016-01-28 2016-01-28 Process monitor device having a plurality of sensors arranged in concentric circles
US15/009,705 2016-01-28
PCT/US2016/066409 WO2017131878A1 (en) 2016-01-28 2016-12-13 Real time process characterization

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020247013306A Division KR20240055907A (ko) 2016-01-28 2016-12-13 실시간 프로세스 특성화

Publications (2)

Publication Number Publication Date
KR20180100071A true KR20180100071A (ko) 2018-09-06
KR102660879B1 KR102660879B1 (ko) 2024-04-24

Family

ID=59387073

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020187024658A KR102660879B1 (ko) 2016-01-28 2016-12-13 실시간 프로세스 특성화
KR1020247013306A KR20240055907A (ko) 2016-01-28 2016-12-13 실시간 프로세스 특성화

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020247013306A KR20240055907A (ko) 2016-01-28 2016-12-13 실시간 프로세스 특성화

Country Status (6)

Country Link
US (2) US10818561B2 (ko)
JP (2) JP6947734B2 (ko)
KR (2) KR102660879B1 (ko)
CN (2) CN108604556B (ko)
TW (2) TWI731915B (ko)
WO (1) WO2017131878A1 (ko)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7365324B2 (ja) 2015-12-24 2023-10-19 株式会社Fuji 実装装置
US20170221783A1 (en) * 2016-01-28 2017-08-03 Leonard TEDESCHI Self-aware production wafers
CN107424947A (zh) * 2017-08-16 2017-12-01 君泰创新(北京)科技有限公司 薄膜电池工艺设备的温度测试方法及系统
US10763143B2 (en) * 2017-08-18 2020-09-01 Applied Materials, Inc. Processing tool having a monitoring device
US11346875B2 (en) * 2018-02-27 2022-05-31 Applied Materials, Inc. Micro resonator array sensor for detecting wafer processing parameters
US20220172968A1 (en) * 2020-12-01 2022-06-02 Applied Materials, Inc. In-chamber low-profile sensor assembly
JPWO2022249973A1 (ko) * 2021-05-26 2022-12-01
US20220392811A1 (en) * 2021-06-07 2022-12-08 Taiwan Semiconductor Manufacturing Company Ltd. Method and system for processing wafer
US20230044262A1 (en) * 2021-08-05 2023-02-09 Applied Materials, Inc. Microwave resonator array for plasma diagnostics

Family Cites Families (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5864773A (en) * 1995-11-03 1999-01-26 Texas Instruments Incorporated Virtual sensor based monitoring and fault detection/classification system and method for semiconductor processing equipment
US6244121B1 (en) 1998-03-06 2001-06-12 Applied Materials, Inc. Sensor device for non-intrusive diagnosis of a semiconductor processing system
US6366690B1 (en) * 1998-07-07 2002-04-02 Applied Materials, Inc. Pixel based machine for patterned wafers
US7294563B2 (en) * 2000-08-10 2007-11-13 Applied Materials, Inc. Semiconductor on insulator vertical transistor fabrication and doping process
US6696362B2 (en) 2001-02-08 2004-02-24 Applied Materials Inc. Method for using an in situ particle sensor for monitoring particle performance in plasma deposition processes
US20030115978A1 (en) * 2001-12-20 2003-06-26 Moehnke Stephanie J. Apparatus and method for monitoring environment within a container
US6889568B2 (en) * 2002-01-24 2005-05-10 Sensarray Corporation Process condition sensing wafer and data analysis system
JP2005531912A (ja) * 2002-07-03 2005-10-20 東京エレクトロン株式会社 半導体プラズマパラメータの非侵入性の測定と解析のための方法と装置
US6830650B2 (en) 2002-07-12 2004-12-14 Advanced Energy Industries, Inc. Wafer probe for measuring plasma and surface characteristics in plasma processing environments
JP3916549B2 (ja) 2002-10-31 2007-05-16 東京エレクトロン株式会社 プロセスモニタ及び半導体製造装置
US6807503B2 (en) * 2002-11-04 2004-10-19 Brion Technologies, Inc. Method and apparatus for monitoring integrated circuit fabrication
US7151366B2 (en) 2002-12-03 2006-12-19 Sensarray Corporation Integrated process condition sensing wafer and data analysis system
JP4363860B2 (ja) 2003-02-04 2009-11-11 株式会社日立ハイテクノロジーズ 真空処理装置の異物管理装置及び異物管理方法
ATE371862T1 (de) * 2003-10-28 2007-09-15 Mettler Toledo Ag Thermoanalytischer sensor und verfahren zu dessen herstellung
JPWO2005050346A1 (ja) 2003-11-21 2007-06-07 日本電気株式会社 コンテンツ配信及び受信装置,コンテンツ送受信システム,コンテンツ配信及び受信方法,コンテンツ配信及び受信用プログラム
US20050225308A1 (en) 2004-03-31 2005-10-13 Orvek Kevin J Real-time monitoring of particles in semiconductor vacuum environment
US20060234398A1 (en) * 2005-04-15 2006-10-19 International Business Machines Corporation Single ic-chip design on wafer with an embedded sensor utilizing rf capabilities to enable real-time data transmission
US8026113B2 (en) * 2006-03-24 2011-09-27 Tokyo Electron Limited Method of monitoring a semiconductor processing system using a wireless sensor network
US7521915B2 (en) 2006-04-25 2009-04-21 Sokudo Co., Ltd. Wafer bevel particle detection
US7555948B2 (en) * 2006-05-01 2009-07-07 Lynn Karl Wiese Process condition measuring device with shielding
US8823933B2 (en) * 2006-09-29 2014-09-02 Cyberoptics Corporation Substrate-like particle sensor
JP5064835B2 (ja) * 2007-02-28 2012-10-31 株式会社アルバック 基板搬送装置
JP5407019B2 (ja) * 2007-08-31 2014-02-05 ラピスセミコンダクタ株式会社 プラズマモニタリング方法
US20090302002A1 (en) * 2008-02-29 2009-12-10 Applied Materials, Inc. Method and apparatus for removing polymer from a substrate
JP2009244174A (ja) * 2008-03-31 2009-10-22 Tokyo Electron Ltd ウェハ型温度計、温度測定装置、熱処理装置および温度測定方法
JP2010048717A (ja) * 2008-08-22 2010-03-04 Tokai Rika Co Ltd 位置検出装置
US8135560B2 (en) * 2009-01-30 2012-03-13 Applied Materials, Inc. Sensor system for semiconductor manufacturing apparatus
JP5434109B2 (ja) * 2009-02-06 2014-03-05 セイコーエプソン株式会社 超音波センサーユニット
JP5399730B2 (ja) * 2009-02-12 2014-01-29 株式会社Kelk センサ付き基板およびセンサ付き基板の製造方法
KR100988872B1 (ko) * 2009-07-08 2010-10-20 주식회사 나노포토닉스 회전 대칭형의 광각 렌즈를 이용하여 복합 영상을 얻는 방법과 그 영상 시스템 및 하드웨어적으로 영상처리를 하는 이미지 센서
US8676537B2 (en) * 2009-08-07 2014-03-18 Taiwan Semiconductor Manufacturing Company, Ltd. Portable wireless sensor
US8889021B2 (en) * 2010-01-21 2014-11-18 Kla-Tencor Corporation Process condition sensing device and method for plasma chamber
US8878926B2 (en) * 2010-09-17 2014-11-04 Applied Materials, Inc. Apparatus and method for analyzing thermal properties of composite structures
US8700199B2 (en) * 2011-03-21 2014-04-15 International Business Machines Corporation Passive resonator, a system incorporating the passive resonator for real-time intra-process monitoring and control and an associated method
WO2013036618A1 (en) * 2011-09-06 2013-03-14 Canfield Scientific, Incorporated Systems, devices, and methods for image analysis
US9360302B2 (en) * 2011-12-15 2016-06-07 Kla-Tencor Corporation Film thickness monitor
US20140262031A1 (en) * 2013-03-12 2014-09-18 Sergey G. BELOSTOTSKIY Multi-mode etch chamber source assembly
US9267781B2 (en) * 2013-11-19 2016-02-23 Infineon Technologies Ag On-axis magnetic field angle sensors, systems and methods
US9636797B2 (en) * 2014-02-12 2017-05-02 Applied Materials, Inc. Adjusting eddy current measurements
US9618588B2 (en) * 2014-04-25 2017-04-11 Infineon Technologies Ag Magnetic field current sensors, sensor systems and methods
NL2014403A (en) * 2014-04-28 2015-11-02 Asml Netherlands Bv Estimating deformation of a patterning device and/or a change in its position.
US10522380B2 (en) 2014-06-20 2019-12-31 Applied Materials, Inc. Method and apparatus for determining substrate placement in a process chamber
KR102236587B1 (ko) * 2014-09-04 2021-04-06 삼성전자주식회사 인덕션 장치 및 온도 조절 방법
US10067070B2 (en) * 2015-11-06 2018-09-04 Applied Materials, Inc. Particle monitoring device

Also Published As

Publication number Publication date
US10818561B2 (en) 2020-10-27
JP7170099B2 (ja) 2022-11-11
CN108604556A (zh) 2018-09-28
KR102660879B1 (ko) 2024-04-24
WO2017131878A1 (en) 2017-08-03
US20170221775A1 (en) 2017-08-03
CN108604556B (zh) 2023-05-02
TW202139315A (zh) 2021-10-16
KR20240055907A (ko) 2024-04-29
US20210005518A1 (en) 2021-01-07
TWI747795B (zh) 2021-11-21
CN116525489A (zh) 2023-08-01
US11735486B2 (en) 2023-08-22
TWI731915B (zh) 2021-07-01
TW201737379A (zh) 2017-10-16
JP6947734B2 (ja) 2021-10-13
JP2019508888A (ja) 2019-03-28
JP2021180321A (ja) 2021-11-18

Similar Documents

Publication Publication Date Title
US11735486B2 (en) Process monitor device having a plurality of sensors arranged in concentric circles
US10718719B2 (en) Particle monitoring device
JP7288493B2 (ja) マイクロセンサを有するウエハ処理ツール
KR102655725B1 (ko) 자기-인식 생산 웨이퍼들
TWI762983B (zh) 用於確定處理作業的處理參數的方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
A107 Divisional application of patent
GRNT Written decision to grant