TW202139315A - 即時製程特性分析 - Google Patents

即時製程特性分析 Download PDF

Info

Publication number
TW202139315A
TW202139315A TW110120746A TW110120746A TW202139315A TW 202139315 A TW202139315 A TW 202139315A TW 110120746 A TW110120746 A TW 110120746A TW 110120746 A TW110120746 A TW 110120746A TW 202139315 A TW202139315 A TW 202139315A
Authority
TW
Taiwan
Prior art keywords
process monitoring
substrate
monitoring device
sensor
sensors
Prior art date
Application number
TW110120746A
Other languages
English (en)
Other versions
TWI747795B (zh
Inventor
蘭納德 泰迪許
卡提克 拉馬斯瓦米
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202139315A publication Critical patent/TW202139315A/zh
Application granted granted Critical
Publication of TWI747795B publication Critical patent/TWI747795B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/24Optical enhancement of defects or not directly visible states, e.g. selective electrolytic deposition, bubbles in liquids, light emission, colour change
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • H01L22/34Circuits for electrically characterising or monitoring manufacturing processes, e. g. whole test die, wafers filled with test structures, on-board-devices incorporated on each die, process control monitors or pad structures thereof, devices in scribe line
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01DMEASURING NOT SPECIALLY ADAPTED FOR A SPECIFIC VARIABLE; ARRANGEMENTS FOR MEASURING TWO OR MORE VARIABLES NOT COVERED IN A SINGLE OTHER SUBCLASS; TARIFF METERING APPARATUS; MEASURING OR TESTING NOT OTHERWISE PROVIDED FOR
    • G01D21/00Measuring or testing not otherwise provided for
    • G01D21/02Measuring two or more variables by means not covered by a single other subclass

Abstract

本案之實施例包括製程監測裝置及使用此種製程監測裝置的方法。在一實施例中,該製程監測裝置包括一基板。該製程監測裝置亦可包括複數個感測器,該複數個感測器形成在該基板的一支撐面上。按照一實施例,各感測器能夠產生對應於一處理狀況的一輸出信號。此外,本案實施例包括一製程監測裝置,其包括形成在該基板上的一網路介面裝置。按照一實施例,該複數個感測器之各者通訊耦合至該網路介面裝置。該網路介面裝置允許在處理操作期間將得自該等感測器的該等輸出信號無線傳送至一外部電腦。

Description

即時製程特性分析
本案實施例關於半導體處理的領域,且特定地關於即時特性分析處理的裝置及方法。
沉積與移除速率的量測常見乃藉由將一基板處理一給定時間量,並接著利用一膜厚度量測工具(例如橢圓偏光計)來量測薄膜被沉積或被移除的量。此種技術的問題在於只能決定製程的終端結果。如此,無法決定在所述處理過程期間對薄膜的即時改變。在某些情況中,利用光學放射光譜(OES)可能提供有關電漿的一些即時資訊,但仍然缺少決定電漿對基板表面之影響的能力。此外,OES不適合用於遠程電漿。
本案之實施例包括製程監測裝置及使用此種製程監測裝置的方法。在一實施例中,該製程監測裝置包括一基板。該製程監測裝置亦可包括複數個感測器,該複數個感測器形成在該基板的一支撐面上。按照一實施例,各感測器能夠產生對應於一處理狀況的一輸出信號。此外,本案實施例包括一製程監測裝置,其包括形成在該基板上的一網路介面裝置。按照一實施例,該複數個感測器之各者通訊耦合至該網路介面裝置。該網路介面裝置允許在處理操作期間將得自該等感測器的該等輸出信號無線傳送至一外部電腦。
一些實施例中亦包括一種用於監測一基板處理操作的方法。在一實施例中該製程監測方法可包括:以一處理站起始在一製程監測裝置上的一處理操作。例如,該處理站可為任何處理工具,像是在沉積或蝕刻製程中的腔室。按照一實施例,該製程監測裝置包括複數個感測器,該複數個感測器分佈遍及該製程監測裝置的一支撐面。該等感測器之各者產生對應於該處理室中一處理狀況的感測器輸出。按照一實施例,該方法亦可包括在該處理操作期間接收來自該製程監測裝置的該等感測器輸出。在一些實施例中,該方法亦可包括將該製程監測裝置上的一時鐘與關聯於該處理站的時鐘同步。
以上發明內容並不包括全部實施例的窮盡清單。可設想到,能從以上概述之各種實施例的全部適當組合實施出的全部系統及方法,還有在以下實施方式中揭露的實施例都被包括,尤其是在申請時呈送之申請專利範圍中所指出者。這些組合有特別的優點並沒有特定地敘述在以上發明內容中。
現在按照各種實施例來說明用於在處理操作期間來監測一基板上之處理狀況的裝置及方法。在以下說明中闡述了數種特定細節以提供對實施例的完整瞭解。本領域之技術人員將理解可在沒有這些特定細節下實施本案實施例。在其他實例中,沒有詳細描述熟知的態樣以避免不必要地模糊了本案實施例。此外,應理解在隨附圖式中顯示的各種實施例乃例示表示方式,不一定是照比例繪製。
在各種基板處理操作中用來驗證處理操作的既有技術費時且昂貴。例如,當需要驗證一沉積製程時,樣本基板被置放在沉積室中且在該基板的表面之上沉積一層。其後,從該沉積室移除該基板,且利用一不同工具來分析該基板。例如,可用計量工具(像是橢圓偏光計)來決定藉由沉積製程得到的最終膜厚度。
此種常見的驗證程序有幾個缺點。首先,所述製程驗證利用超過一種工具。額外的計量工具佔去製造廠房中的寶貴空間。額外地,多種工具的使用使得需要額外的基板運輸操作,且因此增加所需用來驗證製程的時間。其次,所述製程驗證僅能在製程完成後決定膜的厚度。如此,現今的製程驗證技術不允許即時確定出厚度隨時間的改變。
因此,本案實施例包括一製程監測裝置,該裝置允許在處理期間的製程監測的發生。如此,本案實施例減少了對昂貴計量裝備的需要,且允許在處理操作期間對基板上之狀況的即時分析。此外,本案實施例允許同時分析複數個不同製程參數。例如,膜厚度(例如在沉積或蝕刻製程期間)、粒子的有無、質量、基板溫度、夾頭溫度、表面電荷、磁場強度、特定氣體濃度、電漿的電子能量分佈函數(EEDF)、電壓直流電(VDC)、或類似者,或者可在一處理操作期間監測類似者。
監測多個不同處理狀況的即時變化可允許製程驗證還有製程最佳化。可監測多於一個製程參數的能力可允許實施試驗設計(DOE),其能被用來分析在一個製程狀況中的改變如何影響其他製程狀況。例如,要決定VDC及表面溫度之間的關係能藉由執行包括在VDC中之變化的製程配方,並監測表面溫度如何回應於VDC的改變而改變。瞭解各個處理狀況對基板表面的影響提供更強大的製程及硬體。額外地,由於能夠監測及控制工具之間的小差異,因此亦可改善腔室匹配(chamber matching)。
將理解以下所述製程監測裝置及方法能被用在即時製程監測有益的任何形狀因子或製程中。更特定地,儘管本說明書針對用於製造積體電路之晶圓處理來說明製程監測裝置及方法,該些裝置及方法亦可經調適以用在其他科技中,像是電子產業的顯示器及/或太陽能產業的光伏電池。
現參看第1A圖,其顯示按照一實施例之製程監測裝置100的圖示。製程監測裝置100可包括一基板102,該基板具有整體形狀因子及/或相同材質,並具有如半導體晶圓的形狀。在一實施例中,基板102可至少部分地由一半導體材質組成。例如,基板102可為晶態矽材質、晶態III-V族半導體材質、矽絕緣體(SOI),或類似者。此外,基板102可具有基本為碟形的晶圓形狀因子並包括一支撐面104,該支撐面具有一直徑106。支撐面104可為該碟形的上表面,而基板102的一底表面(未圖示)可與支撐面104隔開一厚度109。在一實施例中,基板102的晶圓形狀因子包括的直徑106在95到455 mm之間(例如直徑106可名義上為100 mm、200 mm、300 mm、或450 mm)。此外,基板102的晶圓形狀因子可包括少於1 mm(例如525 µm、775 µm、或925 µm)的厚度109。厚度109亦可大於1 mm(例如數公厘最多至10 mm)。據此,可利用容易取得之晶圓材料及常見的晶圓製造製程及設備來製造製程監測裝置100,且製程監測裝置100可基本上模擬一半導體晶圓在晶圓處理工具中被處理。按照一額外實施例,基板102可具有常見在基板處理工具中處理的任何類型之基板的形狀因子。例如在顯示器技術中所用之玻璃面板(例如基於薄膜電晶體(TFT)的顯示器)亦可用作基板102。
製程監測裝置100可包括一或更多感測器組108,該等感測器組經製成或安裝在支撐面104上的預定位置處。按照一實施例,各感測器組108可具有一或更多感測器及/或有圖案的特徵(例如凸片、凹槽、等等)。第1A圖中沒有圖示個別的感測器及有圖案的特徵以免使圖式不明,而以下將更詳細說明。在一實施例中,複數個感測器組108(例如幾十個到幾百萬個)可經建置或置放在支撐面104上。各感測器組108可具有已知位置。例如,第一感測器組可位於第一位置110處,而第二感測器組可位於第二位置112處。第二位置112可能在相對於第一位置110的一已知地點,或是相對於製程監測裝置100上若干其他參考點的一已知地點。
感測器組108可任意分佈遍及支撐面104上,或以預先決定之圖案排列。當使用任意分佈時,各感測器組108的絕對位置或相對位置可仍預先決定及已知。在一實施例中,針對該等感測器組所使用的預先決定圖案可包括格線圖案、同心圓圖案、螺旋圖案、等等。例如,第1A圖中顯示之感測器組108以有序的同心圓分佈遍及支撐面104上。可利用已知的蝕刻及/或沉積製程在製程監測裝置100之支撐面104上確切位置處建置感測器組108,來達成任意的圖案及預先決定的圖案兩者。替代地,感測器組108可經製造成分離的組件,其餘預定位置處安裝在支撐面104上。
在一實施例中,感測器組108經排列以提供某些位置處的製程監測資訊,該些位置被預測在處理操作期間在處理狀況上有較大程度的變異。例如,基板102的溫度或對電漿的暴露量可能遍及基板表面而有差異。因此,某些實施例可包括在該基板的不同區域111(例如中心區域111C 、中間區域111M 、外圍區域111O 、等等)處形成一或更多感測器組108。各區域可具有相同個數的感測器組108。額外實施例可包括具有不同個數之感測器組108的區域。例如,基板的外周常見比基板102之中心遭受更大的製程變異。因此,外側區域可比基板的中心區域有更多的感測器組108。
製程監測裝置100可包括電子電路系統113的一或更多分區形成在基板102上。製程監測裝置100的電子電路系統113可經通訊耦合至形成在基板102之支撐面104上的一或更多感測器組108。電子電路系統113是以虛線描繪以顯示出電子電路系統113不一定形成在基板102之支撐面104上。例如,電子電路系統113可經嵌入在基板102中,如以下將更詳細描述。按照一實施例,電子電路系統113可藉由通路(via)及/或佈線(trace)來電耦合至感測器組108。
在所圖示實施例中,各感測器組108與電子電路系統113配對。按照額外實施例,多於一感測器組108可與電子電路系統113的各分區配對。額外地,實施例可包括一電子電路系統中樞116。電子電路系統中樞116可以固線或無線連接來通訊耦合至電子電路系統113的個別分區。例如,嵌入在基板102中(或形成於其上)的電線114可以串聯方式將電子電路系統113的一或更多分區與電子電路系統中樞116連接,或者電子電路系統113的一或更多分區可藉由個別的電線115與電子電路系統中樞116並聯。因此,在感測器組108之間可造成電連接,及/或感測器組108可利用電線、電引線、通路、及其他已知類型之電連接器來連接至電子電路系統中樞116。在一些實施例中省略電子電路系統中樞116。
現參看第1B圖,其顯示按照一額外實施例之製程監測裝置100的截面圖。在所圖示實施例中,支撐面104及感測器組108之間形成一可替換層105。有包括可替換層105的實施例可藉著允許替換感測器組108而改善製程監測裝置100的耐久性。例如,可在一預定使用次數之後藉蝕刻或拋光製程來移除感測器組108及可替換層105。或者,可在該等感測器的效能開始惡化後(例如由於機械疲勞或其他破壞所致的感測器故障)移除感測器組108及該可替換層。
按照一實施例,感測器組108及可替換層105的移除可允許直接形成在基板102上(或嵌入在基板102中)的電連接被保留。因此可不需要額外處理來形成電連接以用於後續形成的替換感測器組。
可替換層105可為能沉積在基板102上的任何適當材質。例如,可替換層105可為氧化物、氮化物、多晶矽、單晶態半導體材質,或類似者。亦可選擇可替換層105的材質以匹配在處理製造晶圓期間將被曝露之材質。額外地,可替換層105可為將在感測器組108中形成該些感測器所用的材質。在此類實施例中,該等感測器組可經成形至可替換層105中而非在可替換層105上,如第1B圖中所示。
如上所述,電子電路系統113的各分區可經嵌入基板102中。例如,可形成一空腔128在基板102中。可接著將電子電路系統113形成在空腔128中。在所圖示實施例中,電子電路系統113經顯示為從空腔128之底表面向上延伸。例如,電子電路系統113可為安裝在空腔128中的晶粒。然而,實施例不限於此種配置方式。例如,電子電路系統113可直接地製造到基板102中(例如當該基板是半導體基板時)。可在空腔128中形成帽層129以在基板處理操作期間將電子電路系統113與處理狀況隔絕。在一實施例中帽層129的頂表面可大致與基板102的頂表面共平面。此外,將理解所指的基板的「支撐面」亦可包括帽層129的頂表面。因此,在一些實施例中,感測器組108經形成在帽層129之頂表面之上。為了提供從感測器組108到電子電路系統113的電連接,可透過帽層129(以及可替換層105,若有的話)形成一通路117。帽層129可為任何能被沉積在基板102之上的材質。例如,帽層129可為氧化物、氮化物、多晶矽、外延生長的半導體材質,或類似者。
現參看第2圖,其更詳細圖示了按照一實施例的感測器組108。實施例可包括一感測器組108,該感測器組包括一或更多感測器219。例如,感測器組108可包括感測器219A ~219n 。在一實施例中,各感測器219可為不同類型的感測器,其允許監測不同製程狀況。例如,感測器219可包括用於量測膜厚度的改變、粒子的有無、質量、基板溫度、夾頭溫度、表面電荷、磁場強度、特定氣體濃度、電漿的EEDF、VDC、或類似者的感測器。以下將更詳細揭露有關如何實施這些感測器219的明確例子。
在一些實施例中,感測器組108亦可包括一或更多有圖案的特徵221。有圖案的特徵221可包括凹槽、凸片、接觸孔、雙重鑲嵌特徵、或任何其他可出現在一製造基板上的有圖案的特徵。有圖案的特徵221亦可形成自與將在製造晶圓中處理之材質相同的材質,以提供類似於將在製造真正裝置期間所遭遇之處理狀況類似的處理狀況。可將有圖案的特徵221形成在支撐面104上或支撐面104中。額外實施例可包括將有圖案的特徵221形成在可替換層105上或可替換層105中。
按照一實施例,感測器組108可包括多於一個某特定類型之感測器219。具有多於一個某給定類型感測器有數個優點。其一優點是若感測器219中的一個無法操作,則監測相同處理狀況的第二感測器219可用來當作備份。備份感測器的加入可延長製程監測裝置之可用壽命。
額外地,感測器可經形成於接近不同有圖案的特徵221。例如,感測器219A 及感測器219G 可都是膜厚度感測器(像是共振器)。感測器219A 位於接近一獨立的有圖案特徵221L 處,而感測器219G 位於靠近複數個密集間隔開之有圖案的特徵221D 處。因此,亦可以監測任何可能從該等有圖案的特徵之密度所致之負載效應。
現參看第3圖,其按照一實施例圖示製程監測裝置100之電子電路系統中樞116的方塊圖。儘管第3圖中的參照是針對電子電路系統中樞116,但應理解電子電路系統中樞116之組件中一或更多者可被包括在分佈遍及基板102之電子電路系統113中。額外地,在一些實施例中,可省略電子電路系統中樞116,且第3圖中所述組件之一或更多者可經提供在電子電路系統113的各分區中。製程監測裝置100的電子電路系統中樞116可經包覆或支撐在一外殼370中,或者可被暴露在外。電子電路系統中樞116的外殼370及/或電子組件可被安裝在基板102的支撐面104上。在一實施例中,電子電路系統中樞116的一或更多組件經嵌入基板102內。形成嵌入在基板102內的電子電路系統,在支撐面104上提供更多表面面積以用於形成額外的感測器組108。儘管如此,電子電路系統中樞116可被置放以透過一或更多電線、電引線或通路來與各感測器組108中的感測器219電連接,即使是被安裝在基板102的相對側上。
製程監測裝置100的電子電路系統中樞116可包括安裝在基板102上的一時鐘374。如本領域所習知的,時鐘374可為具有電子振盪器(例如石英晶體)的電子電路,用以輸出有精確頻率的電信號。因此,時鐘374可經配置以輸出對應於該電信號的時間值。該時間值可為獨立於其他操作的絕對時間值,或者該時間值可與基板處理工具中的其他時鐘同步(以下將更詳細說明)。例如,可將時鐘374與基板處理工具的系統時鐘同步,使得時鐘374所輸出的時間值對應於由該系統時鐘輸出的系統時間值及/或所控制的系統操作。可配置時鐘374以在一特定製程操作發生時起始該時間值的輸出。例如,電子電路系統中樞116可包括一加速度計375,其在製程監測裝置100停止移動時觸發時鐘374開始輸出該時間值。因此,該時間值可提供有關何時製程監測裝置100被載入某特定基板處理工具腔室中的資訊。
製程監測裝置100的電子電路系統中樞116可包括安裝在基板302上的處理器376。處理器376可經操作耦合(例如藉由匯流排377及/或佈線114/115來電連接)至一或更多感測器219及時鐘374。處理器376代表一或更多通用處理裝置,像是微處理器、中央處理單元、或類似者。更特定地,處理器376可為複雜指令集運算(CISC)微處理器、精簡指令集運算(RISC)微處理器、極長指令字(very long instruction word,VLIW)微處理器、實施其他指令集的處理器,或實施指令集之組合的處理器。處理器376亦可為一或更多特殊用途處理裝置,像是應用特定積體電路(ASIC)、現場可程式化閘極陣列(FPGA)、數位信號處理器(DSP)、網路處理器,或類似者。
處理器376經配置以執行處理邏輯,以供進行本說明書所述的操作。例如,處理器376可經配置以傳送及/或記錄感測器219的預先決定位置、時鐘374輸出的時間值、以及來自感測器219的輸出信號。據此,處理器376可經配置以傳送及/或記錄在處理操作期間發生在基板上的即時處理狀況。
處理器376亦可決定要監測哪些感測器219。例如,在一特定製程操作期間可能不需某一處理狀況。如此,來自偵測該特定處理狀況的感測器219的輸出信號就不被傳送及/或記錄。額外地,對於處理器376或接收來自網路介面裝置371之輸出信號的外部電腦而言可存取的韌體或軟體,可提供指令來避免來自感測器219之一或更多輸出信號的傳送及/或記錄。此種實施例有用在於允許在每個製程監測裝置100上進行全部可能的感測器,且之後允許藉由提供不同韌體/軟體來自訂各製程監測裝置100的功能。如此,由於製造每個類型的製程監測裝置100需要單一遮罩(或遮罩集),可減少製造成本。
在一些實施例中,電子電路系統中樞116可包括一網路介面裝置371。網路介面可藉著透過非固態媒介之調制電磁輻射的使用來傳達資料。網路介面裝置371可實施數種無線標準或通訊協定中任意者,包括(但不限於)Wi-Fi(IEEE 802.11家族)、WiMAX(IEEE 802.16家族)、IEEE 802.20、長期進化(LTE)、Ev-DO、HSPA+、HSDPA+、HSUPA+、EDGE、GSM、GPRS、CDMA、TDMA、DECT、藍牙、以上之衍生者,還有被指定為3G、4G、5G、等等的任何其他無線通訊協定。處理器376可經由匯流排377或其他電連接以與網路介面裝置371通訊。因此,處理器376可操作耦合至網路介面裝置以向一外部裝置傳送來自感測器219的輸出信號以及時鐘374所輸出的時間值。
按照一實施例,網路介面裝置371通訊耦合至感測器219,使得來自感測器219之各者的輸出信號可被送至網路介面裝置371,而不先被一處理器或任何其他組件處理。網路介面裝置371可接著傳送該等輸出信號至外部於製程監測裝置100的一計算裝置。如此,由於來自感測器219的輸出信號不一定需要在近端處理或儲存,實施例可包括具有電子電路系統的製程監測裝置100,該電子電路系統包括電源379及網路介面裝置371。替代地,實施例允許來自感測器輸出信號的資料在外部裝置上經處理或記錄。
將處理及儲存功能卸載到外部裝置有幾個好處。首先,裝置的功耗降低。因此,由於電容器組、壓電彈簧、或類似者可提供足夠的電力來傳送輸出信號,所以可能不需電池。額外地,藉由移除不需的組件來降低該電子電路系統的複雜性,提供了更可靠且較不貴的裝置。
製程監測裝置100的電子電路系統中樞116可選擇地包括安裝在基板102上的記憶體378。記憶體378可包括主記憶體(例如唯讀記憶體(ROM)、快閃記憶體、動態隨機存取記憶體(DRAM)像是同步DRAM(SDRAM)或Rambus DRAM (RDRAM)、等等)、靜態記憶體(例如快閃記憶體、靜態隨機存取記憶體(SRAM)、等等),或輔助記憶體(例如資料儲存裝置)中之一或更多者。處理器376可經由匯流排377或其他電連接來與記憶體378通訊。因此,處理器376可操作耦合至記憶體378以在記憶體378中記錄來自感測器219的輸出信號以及時鐘374輸出的時間值。
製程監測裝置100的電子電路系統中樞116可包括安裝在基板302上的電源379。電源379可包括電池、電容器組、或其他已知的電力供應器。電源379可透過匯流排377電連接至製程監測裝置100之一或更多組件,以供電給所連接的組件。例如,電源379可經電連接至感測器219、時鐘374、處理器376、或記憶體378中一或更多者,以供電給感測器219、時鐘374、處理器376、或記憶體378中該一或更多者。
製程監測裝置100的電子電路系統中樞116可包括額外的組件電連接至製程監測裝置100之上述的組件。更特定地,電子電路系統中樞116可包括頻率源372(例如寬頻來源)或偵測器373。頻率源372及/或偵測器373可被安裝在基板102上。頻率源372及偵測器373可具有關於製程監測裝置100之特定感測器219的特定應用。因此,有關頻率源372及偵測器373的進一步說明將保留於以下的對應感測器論述。
現參看第4A圖,其圖示按照一實施例製程監測裝置100之電晶體感測器類型的感測器219的示意圖。在一實施例中,製程監測裝置100的一或更多感測器219包括一電晶體感測器219。電晶體感測器219可包括一或更多電晶體(例如金屬氧化物半導體場效電晶體(MOSFET))442。MOSFET 442可包括源極444、汲極446及閘極448。電晶體感測器219亦可包括一收集器440。收集器440可經成形以具有一表面,在該表面上可沉積一膜432。在一實施例中,膜432可為在處理操作期間會改變厚度的膜(例如膜厚度在沉積製程期間將增加,而在蝕刻製程期間將減少)。據此,實施例包括一收集器440,該收集器是一種對於用以減少膜432之厚度的蝕刻製程來說抗蝕刻的材質。
在一實施例中,收集器440電連接至MOSFET 442。例如,收集器440可透過電佈線414來電連接至MOSFET 442的閘極448。收集器440可實體分離於MOSFET 442,然而其次組件可彼此電連接。因此,即使當收集器440位於遠離MOSFET 442之一預定位置處時,MOSFET 442可經配置以偵測收集器440上之膜432的厚度增或減。
在一實施例中,收集器440可包括由一外邊緣443界定的輪廓線。當在朝下的方向觀看外邊緣443時其形狀可能是圓形、長方形、或任何其他形狀。此外,收集器440可為平坦的(即,收集器440可具有實質上平坦的上表面),或者收集器440可具有如第4A圖所示的錐形上表面。在一實施例中,收集器440不是與MOSFET 442分離的結構,卻反而是併入MOSFET 442中。例如,收集器440可為MOSFET 442之閘極448上的一收集區域。
在一實施例中,電晶體感測器219之輸出信號可為跨於閘極448所測得的MOSFET 442的臨界電壓。該臨界電壓可直接地對應於收集器440上的膜432的厚度。例如,該臨界電壓可在收集器440上沒有膜432時具有一第一值,而該臨界電壓可在收集器440上有膜432時具有一第二值(不同於該第一值)。因此,MOSFET 442的臨界電壓可對應於收集器440上的膜432的厚度而改變。處理器376可經配置以偵測臨界電壓中的改變,並因此製程監測裝置100能注意到電晶體感測器219之位置處膜432之厚度的改變。額外實施例可包括藉著網路介面裝置371傳送該輸出信號(即該臨界電壓)至一外部計算裝置。
現參看第4B圖,其圖示按照一實施例之製程監測裝置100的共振器類型感測器219的示意圖。在一實施例中,製程監測裝置100的一或更多感測器219包括共振器類型感測器219。共振器感測器219可為適用的共振質量感測器,像是石英晶體微天平(QCM)、曲面聲波(SAW)、或膜體聲波共振器(FBAR),以上皆為已知用以量化沉積在其等之表面的膜432的累積質量。在此不提供共振器之複雜性及多變性的說明而有利於簡化的說明內容,以達精簡之目的並易於瞭解。共振器感測器219可形成在一或更多感測器組108中於遍及基板102之支撐面104或在可替換層105(若有的話)的預定位置處。各共振器感測器219可具有一特性頻率(例如共振頻率),如本領域所習知者。例如,不描述太詳細,共振器感測器219可藉由第4B圖中顯示的簡單質量彈簧系統來代表。共振器感測器219的特性頻率可與共振器感測器219之質量M成反比。例如,該特性頻率可與該微共振器系統的sqrt(k/M)成正比,其中「M」對應於質量M而「k」對應於共振器感測器219的一比例常數。因此,將瞭解當共振器感測器219上的膜432厚度改變時,該特性頻率偏移。據此,可在膜432的沉積或蝕刻期間監測膜432的厚度。
現參看第4C圖,其圖示按照一實施例之製程監測裝置100的共振器類型感測器219的示意圖。可使用的一種例示類型共振器感測器219是一微電機系統(MEMS)共振質量感測器,像是熱致動高頻單晶矽共振器。此種共振器類型感測器219可經利用單一遮罩製程作為個別裝置或陣列來製造在支撐面104上或可替換層105上。共振器感測器219可包括兩襯墊450,該兩襯墊在一對稱平面452的兩側上。可在兩襯墊450之間透過一波動電流來導致在電流路徑中的交流(AC)歐姆損耗組件。在一實施例中,大部分的歐姆損耗發生在互連該些襯墊450的薄柱部454中。薄柱部454可集中地位於襯墊450之間並在其間以正交於對稱平面452的方向延伸。在柱部454中產生的波動溫度可致使AC力和柱部454中的交替熱應力,以在一平面中共振模式下致動共振器感測器219。在該平面中共振模式下,襯墊450具有在相對方向震動的質量「M」。因此於共振時,共振器感測器219包括震動襯墊450的特性頻率,且柱部454的阻抗經一交替機械應力所調制,該機械應力由壓阻效應所致。據此,在共振器感測器219中有對應於特性頻率的可偵測小信號運動電流。
為了偵測在共振器感測器219之特性頻率的偏移,頻率源372及偵測器373可被併入製程監測裝置100的電子電路系統113/116中。頻率源372可為被用來激發共振器感測器219的一寬頻來源。偵測器373可監測共振器感測器219的特性頻率以及偵測該特性頻率的改變。例如,偵測器373可向處理器376輸出對應於該特性頻率(例如輸出電壓或電流)的信號。處理器376可經配置以接收該輸出電壓並辨識該特性頻率的變化。因此,當該輸出電壓中有改變及/或當共振器感測器219的特性頻率改變時,製程監測裝置100能隨膜432之厚度中的改變而注意到該改變。膜432之厚度改變的時間及位置亦可被記錄下來,以在整個該處理操作期間提供膜432於一特定位置處厚度的改變的製程監測。例如,隨著共振器感測器219的質量M增加(例如隨著膜432之厚度增加),該特性頻率將往下偏移,允許製程監測裝置100捕捉到膜厚度增加的歷程。替代地,當處理器及記憶體不被包括在製程監測裝置100中時,該輸出信號可藉由網路介面裝置371被傳送到一外部計算裝置,以提供該處理操作的即時製程監測。
儘管本說明書中提供了例示性電晶體感測器及共振感測器,將理解可使用任何感測器來監測在處理操作期間的不同處理狀況。按照各種實施例,任何能夠產生輸出信號(例如輸出電壓、輸出電流、頻率回應、時間量測結果、或類似者)的感測器可被使用當作感測器組108中的感測器219,該輸出信號對應於一處理狀況(例如膜厚度、粒子的有無、質量、基板溫度、夾頭溫度、表面電荷、磁場強度、特定氣體濃度、電漿的EEDF、VDC、或類似者)。如此,實施例允許即時監測在基板上及在處理站中的處理狀況。
按照一實施例,可結合於任何處理站來使用製程監測裝置100。在一些實施例中,一基板處理工具中可包括一或更多處理站。第5圖中圖示了按照一實施例之例示性基板處理工具560的平面視圖。基板處理工具560可包括一緩衝室562,該緩衝室藉由一或更多裝載閘566實體連接至一工廠介面564。工廠介面564可以容納用來在製造廠房中的工具間運輸基板的一或更多個前開式晶圓傳送盒(FOUP)565。在製程監測裝置100所具有的形狀因子類似於製造基板之形狀因子的實施例中,可使用相同的裝備(例如FOUP、基板傳送機械臂(未圖示)、等等)以在製造廠房內傳輸製程監測裝置100。
一或更多處理室568可直接實體連接至緩衝室562或者藉由一或更多相應的裝載閘(未圖示)。緩衝室562可基本上當作維持於低壓的中間容積(大於處理室568的對應容積),儘管處於比處理室568內之製程壓力更大的壓力。因此,可在製造半導體裝置期間在真空(或接近真空)狀況下在基板處理工具560之腔室之間移動基板(例如製程監測裝置或製造基板)。可藉包括在基板處理工具560中的各種裝置(例如機械手臂、搬運梭、等等)來致能此種移動,該些裝置未顯示出以免過度複雜化該些圖示。
在處理室568中可進行各種製造操作。例如,處理室568中至少一者可為電漿蝕刻室、沉積室、光刻工具室、或任何其他半導體製程工具室。如此,可利用處理室568在真空狀況、大氣狀況、或任何其他壓力制度下進行製造程序。製程監測裝置100的各感測器219可經配置以在各種處理室568或任何處理站所實施的處理操作期間感測基板102上一給定處理狀況的改變(例如膜厚度、粒子的有無、質量、基板溫度、夾頭溫度、表面電荷、磁場強度、特定氣體濃度、電漿的EEDF、VDC,或類似者)。
基板處理工具560可耦合至一外部電腦或伺服器561。外部電腦561可被用來提供將在基板上進行之處理操作的配方、監測整個廠房中基板的流向、及概略地提供自動化製造程序。基板處理工具560可經固線或無線地耦合至外部電腦561。在一實施例中,電腦561亦可被併入處理工具560中。在一實施例中,電腦561可從對應於腔室製程的各個腔室568接收輸出信號,像是電壓、氣流速率、壓力設定、或類似者。額外地,電腦561可藉由製程監測裝置100的網路介面裝置371無線地耦合至製程監測裝置100。
如此,實施例允許在處理操作期間傳送基板102上或在處理站中的即時製程狀況到外部電腦561。外部電腦561可經配置以將由製程監測裝置100獲得的製程狀況與已記錄的處理站設定及/或處理站感測器讀數疊合,以提供有關製程配方的改變如何隨處理操作的進行而更改狀況(例如膜厚度、粒子的有無、質量、基板溫度、夾頭溫度、表面電荷、磁場強度、特定氣體濃度、電漿的EEDF、VDC、或類似者)的分析。據此,當給定結果被產生時能驗證處理配方,或者當產生改善的結果時(例如跨於基板的整個表面具有更均勻或一致結果的製程)可再改良處理配方。
現參看第6圖,其描繪按照一實施例之流程圖,該圖代表在一基板處理站中藉製程監測裝置100來監測基板上之製程狀況的方法中的操作。於操作682,製程監測裝置100被安插到一處理站(例如基板處理工具560的腔室568)中。製程監測裝置100可具有上述的結構及組件(例如具有一或更多感測器219安裝於支撐面104上的預定位置處的複數個感測器組108、一或更多有圖案的特徵221、以及用於傳送從一或更多感測器219獲得之輸出信號的網路介面)。感測器219之各者可經配置以製造一輸出信號,該輸出信號對應於基板表面上或處理站中的製程狀況。
於操作682,可啟動製程監測裝置100上的時鐘374並將時鐘374與關聯於該處理站的時鐘同步。例如,可藉由製程監測裝置100上的加速度計375來啟動時鐘374,該加速度計偵測往零移動的減速。將製程監測裝置100上的時鐘374與處理站所關聯之時鐘同步允許來自處理室的資料與來自製程監測裝置100的資料疊合。
於操作684,起始用以處理製程監測裝置100的一處理操作。例如,基板處理站可從外部電腦561接收一製程配方。在一實施例中,處理配方可能用於沉積製程、蝕刻製程、曝光製程、或用於在基板上製造裝置的任何其他處理操作。按照一實施例,該處理操作可能是將被用在經後續處理之製造基板上的處理操作,或者是屬於被用來開發新的製程配方或者改良一處理步驟的DOE的一部份。例如,藉由執行包括VDC之改變的一製程配方以及監測表面溫度如何回應於VDC的改變而改變,能決定VDC及表面溫度之間的關係。
於操作686,製程監測裝置100可從形成在基板102上的一或更多感測器219獲得輸出信號。按照一實施例,該等輸出信號可對應於在處理操作期間基板102上或是處理站中的一處理狀況。例如,該等輸出信號可對應於膜厚度、粒子的有無、質量、基板溫度、夾頭溫度、表面電荷、磁場強度、特定氣體濃度、電漿的EEDF、VDC,或類似者。在一實施例中,該等輸出信號可為輸出電壓、輸出電流、頻率、時間量測結果,或類似者。在一實施例中,在處理操作期間可持續獲得該等輸出信號。替代實施例可包括於預定間隔(例如每半秒鐘、每秒鐘、甚至五秒鐘、等等)獲得。
於操作688,接收來自製程監測裝置100的輸出信號。在一實施例中,可從製程監測裝置100上的網路介面裝置371接收輸出信號。例如,外部電腦561可從網路介面裝置371藉無線信號接收輸出信號。因此,可獲得製程狀況中之變化的即時分析。額外地,該等輸出信號可與從處理工具560上之感測器獲得的資料疊合,以決定製程配方的變化如何改變基板。在製程監測裝置上包括了處理器376及記憶體378的替代實施例可將該等輸出信號記錄到記憶體378。在完成處理操作之後,儲存在記憶體378上的資訊可被下載至外部電腦561以供分析。
按照一額外實施例,使用製程監測裝置100的程序可包括翻新製程監測裝置100。第7圖圖示了表示在此種程序中之操作的流程圖。於操作792,可在一製程監測裝置的表面104(或可替換層105)上形成第一複數個感測器219。該第一複數個感測器可大致類似於上述的感測器219,並可藉常見半導體製造程序來形成,像是本領域習知的沉積及蝕刻製程。
於操作794可在一處理站(例如處理工具560的處理室568)中處理製程監測裝置100一或更多次。在一實施例中,可處理製程監測裝置100預定次數,該次數對應於第一複數個感測器219的期望可用壽命。第一複數個感測器219的期望可用壽命可部分地因在製程監測裝置100上進行的處理操作的類型而異。替代地,製程監測裝置100可經歷定期偵測以驗證感測器219是否仍可運作。一旦感測器被認為故障(即經足夠損害使得再亦無法獲得可靠的資料),或者已經進行了預定次數的處理操作,則可翻新製程監測裝置100。額外實施例可包括當需要新類型之感測器時、當需要重新製造或改變有圖案的特徵221時、或是為了任何其他原因來翻新製程監測裝置100。
於操作796,可從製程監測裝置100移除第一複數個感測器219。在一實施例中,可在不破壞或移除製程監測裝置100上的電路系統113/116或互連線114/115之下移除第一複數個感測器219。例如,電路系統113/116及互連線114/115可經嵌入基板102內,或者可抵抗用以移除第一複數個感測器219的蝕刻製程。實施例亦可包括當已移除第一複數個感測器219時移除可替換層105。
於操作798,可在製程監測裝置100上形成第二複數個感測器219。在一實施例中,第二複數個感測器219可具有與第一複數個感測器219相同類型及/或個數的感測器。或者在第二複數個感測器219中可包括新的感測器類型。第二複數個感測器219可被形成在第一複數個感測器219之前所在的位置以最小化(或免於)形成到製程監測裝置100之電路系統113/116的新的電互連線114/115及/或通路117的需要。在包括了可替換層105的實施例中,可在形成第二複數個感測器219之前先在支撐面104上形成一第二可替換層105。據此,製程監測裝置100可有延長的可用壽命,或者由於能翻新製程監測裝置100而可升級。
現參看第8圖,其圖示按照一實施例之基板處理工具560的例示性電腦系統561的方塊圖。所圖示電腦系統561的一或更多組件可被用於製程監測裝置100的電子電路系統113/116中。此外,基板處理工具560可併有電腦系統561。在一實施例中,電腦系統561經耦合至(且控制)機械人、裝載閘、處理室,及基板處理工具560的其他組件。如上述,電腦系統561亦可為基板處理工具560提供一系統日誌檔。電腦系統561亦可接收及分析從製程監測裝置100獲得的輸出信號。亦即,可在基板處理工具560中實施電腦系統561來控制晶圓製造製程的製程操作、生成一日誌檔來記錄有關該製程的次數及動作,以及比較製程監測裝置100記錄的資料日誌檔以決定處理狀況的變化如何改變製程監測裝置100之表面上的處理狀況。
電腦系統561可經連接(例如連網)至區域網路(LAN)、內部網路、外部網路、或網際網路中的其他機器。電腦系統561可以主從式(client-server)網路環境中的伺服器或客戶端機器的能力操作,或作為端對端(或分散式)網路環境中的端機器(peer machine)。電腦系統561可為個人電腦(PC)、平板PC、機上盒(STB)、個人數位助理(PDA)、蜂巢式電話、網路家電、伺服器、網路路由器、切換器或橋接器、或任何能夠執行指令集(連續或以其它方式)的機器,該指令集指明了將由該機器所採取之動作。進一步,儘管針對電腦系統561僅圖示單一機器,但「機器」一詞應被認為包括機器(例如電腦)的任何集合,該些電腦個別地或一起執行一個指令集(或多個指令集)來進行本說明書所述方法中之一或更多者。
電腦系統561可包括具有非暫態機器可讀取媒體的電腦程式產品(或軟體822),該非暫態機器可讀取媒體具有指令儲存於其上,可利用該等指令來程式規劃電腦系統561(或其他電子裝置)以按照實施例來進行一製程。機器可讀取媒體包括用於以一機器(例如電腦)可讀取之形式來儲存或傳送資訊的任何機制。舉例而言,機器可讀取(例如電腦可讀取)媒體包括機器(例如電腦)可讀取儲存媒體(例如唯讀記憶體(「ROM」)、隨機存取記憶體(「RAM」)、磁碟儲存媒體、光學儲存媒體、快閃記憶體裝置、等等)、機器(例如電腦)可讀取傳輸媒體(電性、光學、聲音或其他形式的傳輸信號(例如紅外信號、數位信號等等))、等等。
在一實施例中,電腦系統561包括系統處理器802、主記憶體804(例如唯讀記憶體(ROM)、快閃記憶體、動態隨機存取記憶體(DRAM)像是同步DRAM(SDRAM)或Rambus DRAM(RDRAM)、等等)、靜態記憶體806(例如快閃記憶體、靜態隨機存取記憶體(SRAM)、等等)、及輔助記憶體818(例如資料儲存裝置),以上經由一匯流排830彼此通訊。
系統處理器802代表一或更多個通用處理裝置,像是微系統處理器、中央處理單元,或類似者。更特定地,該系統處理器可為複雜指令集計算(CISC)微系統處理器、精簡指令集計算(RISC)微系統處理器、極長指令字(VLIW)微系統處理器、實施其他指令集的系統處理器,或實施指令集之組合的系統處理器。系統處理器802亦可能是一或更多特殊用途處理裝置,像是應用特定積體電路(ASIC)、現場可程式化閘極陣列(FPGA)、數位信號系統處理器(DSP)、網路系統處理器,或類似者。系統處理器802經配置以執行用於執行上述操作的處理邏輯。
電腦系統561可進一步包括用於與其他裝置或機器(例如製程監測裝置100)通訊的一系統網路介面裝置808。電腦系統561亦可包括一視訊顯示單元810(例如液晶顯示器(LCD)、發光二極體顯示器(LED)、或陰極射線管(CRT))、字母數字輸入裝置812(例如鍵盤)、游標控制裝置814(例如滑鼠),及信號生成裝置816(例如揚聲器)。
輔助記憶體818可包括一機器可存取儲存媒體831(或更特定為電腦可讀取儲存媒體),在機器可存取儲存媒體831上儲存一或更多指令集(例如軟體822),該一或更多指令集實現本說明書中描述之方法論或功能中的任一或更多者。軟體822亦可在其被電腦系統561執行期間全部地或至少部分地存在於主記憶體804內及/或系統處理器802內,主記憶體804及系統處理器802亦構成機器可讀取儲存媒體。可進一步經由系統網路介面裝置808在網路820上傳送或接收軟體822。
儘管在例示性實施例中將機器可存取儲存媒體831顯示為單一媒體,然「機器可讀取儲存媒體」一詞應被認定為包括儲存該一或更多指令集的單一媒體或多個媒體(例如集中式或分散式資料庫,及/或相關聯的快取及伺服器)。「機器可讀取儲存媒體」一詞亦應被認定為包括任何能夠儲存或編碼有指令集的媒體,該等指令集供該機器執行且致使該機器進行該些方法中任一或更多者。「機器可讀取儲存媒體」一詞因此應被認為包括(但不限於)固態記憶體,及光學及磁性媒體。
在以上說明書中已描述了特定的例示性實施例。將明白可在未悖離以下申請專利範圍下對該些實施例做出各種修改。本案說明書及圖式因此應被認定為有例示性而非限制性。
100:製程監測裝置 102:基板 104:支撐面 105:可替換層 106:直徑 108:感測器組 109:厚度 111C :中心區域 111M :中間區域 111O :外圍區域 113:電子電路系統 116:電子電路系統中樞 114,115:互連線 117:通路 128:空腔 129:帽層 219A ~219n :感測器 221D ,221L :有圖案的特徵 370:外殼 371:網路介面裝置 372:頻率源 373:偵測器 374:時鐘 375:加速度計 376:處理器 377:匯流排 378:記憶體 379:電源 414:電佈線 432:膜 440:收集器 442:MOSFET 443:外邊緣 444:源極 446:汲極 448:閘極 450:襯墊 452:對稱平面 454:柱部 560:基板處理工具 561:外部電腦 562:緩衝室 564:工廠介面 565:前開式晶圓傳送盒 566:裝載閘 568:處理室 682,684,686,688:操作 792,794,796,798:操作 802:系統處理器 804:主記憶體 806:靜態記憶體 808:系統網路介面裝置 810:視訊顯示器 812:字母數字輸入裝置 814:游標控制裝置 816:信號生成裝置 818:資料儲存裝置 820:網路 822:軟體 826:處理邏輯 830:匯流排 831:機器可存取儲存媒體 M:質量 K:感測器的比例常數
第1A圖是按照一實施例之一製程監測裝置的圖示,該製程監測裝置包括複數個感測器組。
第1B圖是按照一實施例之一製程監測裝置的圖示,該製程監測裝置包括形成在一可替換層上的複數個感測器組。
第2圖是按照一實施例之一感測器組的圖示,該感測器組可形成在一製程監測裝置上。
第3圖是按照一實施例之電子電路系統的圖示,該電子電路系統經安裝在該製程監測裝置上。
第4A~4C圖是按照一實施例之感測器的圖示,該些感測器可被包括在一感測器組中。
第5圖是按照一實施例之製程監測裝置的圖示,該製程監測裝置經置放在一基板處理工具的一腔室中。
第6圖是按照一實施例之一流程圖的圖示,該流程圖代表在用於在一處理工具中提供即時製成特性分析的方法中的操作。
第7圖是一流程圖的圖示,該流程圖代表按照一實施例之用於使用及翻新具有複數個感測器組之一製程監測裝置的方法。
第8圖圖示一例示性電腦系統的方塊圖,該電腦系統可連同按照一實施例之一製程監測裝置來使用。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無
100:製程監測裝置
102:基板
104:支撐面
105:可替換層
108:感測器組
113:電子電路系統
117:通路
128:空腔
129:帽層

Claims (14)

  1. 一種製程監測裝置,包括: 一基板; 複數個感測器,該複數個感測器在該基板的一支撐表面上,其中每個感測器能夠產生對應於一處理狀況的一輸出信號; 複數個有圖案的特徵,該複數個有圖案的特徵在該基板的該支撐表面之上或之中,其中該複數個有圖案的特徵中之對應特徵接近該複數個感測器中之對應感測器;及 一網路介面裝置,該網路介面裝置在該基板上,其中該複數個感測器中之每個感測器通訊耦合至該網路介面裝置。
  2. 如請求項1所述之製程監測裝置,其中該網路介面裝置不在該基板的該支撐表面上。
  3. 如請求項2所述之製程監測裝置,其中該網路介面裝置在該基板中的一空腔中。
  4. 如請求項3所述之製程監測裝置,其中該等感測器中之每個感測器藉由一通路結構通訊耦合至該網路介面裝置。
  5. 如請求項1所述之製程監測裝置,其中該複數個感測器分佈遍及該支撐表面上的一或更多個區域。
  6. 如請求項1所述之製程監測裝置,其中該複數個感測器經分組成為複數個感測器組。
  7. 如請求項6所述之製程監測裝置,其中每個感測器組包括多於一個感測器,及其中每個感測器組包括一或更多個不同類型的感測器。
  8. 如請求項7所述之製程監測裝置,其中該等感測器中之至少一個感測器是一電晶體感測器。
  9. 如請求項7所述之製程監測裝置,其中該等感測器中之至少一個感測器是一共振器感測器。
  10. 如請求項1所述之製程監測裝置,其中該等輸出信號為電壓、電流、頻率、或時間量測結果,並且其中該等處理狀況包括下列項目中之一或更多個:一膜厚度、粒子的有無、質量、基板溫度、夾頭溫度、表面電荷、磁場強度、特定氣體濃度、一電漿的電子能量分佈函數(EEDF)、或VDC。
  11. 如請求項1所述之製程監測裝置,進一步包括: 一可替換層,該可替換層在該支撐表面上。
  12. 一種用於監測一處理操作的系統,包括: 一處理工具,該處理工具包括一或更多個處理站; 一製程監測裝置,該製程監測裝置經調整尺寸以在該等處理站中之一或更多個處理站中來處理,該製程監測裝置包括: 一基板; 複數個感測器,該複數個感測器在該基板的一支撐表面上,其中每個感測器能夠產生對應於一處理狀況的一輸出信號; 複數個有圖案的特徵,該複數個有圖案的特徵在該基板的該支撐表面之上或之中,其中該複數個有圖案的特徵中之對應特徵接近該複數個感測器中之對應感測器;及 一網路介面裝置,該網路介面裝置在該基板上,其中該複數個感測器中之每個感測器通訊耦合至該網路介面裝置;及 一電腦,該電腦藉由該網路介面裝置通訊耦合至該製程監測裝置。
  13. 如請求項12所述之用於監測一處理操作的系統,其中該等輸出信號為電壓、電流、頻率、或時間量測結果,並且其中該等處理狀況包括下列項目中之一或更多個:一膜厚度、粒子的有無、質量、一基板溫度、一夾頭溫度、一表面電荷、一磁場強度、一特定氣體濃度、一電漿的一電子能量分佈函數(EEDF)、或VDC。
  14. 如請求項12所述之用於監測一處理操作的系統,進一步包括: 一可替換層,該可替換層在該支撐表面上。
TW110120746A 2016-01-28 2017-01-11 即時製程特性分析 TWI747795B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/009,705 US10818561B2 (en) 2016-01-28 2016-01-28 Process monitor device having a plurality of sensors arranged in concentric circles
US15/009,705 2016-01-28

Publications (2)

Publication Number Publication Date
TW202139315A true TW202139315A (zh) 2021-10-16
TWI747795B TWI747795B (zh) 2021-11-21

Family

ID=59387073

Family Applications (2)

Application Number Title Priority Date Filing Date
TW106100785A TWI731915B (zh) 2016-01-28 2017-01-11 即時製程特性分析
TW110120746A TWI747795B (zh) 2016-01-28 2017-01-11 即時製程特性分析

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW106100785A TWI731915B (zh) 2016-01-28 2017-01-11 即時製程特性分析

Country Status (6)

Country Link
US (2) US10818561B2 (zh)
JP (2) JP6947734B2 (zh)
KR (2) KR102660879B1 (zh)
CN (2) CN108604556B (zh)
TW (2) TWI731915B (zh)
WO (1) WO2017131878A1 (zh)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7365324B2 (ja) 2015-12-24 2023-10-19 株式会社Fuji 実装装置
US20170221783A1 (en) * 2016-01-28 2017-08-03 Leonard TEDESCHI Self-aware production wafers
CN107424947A (zh) * 2017-08-16 2017-12-01 君泰创新(北京)科技有限公司 薄膜电池工艺设备的温度测试方法及系统
US10763143B2 (en) * 2017-08-18 2020-09-01 Applied Materials, Inc. Processing tool having a monitoring device
US11346875B2 (en) * 2018-02-27 2022-05-31 Applied Materials, Inc. Micro resonator array sensor for detecting wafer processing parameters
US20220172968A1 (en) * 2020-12-01 2022-06-02 Applied Materials, Inc. In-chamber low-profile sensor assembly
JPWO2022249973A1 (zh) * 2021-05-26 2022-12-01
US20220392811A1 (en) * 2021-06-07 2022-12-08 Taiwan Semiconductor Manufacturing Company Ltd. Method and system for processing wafer
US20230044262A1 (en) * 2021-08-05 2023-02-09 Applied Materials, Inc. Microwave resonator array for plasma diagnostics

Family Cites Families (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5864773A (en) * 1995-11-03 1999-01-26 Texas Instruments Incorporated Virtual sensor based monitoring and fault detection/classification system and method for semiconductor processing equipment
US6244121B1 (en) 1998-03-06 2001-06-12 Applied Materials, Inc. Sensor device for non-intrusive diagnosis of a semiconductor processing system
US6366690B1 (en) * 1998-07-07 2002-04-02 Applied Materials, Inc. Pixel based machine for patterned wafers
US7294563B2 (en) * 2000-08-10 2007-11-13 Applied Materials, Inc. Semiconductor on insulator vertical transistor fabrication and doping process
US6696362B2 (en) 2001-02-08 2004-02-24 Applied Materials Inc. Method for using an in situ particle sensor for monitoring particle performance in plasma deposition processes
US20030115978A1 (en) * 2001-12-20 2003-06-26 Moehnke Stephanie J. Apparatus and method for monitoring environment within a container
US6889568B2 (en) * 2002-01-24 2005-05-10 Sensarray Corporation Process condition sensing wafer and data analysis system
JP2005531912A (ja) * 2002-07-03 2005-10-20 東京エレクトロン株式会社 半導体プラズマパラメータの非侵入性の測定と解析のための方法と装置
US6830650B2 (en) 2002-07-12 2004-12-14 Advanced Energy Industries, Inc. Wafer probe for measuring plasma and surface characteristics in plasma processing environments
JP3916549B2 (ja) 2002-10-31 2007-05-16 東京エレクトロン株式会社 プロセスモニタ及び半導体製造装置
US6807503B2 (en) * 2002-11-04 2004-10-19 Brion Technologies, Inc. Method and apparatus for monitoring integrated circuit fabrication
US7151366B2 (en) 2002-12-03 2006-12-19 Sensarray Corporation Integrated process condition sensing wafer and data analysis system
JP4363860B2 (ja) 2003-02-04 2009-11-11 株式会社日立ハイテクノロジーズ 真空処理装置の異物管理装置及び異物管理方法
ATE371862T1 (de) * 2003-10-28 2007-09-15 Mettler Toledo Ag Thermoanalytischer sensor und verfahren zu dessen herstellung
JPWO2005050346A1 (ja) 2003-11-21 2007-06-07 日本電気株式会社 コンテンツ配信及び受信装置,コンテンツ送受信システム,コンテンツ配信及び受信方法,コンテンツ配信及び受信用プログラム
US20050225308A1 (en) 2004-03-31 2005-10-13 Orvek Kevin J Real-time monitoring of particles in semiconductor vacuum environment
US20060234398A1 (en) * 2005-04-15 2006-10-19 International Business Machines Corporation Single ic-chip design on wafer with an embedded sensor utilizing rf capabilities to enable real-time data transmission
US8026113B2 (en) * 2006-03-24 2011-09-27 Tokyo Electron Limited Method of monitoring a semiconductor processing system using a wireless sensor network
US7521915B2 (en) 2006-04-25 2009-04-21 Sokudo Co., Ltd. Wafer bevel particle detection
US7555948B2 (en) * 2006-05-01 2009-07-07 Lynn Karl Wiese Process condition measuring device with shielding
US8823933B2 (en) * 2006-09-29 2014-09-02 Cyberoptics Corporation Substrate-like particle sensor
JP5064835B2 (ja) * 2007-02-28 2012-10-31 株式会社アルバック 基板搬送装置
JP5407019B2 (ja) * 2007-08-31 2014-02-05 ラピスセミコンダクタ株式会社 プラズマモニタリング方法
US20090302002A1 (en) * 2008-02-29 2009-12-10 Applied Materials, Inc. Method and apparatus for removing polymer from a substrate
JP2009244174A (ja) * 2008-03-31 2009-10-22 Tokyo Electron Ltd ウェハ型温度計、温度測定装置、熱処理装置および温度測定方法
JP2010048717A (ja) * 2008-08-22 2010-03-04 Tokai Rika Co Ltd 位置検出装置
US8135560B2 (en) * 2009-01-30 2012-03-13 Applied Materials, Inc. Sensor system for semiconductor manufacturing apparatus
JP5434109B2 (ja) * 2009-02-06 2014-03-05 セイコーエプソン株式会社 超音波センサーユニット
JP5399730B2 (ja) * 2009-02-12 2014-01-29 株式会社Kelk センサ付き基板およびセンサ付き基板の製造方法
KR100988872B1 (ko) * 2009-07-08 2010-10-20 주식회사 나노포토닉스 회전 대칭형의 광각 렌즈를 이용하여 복합 영상을 얻는 방법과 그 영상 시스템 및 하드웨어적으로 영상처리를 하는 이미지 센서
US8676537B2 (en) * 2009-08-07 2014-03-18 Taiwan Semiconductor Manufacturing Company, Ltd. Portable wireless sensor
US8889021B2 (en) * 2010-01-21 2014-11-18 Kla-Tencor Corporation Process condition sensing device and method for plasma chamber
US8878926B2 (en) * 2010-09-17 2014-11-04 Applied Materials, Inc. Apparatus and method for analyzing thermal properties of composite structures
US8700199B2 (en) * 2011-03-21 2014-04-15 International Business Machines Corporation Passive resonator, a system incorporating the passive resonator for real-time intra-process monitoring and control and an associated method
WO2013036618A1 (en) * 2011-09-06 2013-03-14 Canfield Scientific, Incorporated Systems, devices, and methods for image analysis
US9360302B2 (en) * 2011-12-15 2016-06-07 Kla-Tencor Corporation Film thickness monitor
US20140262031A1 (en) * 2013-03-12 2014-09-18 Sergey G. BELOSTOTSKIY Multi-mode etch chamber source assembly
US9267781B2 (en) * 2013-11-19 2016-02-23 Infineon Technologies Ag On-axis magnetic field angle sensors, systems and methods
US9636797B2 (en) * 2014-02-12 2017-05-02 Applied Materials, Inc. Adjusting eddy current measurements
US9618588B2 (en) * 2014-04-25 2017-04-11 Infineon Technologies Ag Magnetic field current sensors, sensor systems and methods
NL2014403A (en) * 2014-04-28 2015-11-02 Asml Netherlands Bv Estimating deformation of a patterning device and/or a change in its position.
US10522380B2 (en) 2014-06-20 2019-12-31 Applied Materials, Inc. Method and apparatus for determining substrate placement in a process chamber
KR102236587B1 (ko) * 2014-09-04 2021-04-06 삼성전자주식회사 인덕션 장치 및 온도 조절 방법
US10067070B2 (en) * 2015-11-06 2018-09-04 Applied Materials, Inc. Particle monitoring device

Also Published As

Publication number Publication date
KR20180100071A (ko) 2018-09-06
US10818561B2 (en) 2020-10-27
JP7170099B2 (ja) 2022-11-11
CN108604556A (zh) 2018-09-28
KR102660879B1 (ko) 2024-04-24
WO2017131878A1 (en) 2017-08-03
US20170221775A1 (en) 2017-08-03
CN108604556B (zh) 2023-05-02
KR20240055907A (ko) 2024-04-29
US20210005518A1 (en) 2021-01-07
TWI747795B (zh) 2021-11-21
CN116525489A (zh) 2023-08-01
US11735486B2 (en) 2023-08-22
TWI731915B (zh) 2021-07-01
TW201737379A (zh) 2017-10-16
JP6947734B2 (ja) 2021-10-13
JP2019508888A (ja) 2019-03-28
JP2021180321A (ja) 2021-11-18

Similar Documents

Publication Publication Date Title
TWI747795B (zh) 即時製程特性分析
US10718719B2 (en) Particle monitoring device
JP7288493B2 (ja) マイクロセンサを有するウエハ処理ツール
JP2019536281A (ja) 選択的エッチング速度モニタ
CN108604557B (zh) 自知生产晶片
TWI762983B (zh) 用於確定處理作業的處理參數的方法
US20230184540A1 (en) System for wafer dechucking and health monitoring