CN108604556B - 实时工艺特性分析 - Google Patents

实时工艺特性分析 Download PDF

Info

Publication number
CN108604556B
CN108604556B CN201680079917.2A CN201680079917A CN108604556B CN 108604556 B CN108604556 B CN 108604556B CN 201680079917 A CN201680079917 A CN 201680079917A CN 108604556 B CN108604556 B CN 108604556B
Authority
CN
China
Prior art keywords
sensors
monitoring device
process monitoring
sensor
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201680079917.2A
Other languages
English (en)
Other versions
CN108604556A (zh
Inventor
L·泰德斯奇
K·拉马斯瓦米
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to CN202310473128.9A priority Critical patent/CN116525489A/zh
Publication of CN108604556A publication Critical patent/CN108604556A/zh
Application granted granted Critical
Publication of CN108604556B publication Critical patent/CN108604556B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/24Optical enhancement of defects or not directly visible states, e.g. selective electrolytic deposition, bubbles in liquids, light emission, colour change
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • H01L22/34Circuits for electrically characterising or monitoring manufacturing processes, e. g. whole test die, wafers filled with test structures, on-board-devices incorporated on each die, process control monitors or pad structures thereof, devices in scribe line
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01DMEASURING NOT SPECIALLY ADAPTED FOR A SPECIFIC VARIABLE; ARRANGEMENTS FOR MEASURING TWO OR MORE VARIABLES NOT COVERED IN A SINGLE OTHER SUBCLASS; TARIFF METERING APPARATUS; MEASURING OR TESTING NOT OTHERWISE PROVIDED FOR
    • G01D21/00Measuring or testing not otherwise provided for
    • G01D21/02Measuring two or more variables by means not covered by a single other subclass

Abstract

实施例包括工艺监测装置及使用这种工艺监测装置的方法。在实施例中,该工艺监测装置包括一基板。该工艺监测装置亦可包括多个传感器,该多个传感器形成在该基板的一支撑面上。按照实施例,每个传感器能够产生对应于一处理状况的一输出信号。此外,实施例包括一工艺监测装置,其包括形成在该基板上的一网络接口装置。按照实施例,该多个传感器的每一个通信耦合至该网络接口装置。该网络接口装置允许在处理操作期间将得自所述传感器的所述输出信号无线传送至一外部计算机。

Description

实时工艺特性分析
相关申请的交叉引用
本申请要求2016年1月28日提交的题为“REAL TIMEPROCESS CHARACTERIZATION(实时工艺特性分析)”的美国非临时专利申请15/009,705的权益,且为所有目的藉由援引将其整体纳入于此。
背景
技术领域
实施例关于半导体处理的领域,且特定地关于实时特性分析(characterizing)处理的装置及方法。
背景技术
沉积与移除速率典型地通过将一基板处理一给定时间量,并接着利用一膜厚度测量工具(例如椭圆偏光计)来测量薄膜被沉积或被移除的量来测量。这种技术的问题在于只能确定工艺的最终结果。如此,无法确定在所述处理过程期间对薄膜的实时改变。在某些情况中,利用光学放射光谱(OES)可能提供有关等离子体的一些实时信息,但仍然缺少确定等离子体对基板表面的影响的能力。此外,OES不适合用于远程等离子体。
发明内容
实施例包括工艺监测装置及使用这种工艺监测装置的方法。在一个实施例中,该工艺监测装置包括一基板。该工艺监测装置亦可包括多个传感器,该多个传感器形成在该基板的一支撑面上。按照实施例,每个传感器能够产生对应于一处理状况的一输出信号。此外,实施例包括一工艺监测装置,其包括形成在该基板上的一网络接口装置。按照实施例,该多个传感器的每个传感器通信耦合至该网络接口装置。该网络接口装置允许在处理操作期间将得自所述传感器的所述输出信号无线传送至一外部计算机。
一些实施例中亦包括一种用于监测一基板处理操作的方法。在实施例中该工艺监测方法可包括:以一处理站起始在一工艺监测装置上的一处理操作。例如,该处理站可以是任何处理工具,诸如在沉积或蚀刻工艺中的腔室。按照实施例,该工艺监测装置包括多个传感器,该多个传感器分布遍及该工艺监测装置的一支撑面。所述传感器的每个传感器产生对应于该处理室中一处理状况的传感器输出。按照实施例,该方法亦可包括在该处理操作期间接收来自该工艺监测装置的所述传感器输出。在一些实施例中,该方法亦可包括将该工艺监测装置上的一时钟与关联于该处理站的时钟同步。
以上发明内容并不包括全部实施例的穷尽清单。可设想到,能从以上概述的各种实施例的全部适当组合实施出的全部系统及方法,还有在以下实施方式中公开的实施例都被包括,尤其是在申请时提交的权利要求中所指出的那些。这些组合有特别的优点并没有特定地叙述在以上发明内容中。
附图说明
图1A是按照实施例的一工艺监测装置的图示,该工艺监测装置包括多个传感器组。
图1B是按照实施例的一工艺监测装置的图示,该工艺监测装置包括形成在一可替换层上的多个传感器组。
图2是按照实施例的一传感器组的图示,该传感器组可形成在一工艺监测装置上。
图3是按照实施例的电子电路系统的图示,该电子电路系统经安装在该工艺监测装置上。
第4A-4C图是按照实施例的传感器的图示,所述传感器可被包括在一传感器组中。
图5是按照实施例的工艺监测装置的图示,该工艺监测装置经置放在一基板处理工具的一腔室中。
图6是按照实施例的一流程图的图示,该流程图代表在用于在一处理工具中提供实时工艺特性分析的方法中的操作。
图7是一流程图的图示,该流程图代表按照实施例的用于使用及翻新具有多个传感器组的一工艺监测装置的方法。
图8图示一示例性计算机系统的框图,该计算机系统可连同按照实施例的一工艺监测装置来使用。
具体实施方式
按照各种实施例来说明用于在处理操作期间来监测一基板上的处理状况的装置及方法。在以下说明中阐述了数种特定细节以提供对实施例的完整了解。本领域技术人员将理解可在没有这些特定细节下实施实施例。在其他实例中,没有详细描述熟知的方面以避免不必要地模糊了实施例。此外,应理解在随附附图中显示的各种实施例是说明性表示,而不一定是照比例绘制。
在各种基板处理操作中用来验证处理操作的现有技术费时且昂贵。例如,当需要验证一沉积工艺时,样本基板被置放在沉积室中且在该基板的表面之上沉积一层。其后,从该沉积室移除该基板,且利用一不同工具来分析该基板。例如,可用计量工具(诸如椭圆偏光计)来确定通过沉积工艺得到的最终膜厚度。
这种典型验证过程有几个缺点。首先,所述工艺验证利用超过一种工具。额外的计量工具占去制造厂房中的宝贵空间。额外地,多种工具的使用使得需要额外的基板运输操作,且因此增加所需用来验证工艺的时间。其次,所述工艺验证仅能在工艺完成后确定膜的厚度。如此,现今的工艺验证技术不允许实时确定出厚度随时间的改变。
因此,实施例包括一工艺监测装置,该装置允许在处理期间的工艺监测的发生。如此,实施例减少了对昂贵计量装备的需要,且允许在处理操作期间对基板上的状况的实时分析。此外,实施例允许同时分析多个不同工艺参数。例如,膜厚度(例如在沉积或蚀刻工艺期间)、粒子的有无、质量、基板温度、夹头温度、表面电荷、磁场强度、特定气体浓度、等离子体的电子能量分布函数(EEDF)、电压直流电(VDC)、或类似者,或者可在一处理操作期间监测类似者。
监测多个不同处理状况的实时变化可允许工艺验证还有工艺优化。可监测多于一个工艺参数的能力可允许实施试验设计(DOE),其能被用来分析在一个工艺状况中的改变如何影响其他工艺状况。例如,要确定VDC及表面温度之间的关系能通过执行包括在VDC中的变化的工艺配方,并监测表面温度如何响应于VDC的改变而改变。了解各个处理状况对基板表面的影响提供更稳健的工艺及硬件。额外地,由于能够监测及控制工具之间的小差异,因此亦可改善腔室匹配(chamber matching)。
将理解以下所述工艺监测装置及方法能被用在实时工艺监测有益的任何形状因子或工艺中。更特定地,尽管针对用于制造集成电路的晶片处理来说明工艺监测装置及方法,所述装置及方法亦可经适配以用在其他科技中,诸如电子产业的显示器和/或太阳能产业的光伏电池。
现参看图1A,其显示按照实施例的工艺监测装置100的图示。工艺监测装置100可包括一基板102,该基板具有整体形状因子和/或与半导体晶片相同的材质和形状。在一实施例中,基板102可至少部分地由一半导体材质组成。例如,基板102可以是晶态硅材质、晶态III-V族半导体材质、硅绝缘体(SOI),或类似者。此外,基板102可具有基本为碟形的晶片形状因子并包括一支撑面104,该支撑面具有一直径106。支撑面104可以是该碟形的上表面,而基板102的一底表面(未图示)可与支撑面104隔开一厚度109。在实施例中,基板102的晶片形状因子包括的直径106在95到455mm之间(例如直径106可名义上为100mm、200mm、300mm、或450mm)。此外,基板102的晶片形状因子可包括少于1mm(例如525μm、775μm、或925μm)的厚度109。厚度109亦可大于1mm(例如数毫米最多至10mm)。据此,可利用容易取得的晶片材料及典型晶片制造工艺及设备来制造工艺监测装置100,且工艺监测装置100可基本上仿真在晶片处理工具中被处理时的一半导体晶片。按照一额外实施例,基板102可具有典型地在基板处理工具中处理的任何类型的基板的形状因子。例如在显示器技术中所用的玻璃面板(例如基于薄膜晶体管(TFT)的显示器)亦可用作基板102。
工艺监测装置100可包括一或更多传感器组108,所述传感器组经制成或安装在支撑面104上的预定位置处。按照实施例,各传感器组108可具有一或更多传感器和/或有图案的特征(例如凸片、凹槽、等等)。图1A中没有图示个别的传感器及有图案的特征以免使附图不明,而以下将更详细说明。在实施例中,多个传感器组108(例如几十个到几百万个)可经建置或置放在支撑面104上。各传感器组108可具有已知位置。例如,第一传感器组可位于第一位置110处,而第二传感器组可位于第二位置112处。第二位置112可具有相对于第一位置110的一已知地点,或是相对于工艺监测装置100上某个其他参考点的一已知地点。
传感器组108可随机分布遍及支撑面104上,或以预先确定的图案排列。当使用随机分布时,各传感器组108的绝对位置或相对位置可仍预先确定及已知。在实施例中,针对所述传感器组所使用的预先确定图案可包括网格图案、同心圆图案、螺旋图案、等等。例如,图1A中显示的传感器组108以有序的同心圆分布遍及支撑面104上。可利用已知的蚀刻和/或沉积工艺在工艺监测装置100的支撑面104上确切位置处建置传感器组108,来达成任意的图案及预先确定的图案两者。替代地,传感器组108可经制造成分离的组件,其在预定位置处安装在支撑面104上。
在实施例中,传感器组108经排列以提供某些位置处的工艺监测信息,所述位置被预测在处理操作期间在处理状况上有较大程度的变异。例如,基板102的温度或对等离子体的暴露量可能遍及基板表面而有差异。因此,某些实施例可包括在该基板的不同区域111(例如中心区域111C、中间区域111M、外围区域111O、等等)处形成一或更多传感器组108。各区域可具有相同数量的传感器组108。额外实施例可包括具有不同数量的传感器组108的区域。例如,基板的外周典型地比基板102的中心遭受更大的工艺变异。因此,外侧区域可比基板的中心区域有更多的传感器组108。
工艺监测装置100可包括形成在基板102上的电子电路系统113的一或更多分区。工艺监测装置100的电子电路系统113可经通信耦合至形成在基板102的支撑面104上的一或更多传感器组108。电子电路系统113是以虚线描绘以指示出电子电路系统113可不形成在基板102的支撑面104上。例如,电子电路系统113可经嵌入在基板102中,如以下将更详细描述。按照实施例,电子电路系统113可通过通孔(via)和/或迹线(trace)来电耦合至传感器组108。
在所图示实施例中,各传感器组108与电子电路系统113配对。按照额外实施例,多于一传感器组108可与电子电路系统113的各分区配对。额外地,实施例可包括一电子电路系统中枢116。电子电路系统中枢116可以有线或无线连接来通信耦合至电子电路系统113的个别分区。例如,嵌入在基板102中(或形成于其上)的电迹线114可以串联方式将电子电路系统113的一或更多分区与电子电路系统中枢116连接,或者电子电路系统113的一或更多分区可通过个别的电迹线115与电子电路系统中枢116并联。因此,在传感器组108之间可造成电连接,和/或传感器组108可利用电迹线、电引线、通孔、及其他已知类型的电连接器来连接至电子电路系统中枢116。在一些实施例中省略电子电路系统中枢116。
现参看图1B,其显示按照一额外实施例的工艺监测装置100的截面图。在所图示实施例中,支撑面104及传感器组108之间形成一可替换层105。包括可替换层105的实施例可通过允许替换传感器组108而改善工艺监测装置100的耐久性。例如,可在一预定使用次数之后藉蚀刻或抛光工艺来移除传感器组108及可替换层105。或者,可在所述传感器的性能开始恶化后(例如由于机械疲劳或其他破坏所致的传感器故障)移除传感器组108及该可替换层。
按照实施例,传感器组108及可替换层105的移除可允许直接形成在基板102上(或嵌入在基板102中)的电连接被保留。因此可不需要额外处理来形成电连接以用于后续形成的替换传感器组。
可替换层105可以是能沉积在基板102上的任何适当材质。例如,可替换层105可以是氧化物、氮化物、多晶硅、单晶态半导体材质,或类似者。亦可选择可替换层105的材质以匹配在处理制造晶片期间将被暴露的材质。额外地,可替换层105可以是将形成传感器组108中的传感器所用的材质。在此类实施例中,所述传感器组可经成形至可替换层105中而非在可替换层105上,如图1B中所示。
如上所述,电子电路系统113的各分区可经嵌入基板102中。例如,可形成一空腔128在基板102中。可接着将电子电路系统113形成在空腔128中。在所图示实施例中,电子电路系统113经显示为从空腔128的底表面向上延伸。例如,电子电路系统113可以是安装在空腔128中的晶粒。然而,实施例不限于这种配置。例如,电子电路系统113可直接地制造到基板102中(例如当该基板是半导体基板时)。可在空腔128中形成帽层129以在基板处理操作期间将电子电路系统113与处理状况隔绝。在实施例中帽层129的顶表面可大致与基板102的顶表面共平面。此外,将理解所指的基板的“支撑面”亦可包括帽层129的顶表面。因此,在一些实施例中,传感器组108经形成在帽层129的顶表面之上。为了提供从传感器组108到电子电路系统113的电连接,可透过帽层129(以及可替换层105,若有的话)形成一通孔117。帽层129可以是任何能被沉积在基板102上的材质。例如,帽层129可以是氧化物、氮化物、多晶硅、外延生长的半导体材质,或类似者。
现参看图2,其更详细图示了按照实施例的传感器组108。实施例可包括一传感器组108,该传感器组包括一或更多传感器219。例如,传感器组108可包括传感器219A-219n。在实施例中,各传感器219可以是不同类型的传感器,其允许监测不同工艺状况。例如,传感器219可包括用于测量膜厚度的改变、粒子的有无、质量、基板温度、夹头温度、表面电荷、磁场强度、特定气体浓度、等离子体的EEDF、VDC、或类似者的传感器。以下将更详细公开有关如何实施这些传感器219的具体示例。
在一些实施例中,传感器组108亦可包括一或更多有图案的特征221。有图案的特征221可包括凹槽、凸片、接触孔、双重镶嵌特征、或任何其他可出现在一制造基板上的有图案的特征。有图案的特征221亦可形成自与将在制造晶片中处理的材质相同的材质,以提供类似于将在制造真正装置期间所遭遇的处理状况类似的处理状况。可将有图案的特征221形成在支撑面104上或支撑面104中。额外实施例可包括将有图案的特征221形成在可替换层105上或可替换层105中。
按照实施例,传感器组108可包括多于一个特定类型的传感器219。具有多于一个给定类型传感器有数个优点。一个优点是若传感器219中的一个无法操作,则监测相同处理状况的第二传感器219可用来当作备份。备份传感器的加入可延长工艺监测装置的可用寿命。
额外地,传感器可经形成于接近不同有图案的特征221。例如,传感器219A及传感器219G可都是膜厚度传感器(诸如共振器)。传感器219A位于接近一隔绝的有图案特征221L处,而传感器219G位于靠近多个密集间隔开的有图案的特征221D处。因此,亦可以监测任何可能从所述有图案的特征的密度所致的负载效应。
现参看图3,其按照实施例图示工艺监测装置100的电子电路系统中枢116的框图的图示。尽管图3中的参照是针对电子电路系统中枢116,但应理解电子电路系统中枢116的组件中一或更多者可被包括在分布遍及基板102的电子电路系统113中的各分区处。额外地,在一些实施例中,可省略电子电路系统中枢116,且图3中所述组件的一或更多者可经提供在电子电路系统113的各分区中。工艺监测装置100的电子电路系统中枢116可经包覆或支撑在一外壳370中,或者可被暴露在外。电子电路系统中枢116的外壳370和/或电子组件可被安装在基板102的支撑面104上。在实施例中,电子电路系统中枢116的一或更多组件经嵌入基板102内。形成嵌入在基板102内的电子电路系统,在支撑面104上提供更多表面面积以用于形成额外的传感器组108。尽管如此,电子电路系统中枢116可被置放以透过一或更多电迹线、电引线或通孔来与各传感器组108中的传感器219电连接,即使是被安装在基板102的相对侧上时。
工艺监测装置100的电子电路系统中枢116可包括安装在基板102上的一时钟374。如本领域所已知的,时钟374可以是具有电子振荡器(例如石英晶体)的电子电路,用以输出有精确频率的电信号。因此,时钟374可经配置以输出对应于该电信号的时间值。该时间值可以是独立于其他操作的绝对时间值,或者该时间值可与基板处理工具中的其他时钟同步(以下将更详细说明)。例如,可将时钟374与基板处理工具的系统时钟同步,使得时钟374所输出的时间值对应于由该系统时钟输出的系统时间值和/或所控制的系统操作。可配置时钟374以在一特定工艺操作发生时起始该时间值的输出。例如,电子电路系统中枢116可包括一加速度计375,其在工艺监测装置100停止移动时触发时钟374开始输出该时间值。因此,该时间值可提供有关何时工艺监测装置100被加载到特定基板处理工具腔室中的信息。
工艺监测装置100的电子电路系统中枢116可包括安装在基板302上的处理器376。处理器376可经操作耦合(例如通过总线377和/或迹线114/115来电连接)至一或更多传感器219及时钟374。处理器376代表一或更多通用处理装置,诸如微处理器、中央处理单元、或类似者。更特定地,处理器376可以是复杂指令集运算(CISC)微处理器、精简指令集运算(RISC)微处理器、极长指令字(very long instruction word,VLIW)微处理器、实施其他指令集的处理器,或实施指令集的组合的处理器。处理器376亦可以是一或更多特殊用途处理装置,诸如专用集成电路(ASIC)、现场可编程门阵列(FPGA)、数字信号处理器(DSP)、网络处理器,或类似者。
处理器376经配置以执行处理逻辑,以供进行本文所述的操作。例如,处理器376可经配置以传送和/或记录传感器219的预先确定位置、时钟374输出的时间值、以及来自传感器219的输出信号。据此,处理器376可经配置以传送和/或记录在处理操作期间发生在基板上的实时处理状况。
处理器376亦可确定要监测哪些传感器219。例如,在一特定工艺操作期间可能不需给定处理状况。如此,来自检测该特定处理状况的传感器219的输出信号就不被传送和/或记录。额外地,对于处理器376或接收来自网络接口装置371的输出信号的外部计算机而言可存取的固件或软件,可提供指令来避免来自传感器219的一或更多输出信号的传送和/或记录。这种实施例有用在于允许在每个工艺监测装置100上形成全部可能的传感器,且之后允许通过提供不同固件/软件来自定义各工艺监测装置100的功能。如此,由于制造每个类型的工艺监测装置100需要单一屏蔽(或屏蔽集),可减少制造成本。
在一些实施例中,电子电路系统中枢116可包括一网络接口装置371。网络接口可通过透过非固态介质的调制电磁辐射的使用来传达数据。网络接口装置371可实施数种无线标准或协议中任意者,包括(但不限于)Wi-Fi(IEEE 802.11族)、WiMAX(IEEE 802.16族)、IEEE 802.20、长期进化(LTE)、Ev-DO、HSPA+、HSDPA+、HSUPA+、EDGE、GSM、GPRS、CDMA、TDMA、DECT、蓝牙、以上的衍生者,还有被指定为3G、4G、5G、等等的任何其他无线协议。处理器376可经由总线377或其他电连接以与网络接口装置371通信。因此,处理器376可操作耦合至网络接口装置以向一外部装置传送来自传感器219的输出信号以及时钟374所输出的时间值。
按照实施例,网络接口装置371通信耦合至传感器219,使得来自传感器219的每一个传感器的输出信号可被发送至网络接口装置371,而不先被一处理器或任何其他组件处理。网络接口装置371可接着传送所述输出信号至外部于工艺监测装置100的一计算装置。如此,由于来自传感器219的输出信号不一定需要在本地处理或存储,实施例可包括具有电子电路系统的工艺监测装置100,该电子电路系统包括电源379及网络接口装置371。替代地,实施例允许来自传感器输出信号的数据在外部装置上经处理或记录。
将处理及存储功能卸载到外部装置有几个好处。首先,装置的功耗降低。因此,由于电容器组、压电弹簧、或类似者可提供足够的电力来传送输出信号,所以可能不需电池。额外地,通过移除不需的组件来降低该电子电路系统的复杂性,提供了更可靠且较不贵的装置。
工艺监测装置100的电子电路系统中枢116可选择地包括安装在基板102上的存储器378。存储器378可包括主存储器(例如只读存储器(ROM)、闪存、动态随机存取存储器(DRAM)诸如同步DRAM(SDRAM)或Rambus DRAM(RDRAM)、等等)、静态存储器(例如闪存、静态随机存取存储器(SRAM)、等等),或辅助存储器(例如数据存储装置)中的一或更多者。处理器376可经由总线377或其他电连接来与存储器378通信。因此,处理器376可操作耦合至存储器378以在存储器378中记录来自传感器219的输出信号以及时钟374输出的时间值。
工艺监测装置100的电子电路系统中枢116可包括安装在基板302上的电源379。电源379可包括电池、电容器组、或其他已知的电力供应器。电源379可透过总线377电连接至工艺监测装置100的一或更多组件,以供电给所连接的组件。例如,电源379可经电连接至传感器219、时钟374、处理器376、或存储器378中一或更多者,以供电给传感器219、时钟374、处理器376、或存储器378中该一或更多者。
工艺监测装置100的电子电路系统中枢116可包括电连接至工艺监测装置100的上述的组件的额外的组件。更特定地,电子电路系统中枢116可包括频率源372(例如宽频率源)或检测器373。频率源372和/或检测器373可被安装在基板102上。频率源372及检测器373可具有关于工艺监测装置100的特定传感器219的特定应用。因此,有关频率源372及检测器373的进一步说明将保留于以下的对应传感器论述。
现参看图4A,其图示按照实施例工艺监测装置100的晶体管传感器类型的传感器219的示意图。在实施例中,工艺监测装置100的一或更多传感器219包括一晶体管传感器219。晶体管传感器219可包括一或更多晶体管(例如金属氧化物半导体场效晶体管(MOSFET))442。MOSFET 442可包括源极444、漏极446与栅极448。晶体管传感器219亦可包括一收集器440。收集器440可经成形以具有一表面,在该表面上可沉积一膜432。在实施例中,膜432可以是在处理操作期间会改变厚度的膜(例如膜厚度在沉积工艺期间将增加,而在蚀刻工艺期间将减少)。据此,实施例包括一收集器440,该收集器是一种对于用以减少膜432的厚度的蚀刻工艺来说抗蚀刻的材质。
在实施例中,收集器440电连接至MOSFET 442。例如,收集器440可透过电迹线414来电连接至MOSFET 442的栅极448。收集器440可实体分离于MOSFET 442,然而子组件可彼此电连接。因此,即使当收集器440位于与MOSFET 442间隔开的一预定位置处时,MOSFET442可经配置以检测收集器440上的膜432的厚度的增大或减小。
在实施例中,收集器440可包括由一外边缘443限定的轮廓线。当在朝下的方向观看外边缘443时其形状可能是圆形、长方形、或任何其他形状。此外,收集器440可以是平坦的(即,收集器440可具有实质上平坦的上表面),或者收集器440可具有如图4A所示的锥形上表面。在实施例中,收集器440不是与MOSFET 442分离的结构,却反而是并入MOSFET 442中。例如,收集器440可以是MOSFET 442的栅极448上的一收集区域。
在实施例中,晶体管传感器219的输出信号可以是跨栅极448所测得的MOSFET 442的阈值电压。该阈值电压可直接地对应于收集器440上的膜432的厚度。例如,该阈值电压可在收集器440上没有膜432时具有一第一值,而该阈值电压可在收集器440上有膜432时具有一第二值(不同于该第一值)。因此,MOSFET 442的阈值电压可响应于收集器440上的膜432的厚度而改变。处理器376可经配置以检测阈值电压中的改变,并因此工艺监测装置100能注意到晶体管传感器219的位置处膜432的厚度的改变。额外实施例可包括通过网络接口装置371传送该输出信号(即该阈值电压)至一外部计算装置。
现参看图4B,其图示按照实施例的工艺监测装置100的共振器类型传感器219的示意图。在实施例中,工艺监测装置100的一或更多传感器219包括共振器类型传感器219。共振器传感器219可以是适用的共振质量传感器,诸如石英晶体微天平(QCM)、表面声波(SAW)、或膜体声波共振器(FBAR),以上皆为已知用以量化沉积在其表面的膜432的累积质量。在此不提供共振器的复杂性及多变性的说明而有利于简化的说明内容,以达精简的目的并易于了解。共振器传感器219可形成在一或更多传感器组108中在遍及基板102的支撑面104或在可替换层105(若有的话)上的预定位置处。各共振器传感器219可具有一特性频率(例如共振频率),如本领域所已知的。例如,不描述太详细,共振器传感器219可通过图4B中显示的简单质量弹簧系统来代表。共振器传感器219的特性频率可与共振器传感器219的质量M成反比。例如,该特性频率可与该微共振器系统的sqrt(k/M)成正比,其中“M”对应于质量M而“k”对应于共振器传感器219的一比例常数。因此,将了解当共振器传感器219上的膜432厚度改变时,该特性频率偏移。据此,可在膜432的沉积或蚀刻期间监测膜432的厚度。
现参看图4C,其图示按照实施例的工艺监测装置100的共振器类型传感器219的示意图。可使用的一种示例性类型共振器传感器219是一微电机系统(MEMS)共振质量传感器,诸如热致动高频单晶硅共振器。这种共振器类型传感器219可经利用单一屏蔽工艺作为个别装置或阵列来制造在支撑面104上或可替换层105上。共振器传感器219可包括两衬垫450,该两衬垫在一对称平面452的两侧上。可在两衬垫450之间传递一波动电流来导致在电流路径中的交流(AC)欧姆损耗组件。在实施例中,大部分的欧姆损耗发生在互连所述衬垫450的薄柱部454中。薄柱部454可位于中心且在衬垫450之间以正交于对称平面452的方向延伸。在柱部454中产生的波动温度可致使AC力和柱部454中的交替热应力,以在一平面中共振模式下致动共振器传感器219。在该平面中共振模式下,衬垫450具有在相对方向震动的质量“M”。因此在共振时,共振器传感器219包括震动衬垫450的特性频率,且柱部454的电阻经一交替机械应力所调制,该机械应力由压阻效应所致。据此,在共振器传感器219中有对应于特性频率的可检测小信号运动电流。
为了检测在共振器传感器219的特性频率的偏移,频率源372及检测器373可被并入工艺监测装置100的电子电路系统113/116中。频率源372可以是被用来激发共振器传感器219的一宽频率源。检测器373可监测共振器传感器219的特性频率以及检测该特性频率的改变。例如,检测器373可向处理器376输出对应于该特性频率(例如输出电压或电流)的信号。处理器376可经配置以接收该输出电压并识别该特性频率的变化。因此,当该输出电压中有改变和/或当共振器传感器219的特性频率改变时,工艺监测装置100能随膜432的厚度中的改变而注意到该改变。膜432的厚度改变的时间及位置亦可被记录下来,以在整个该处理操作期间提供膜432于一特定位置处厚度的改变的工艺监测。例如,随着共振器传感器219的质量M增加(例如随着膜432的厚度增加),该特性频率将往下偏移,允许工艺监测装置100捕捉到膜厚度增加的历史。替代地,当处理器及存储器不被包括在工艺监测装置100中时,该输出信号可通过网络接口装置371被传送到一外部计算装置,以提供该处理操作的实时工艺监测。
尽管本说明书中提供了示例性晶体管传感器及共振传感器,将理解可使用任何传感器来监测在处理操作期间的不同处理状况。按照各种实施例,任何能够产生输出信号(例如输出电压、输出电流、频率响应、时间测量结果、或类似者)的传感器可被使用当作传感器组108中的传感器219,该输出信号对应于一处理状况(例如膜厚度、粒子的有无、质量、基板温度、夹头温度、表面电荷、磁场强度、特定气体浓度、等离子体的EEDF、VDC、或类似者)。如此,实施例允许实时监测在基板上及在处理站中的处理状况。
按照实施例,可结合于任何处理站来使用工艺监测装置100。在一些实施例中,一基板处理工具中可包括一或更多处理站。图5中图示了按照实施例的示例性基板处理工具560的平面视图。基板处理工具560可包括一缓冲室562,该缓冲室通过一或更多装载闸566实体连接至一工厂接口564。工厂接口564可以容纳用来在制造厂房中的工具间运输基板的一或更多个前开式晶片传送盒(FOUP)565。在工艺监测装置100所具有的形状因子类似于制造基板的形状因子的实施例中,可使用相同的装备(例如FOUP、基板传送机械臂(未图示)、等等)以在制造厂房内传输工艺监测装置100。
一或更多处理室568可直接实体连接至缓冲室562或者通过一或更多相应的装载闸(未图示)连接至缓冲室562。缓冲室562可基本上当作维持于低压的中间容积(大于处理室568的对应容积),尽管处于比处理室568内的工艺压力更大的压力。因此,可在制造半导体器件期间在真空(或接近真空)状况下在基板处理工具560的腔室之间移动基板(例如工艺监测装置或制造基板)。可通过包括在基板处理工具560中的各种装置(例如机械手臂、搬运梭、等等)来使能这种移动,所述装置未显示出以免过度复杂化所述图示。
在处理室568中可进行各种制造操作。例如,处理室568中至少一者可以是等离子体蚀刻室、沉积室、光刻工具室、或任何其他半导体工艺工具室。如此,可利用处理室568在真空状况、大气状况、或任何其他压力制度下进行制造程序。工艺监测装置100的各传感器219可经配置以在各种处理室568或任何处理站所实施的处理操作期间感测基板102上一给定处理状况的改变(例如膜厚度、粒子的有无、质量、基板温度、夹头温度、表面电荷、磁场强度、特定气体浓度、等离子体的EEDF、VDC,或类似者)。
基板处理工具560可耦合至一外部计算机或服务器561。外部计算机561可被用来提供将在基板上进行的处理操作的配方、监测整个厂房中基板的流向、及概略地提供自动化制造程序。基板处理工具560可经有线或无线地耦合至外部计算机561。在实施例中,计算机561亦可被并入处理工具560中。在实施例中,计算机561可从对应于腔室工艺的各个腔室568接收输出信号,诸如电压、气流速率、压力设定、或类似者。额外地,计算机561可通过工艺监测装置100的网络接口装置371无线地耦合至工艺监测装置100。
如此,实施例允许在处理操作期间传送基板102上或在处理站中的实时工艺状况到外部计算机561。外部计算机561可经配置以将由工艺监测装置100获得的工艺状况与已记录的处理站设定和/或处理站传感器读数迭合,以提供有关工艺配方的改变如何随处理操作的进行而更改状况(例如膜厚度、粒子的有无、质量、基板温度、夹头温度、表面电荷、磁场强度、特定气体浓度、等离子体的EEDF、VDC、或类似者)的分析。据此,当给定结果被产生时能验证处理配方,或者处理配方可被细化以产生改善的结果(例如跨基板的整个表面具有更均匀或一致结果的工艺)。
现参看图6,其描绘按照实施例的流程图的图示,该图代表在一基板处理站中通过工艺监测装置100来监测基板上的工艺状况的方法中的操作。在操作682,工艺监测装置100被安插到一处理站(例如基板处理工具560的腔室568)中。工艺监测装置100可具有上述的结构及组件(例如具有安装于支撑面104上的预定位置处的一或更多传感器219的多个传感器组108、一或更多有图案的特征221、以及用于传送从一或更多传感器219获得的输出信号的网络接口)。传感器219的每一个可经配置以制造一输出信号,该输出信号对应于基板表面上或处理站中的工艺状况。
在操作682,可启动工艺监测装置100上的时钟374并将时钟374与关联于该处理站的时钟同步。例如,可通过工艺监测装置100上的加速度计375来启动时钟374,该加速度计检测往零移动的减速。将工艺监测装置100上的时钟374与处理站所关联的时钟同步允许来自处理室的数据与来自工艺监测装置100的数据迭合。
在操作684,起始用以处理工艺监测装置100的一处理操作。例如,基板处理站可从外部计算机561接收一工艺配方。在实施例中,处理配方可能用于沉积工艺、蚀刻工艺、曝光工艺、或用于在基板上制造装置的任何其他处理操作。按照实施例,该处理操作可能是将被用在经后续处理的制造基板上的处理操作,或者是属于被用来开发新的工艺配方或者改良一处理步骤的DOE的一部份。例如,通过执行包括VDC的改变的一工艺配方以及监测表面温度如何响应于VDC的改变而改变,能确定VDC及表面温度之间的关系。
在操作686,工艺监测装置100可从形成在基板102上的一或更多传感器219获得输出信号。按照实施例,所述输出信号可对应于在处理操作期间基板102上或是处理站中的一处理状况。例如,所述输出信号可对应于膜厚度、粒子的有无、质量、基板温度、夹头温度、表面电荷、磁场强度、特定气体浓度、等离子体的EEDF、VDC,或类似者。在实施例中,所述输出信号可以是输出电压、输出电流、频率、时间测量结果,或类似者。在实施例中,在处理操作期间可持续获得所述输出信号。替代实施例可包括在预定间隔(例如每半秒钟、每秒钟、甚至五秒钟、等等)获得。
在操作688,接收来自工艺监测装置100的输出信号。在实施例中,可从工艺监测装置100上的网络接口装置371接收输出信号。例如,外部计算机561可从网络接口装置371通过无线信号接收输出信号。因此,可获得工艺状况中的变化的实时分析。额外地,所述输出信号可与从处理工具560上的传感器获得的数据迭合,以确定工艺配方的变化如何改变基板。在工艺监测装置上包括了处理器376及存储器378的替代实施例可将所述输出信号记录到存储器378。在完成处理操作之后,存储在存储器378上的信息可被下载至外部计算机561以供分析。
按照一额外实施例,使用工艺监测装置100的程序可包括翻新工艺监测装置100。图7图示了表示在这种程序中的操作的流程图。在操作792,可在一工艺监测装置的表面104(或可替换层105)上形成第一多个传感器219。该第一多个传感器可大致类似于上述的传感器219,并可通过典型半导体制造工艺来形成,诸如本领域已知的沉积及蚀刻工艺。
在操作794可在一处理站(例如处理工具560的处理室568)中处理工艺监测装置100一或更多次。在实施例中,可处理工艺监测装置100预定次数,该次数对应于第一多个传感器219的期望可用寿命。第一多个传感器219的期望可用寿命可部分地取决于在工艺监测装置100上进行的处理操作的类型。替代地,工艺监测装置100可经历定期检测以验证传感器219是否仍可运作。一旦传感器被认为不可运作(即经足够损坏使得再亦无法获得可靠的数据),或者已经进行了预定次数的处理操作,则可翻新工艺监测装置100。额外实施例可包括当需要新类型的传感器时、当需要重新制造或改变有图案的特征221时、或是为了任何其他原因来翻新工艺监测装置100。
在操作796,可从工艺监测装置100移除第一多个传感器219。在实施例中,可在不破坏或移除工艺监测装置100上的电路系统113/116或互联线114/115的情况下移除第一多个传感器219。例如,电路系统113/116及互联线114/115可经嵌入基板102内,或者可抵抗用以移除第一多个传感器219的蚀刻工艺。实施例亦可包括当已移除第一多个传感器219时移除可替换层105。
在操作798,可在工艺监测装置100上形成第二多个传感器219。在实施例中,第二多个传感器219可具有与第一多个传感器219相同类型和/或数量的传感器。或者在第二多个传感器219中可包括新的传感器类型。第二多个传感器219可被形成在第一多个传感器219之前所在的位置以最小化或消除形成到工艺监测装置100的电路系统113/116的新的电互联线114/115和/或通孔117的需要。在包括了可替换层105的实施例中,可在形成第二多个传感器219之前先在支撑面104上形成一第二可替换层105。据此,工艺监测装置100可有延长的可用寿命,或者由于能翻新工艺监测装置100而可升级。
现参看图8,其图示按照实施例的基板处理工具560的示例性计算机系统561的框图。所图示计算机系统561的一或更多组件可被用于工艺监测装置100的电子电路系统113/116中。此外,基板处理工具560可并有计算机系统561。在实施例中,计算机系统561经耦合至且控制机器人、装载闸、处理室,及基板处理工具560的其他组件。如上述,计算机系统561亦可以为基板处理工具560提供一系统日志文件。计算机系统561亦可接收及分析从工艺监测装置100获得的输出信号。即,可在基板处理工具560中实施计算机系统561来控制晶片制造工艺的工艺操作、生成一日志文件来记录有关该工艺的次数及动作,以及比较工艺监测装置100记录的数据日志文件以确定处理状况的变化如何改变工艺监测装置100的表面上的处理状况。
计算机系统561可经连接(例如连网)至局域网络(LAN)、内部网络、外部网络、或因特网中的其他机器。计算机系统561可以客户端-服务器(client-server)网络环境中的服务器或客户端机器的能力操作,或作为对等(或分布式)网络环境中的对等机器(peermachine)。计算机系统561可以是个人计算机(PC)、平板PC、机顶盒(STB)、个人数字助理(PDA)、蜂窝电话、网络家电、服务器、网络路由器、切换器或网桥、或任何能够执行指令集(连续或以其它方式)的机器,该指令集指定将由该机器所采取的动作。进一步,尽管针对计算机系统561仅图示单一机器,但“机器”一词应被认为包括机器(例如计算机)的任何集合,所述计算机个别地或一起执行一个指令集(或多个指令集)来进行本文所述方法中的一或更多者。
计算机系统561可包括具有非瞬时机器可读取介质的计算机程序产品(或软件822),该非瞬时机器可读取介质具有指令存储于其上,可利用所述指令来编程计算机系统561(或其他电子装置)以按照实施例来进行一工艺。机器可读取介质包括用于以一机器(例如计算机)可读取的形式来存储或传送信息的任何机制。举例而言,机器可读取(例如计算机可读取)介质包括机器(例如计算机)可读取存储介质(例如只读存储器(“ROM”)、随机存取存储器(“RAM”)、磁盘存储介质、光学存储介质、闪存装置、等等)、机器(例如计算机)可读取传输介质(电性、光学、声音或其他形式的传输信号(例如红外信号、数字信号等等))、等等。
在实施例中,计算机系统561包括系统处理器802、主存储器804(例如只读存储器(ROM)、闪存、动态随机存取存储器(DRAM)诸如同步DRAM(SDRAM)或Rambus DRAM(RDRAM)、等等)、静态存储器806(例如闪存、静态随机存取存储器(SRAM)、等等)、及辅助存储器818(例如数据存储装置),以上经由一总线830彼此通信。
系统处理器802代表一或更多个通用处理装置,诸如微系统处理器、中央处理单元,或类似者。更特定地,该系统处理器可以是复杂指令集计算(CISC)微系统处理器、精简指令集计算(RISC)微系统处理器、极长指令字(VLIW)微系统处理器、实施其他指令集的系统处理器,或实施指令集的组合的系统处理器。系统处理器802亦可能是一或更多特殊用途处理装置,诸如专用集成电路(ASIC)、现场可编程门阵列(FPGA)、数字信号系统处理器(DSP)、网络系统处理器,或类似者。系统处理器802经配置以执行用于执行上述操作的处理逻辑。
计算机系统561可进一步包括用于与其他装置或机器(例如工艺监测装置100)通信的一系统网络接口装置808。计算机系统561亦可包括一视频显示单元810(例如液晶显示器(LCD)、发光二极管显示器(LED)、或阴极射线管(CRT))、字母数字输入装置812(例如键盘)、光标控制装置814(例如鼠标),及信号生成装置816(例如扬声器)。
辅助存储器818可包括一机器可存取存储介质831(或更特定为计算机可读取存储介质),在机器可存取存储介质831上存储一或更多指令集(例如软件822),该一或更多指令集实现本文中描述的方法论或功能中的任一或更多者。软件822亦可在其被计算机系统561执行期间全部地或至少部分地存在于主存储器804内和/或系统处理器802内,主存储器804及系统处理器802亦构成机器可读取存储介质。可进一步经由系统网络接口装置808在网络820上传送或接收软件822。
尽管在示例性实施例中将机器可存取存储介质831显示为单一介质,然“机器可读取存储介质”一词应被认定为包括存储该一或更多指令集的单一介质或多个介质(例如集中式或分布式数据库,和/或相关联的高速缓存及服务器)。“机器可读取存储介质”一词亦应被认定为包括任何能够存储或编码有指令集的介质,所述指令集供该机器执行且致使该机器进行所述方法中任一或更多者。“机器可读取存储介质”一词因此应被认为包括(但不限于)固态存储器,及光学及磁性介质。
在以上说明书中已描述了特定的示例性实施例。将明白可在未悖离以下权利要求下对所述实施例做出各种修改。本案说明书及附图因此应被认定为有示例性而非限制性。

Claims (15)

1.一种工艺监测装置,包括:
基板;
多个传感器,所述多个传感器形成在所述基板的支撑面上,其中每个传感器能够产生对应于处理状况的输出信号,其中所述多个传感器以多个有序的同心圆分布遍及所述支撑面,其中所述多个有序的同心圆中的较内侧同心圆具有比所述多个有序的同心圆中的较外侧同心圆更少的传感器,并且其中所述多个有序的同心圆中的所述较内侧同心圆的所述传感器全部都与所述多个有序的同心圆的中心相距相同的第一距离,所述多个有序的同心圆中的所述较外侧同心圆的所述传感器全部都与所述多个有序的同心圆的所述中心相距相同的第二距离,所述第二距离大于所述第一距离;及
网络接口装置,所述网络接口装置形成在所述基板上,其中所述多个传感器的每一个传感器通信耦合至所述网络接口装置。
2.如权利要求1所述的工艺监测装置,其中所述网络接口装置被嵌入在所述基板中。
3.如权利要求1所述的工艺监测装置,其中所述传感器的每一个通过电迹线通信耦合至所述网络接口装置。
4.如权利要求1所述的工艺监测装置,其中所述多个传感器分布遍及所述支撑面上的一或更多个区域。
5.如权利要求1所述的工艺监测装置,其中所述多个传感器经分组成为多个传感器组。
6.如权利要求5所述的工艺监测装置,其中每个传感器组包括多于一个传感器,及其中每个传感器组包括一或更多不同类型的传感器。
7.如权利要求6所述的工艺监测装置,其中所述传感器的至少一者是晶体管传感器或共振器传感器。
8.如权利要求5所述的工艺监测装置,其中一或更多个传感器组包括有图案的特征。
9.如权利要求1所述的工艺监测装置,其中所述输出信号为电压、电流、频率、或时间测量结果,且其中所述处理状况包括以下的一或更多者:膜厚度、粒子的有无、质量、基板温度、夹头温度、表面电荷、磁场强度、特定气体浓度、等离子体的电子能量分布函数(EEDF)、或VDC。
10.如权利要求1所述的工艺监测装置,进一步包括:
可替换层,所述可替换层形成在所述支撑面上。
11.一种用于监测基板处理操作的方法,包括:
以处理站起始在工艺监测装置上的处理操作,其中所述工艺监测装置包括多个传感器,所述多个传感器以多个有序的同心圆分布遍及所述工艺监测装置的支撑面,其中所述多个有序的同心圆中的较内侧同心圆具有比所述多个有序的同心圆中的较外侧同心圆更少的传感器,并且其中所述多个有序的同心圆中的所述较内侧同心圆的所述传感器全部都与所述多个有序的同心圆的中心相距相同的第一距离,所述多个有序的同心圆中的所述较外侧同心圆的所述传感器全部都与所述多个有序的同心圆的所述中心相距相同的第二距离,所述第二距离大于所述第一距离,且其中所述传感器产生对应于处理状况的传感器输出;及
在所述处理操作期间接收来自所述工艺监测装置的所述传感器输出。
12.如权利要求11所述的方法,进一步包括:
将所述工艺监测装置上的时钟与关联于所述处理站的时钟同步。
13.如权利要求12所述的方法,进一步包括:
将处理室数据与所述传感器输出迭合。
14.如权利要求11所述的方法,其中所述输出信号为电压、电流、频率、或时间测量。
15.如权利要求11所述的方法,其中所述处理状况包括以下的一或更多者:膜厚度、粒子的有无、质量、基板温度、夹头温度、表面电荷、磁场强度、特定气体浓度、等离子体的电子能量分布函数(EEDF)、或VDC。
CN201680079917.2A 2016-01-28 2016-12-13 实时工艺特性分析 Active CN108604556B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202310473128.9A CN116525489A (zh) 2016-01-28 2016-12-13 实时工艺特性分析

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/009,705 2016-01-28
US15/009,705 US10818561B2 (en) 2016-01-28 2016-01-28 Process monitor device having a plurality of sensors arranged in concentric circles
PCT/US2016/066409 WO2017131878A1 (en) 2016-01-28 2016-12-13 Real time process characterization

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN202310473128.9A Division CN116525489A (zh) 2016-01-28 2016-12-13 实时工艺特性分析

Publications (2)

Publication Number Publication Date
CN108604556A CN108604556A (zh) 2018-09-28
CN108604556B true CN108604556B (zh) 2023-05-02

Family

ID=59387073

Family Applications (2)

Application Number Title Priority Date Filing Date
CN202310473128.9A Pending CN116525489A (zh) 2016-01-28 2016-12-13 实时工艺特性分析
CN201680079917.2A Active CN108604556B (zh) 2016-01-28 2016-12-13 实时工艺特性分析

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN202310473128.9A Pending CN116525489A (zh) 2016-01-28 2016-12-13 实时工艺特性分析

Country Status (5)

Country Link
US (2) US10818561B2 (zh)
JP (2) JP6947734B2 (zh)
CN (2) CN116525489A (zh)
TW (2) TWI747795B (zh)
WO (1) WO2017131878A1 (zh)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7365324B2 (ja) 2015-12-24 2023-10-19 株式会社Fuji 実装装置
US20170221783A1 (en) * 2016-01-28 2017-08-03 Leonard TEDESCHI Self-aware production wafers
CN107424947A (zh) * 2017-08-16 2017-12-01 君泰创新(北京)科技有限公司 薄膜电池工艺设备的温度测试方法及系统
US10763143B2 (en) * 2017-08-18 2020-09-01 Applied Materials, Inc. Processing tool having a monitoring device
US11009538B2 (en) * 2018-02-27 2021-05-18 Applied Materials, Inc. Micro resonator array system
US20220172968A1 (en) * 2020-12-01 2022-06-02 Applied Materials, Inc. In-chamber low-profile sensor assembly
JPWO2022249973A1 (zh) * 2021-05-26 2022-12-01
US20220392811A1 (en) * 2021-06-07 2022-12-08 Taiwan Semiconductor Manufacturing Company Ltd. Method and system for processing wafer
US20230044262A1 (en) * 2021-08-05 2023-02-09 Applied Materials, Inc. Microwave resonator array for plasma diagnostics

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5864773A (en) * 1995-11-03 1999-01-26 Texas Instruments Incorporated Virtual sensor based monitoring and fault detection/classification system and method for semiconductor processing equipment
CN1666314A (zh) * 2002-07-03 2005-09-07 东京电子株式会社 半导体等离子体参数非侵入性测量和分析的方法和设备
CN101996479A (zh) * 2009-08-07 2011-03-30 台湾积体电路制造股份有限公司 制造半导体装置的设备及方法

Family Cites Families (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6244121B1 (en) 1998-03-06 2001-06-12 Applied Materials, Inc. Sensor device for non-intrusive diagnosis of a semiconductor processing system
US6366690B1 (en) * 1998-07-07 2002-04-02 Applied Materials, Inc. Pixel based machine for patterned wafers
US7294563B2 (en) * 2000-08-10 2007-11-13 Applied Materials, Inc. Semiconductor on insulator vertical transistor fabrication and doping process
US6696362B2 (en) 2001-02-08 2004-02-24 Applied Materials Inc. Method for using an in situ particle sensor for monitoring particle performance in plasma deposition processes
US20030115978A1 (en) * 2001-12-20 2003-06-26 Moehnke Stephanie J. Apparatus and method for monitoring environment within a container
US6889568B2 (en) * 2002-01-24 2005-05-10 Sensarray Corporation Process condition sensing wafer and data analysis system
US6830650B2 (en) 2002-07-12 2004-12-14 Advanced Energy Industries, Inc. Wafer probe for measuring plasma and surface characteristics in plasma processing environments
JP3916549B2 (ja) 2002-10-31 2007-05-16 東京エレクトロン株式会社 プロセスモニタ及び半導体製造装置
US6807503B2 (en) 2002-11-04 2004-10-19 Brion Technologies, Inc. Method and apparatus for monitoring integrated circuit fabrication
US7151366B2 (en) 2002-12-03 2006-12-19 Sensarray Corporation Integrated process condition sensing wafer and data analysis system
JP4363860B2 (ja) 2003-02-04 2009-11-11 株式会社日立ハイテクノロジーズ 真空処理装置の異物管理装置及び異物管理方法
ATE371862T1 (de) * 2003-10-28 2007-09-15 Mettler Toledo Ag Thermoanalytischer sensor und verfahren zu dessen herstellung
US7627184B2 (en) 2003-11-21 2009-12-01 Nec Corporation Content distribution/reception device, content transmission/reception method, and content distribution/reception program
US20050225308A1 (en) 2004-03-31 2005-10-13 Orvek Kevin J Real-time monitoring of particles in semiconductor vacuum environment
US20060234398A1 (en) * 2005-04-15 2006-10-19 International Business Machines Corporation Single ic-chip design on wafer with an embedded sensor utilizing rf capabilities to enable real-time data transmission
US8026113B2 (en) * 2006-03-24 2011-09-27 Tokyo Electron Limited Method of monitoring a semiconductor processing system using a wireless sensor network
US7521915B2 (en) 2006-04-25 2009-04-21 Sokudo Co., Ltd. Wafer bevel particle detection
US7555948B2 (en) * 2006-05-01 2009-07-07 Lynn Karl Wiese Process condition measuring device with shielding
US8823933B2 (en) * 2006-09-29 2014-09-02 Cyberoptics Corporation Substrate-like particle sensor
JP5064835B2 (ja) * 2007-02-28 2012-10-31 株式会社アルバック 基板搬送装置
JP5407019B2 (ja) * 2007-08-31 2014-02-05 ラピスセミコンダクタ株式会社 プラズマモニタリング方法
US20090302002A1 (en) * 2008-02-29 2009-12-10 Applied Materials, Inc. Method and apparatus for removing polymer from a substrate
JP2009244174A (ja) * 2008-03-31 2009-10-22 Tokyo Electron Ltd ウェハ型温度計、温度測定装置、熱処理装置および温度測定方法
JP2010048717A (ja) * 2008-08-22 2010-03-04 Tokai Rika Co Ltd 位置検出装置
US8135560B2 (en) * 2009-01-30 2012-03-13 Applied Materials, Inc. Sensor system for semiconductor manufacturing apparatus
JP5434109B2 (ja) * 2009-02-06 2014-03-05 セイコーエプソン株式会社 超音波センサーユニット
JP5399730B2 (ja) * 2009-02-12 2014-01-29 株式会社Kelk センサ付き基板およびセンサ付き基板の製造方法
KR100988872B1 (ko) * 2009-07-08 2010-10-20 주식회사 나노포토닉스 회전 대칭형의 광각 렌즈를 이용하여 복합 영상을 얻는 방법과 그 영상 시스템 및 하드웨어적으로 영상처리를 하는 이미지 센서
US8889021B2 (en) * 2010-01-21 2014-11-18 Kla-Tencor Corporation Process condition sensing device and method for plasma chamber
US8878926B2 (en) * 2010-09-17 2014-11-04 Applied Materials, Inc. Apparatus and method for analyzing thermal properties of composite structures
US8700199B2 (en) * 2011-03-21 2014-04-15 International Business Machines Corporation Passive resonator, a system incorporating the passive resonator for real-time intra-process monitoring and control and an associated method
US8988686B2 (en) * 2011-09-06 2015-03-24 The Procter & Gamble Company Systems, devices, and methods for providing products and consultations
US9360302B2 (en) * 2011-12-15 2016-06-07 Kla-Tencor Corporation Film thickness monitor
US20140262031A1 (en) * 2013-03-12 2014-09-18 Sergey G. BELOSTOTSKIY Multi-mode etch chamber source assembly
US9267781B2 (en) * 2013-11-19 2016-02-23 Infineon Technologies Ag On-axis magnetic field angle sensors, systems and methods
US9636797B2 (en) * 2014-02-12 2017-05-02 Applied Materials, Inc. Adjusting eddy current measurements
US9618588B2 (en) * 2014-04-25 2017-04-11 Infineon Technologies Ag Magnetic field current sensors, sensor systems and methods
CN106164778B (zh) * 2014-04-28 2018-02-09 Asml荷兰有限公司 估计图案形成装置的变形和/或其位置的改变
US10522380B2 (en) 2014-06-20 2019-12-31 Applied Materials, Inc. Method and apparatus for determining substrate placement in a process chamber
KR102236587B1 (ko) * 2014-09-04 2021-04-06 삼성전자주식회사 인덕션 장치 및 온도 조절 방법
US10067070B2 (en) * 2015-11-06 2018-09-04 Applied Materials, Inc. Particle monitoring device

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5864773A (en) * 1995-11-03 1999-01-26 Texas Instruments Incorporated Virtual sensor based monitoring and fault detection/classification system and method for semiconductor processing equipment
CN1666314A (zh) * 2002-07-03 2005-09-07 东京电子株式会社 半导体等离子体参数非侵入性测量和分析的方法和设备
CN101996479A (zh) * 2009-08-07 2011-03-30 台湾积体电路制造股份有限公司 制造半导体装置的设备及方法

Also Published As

Publication number Publication date
JP2021180321A (ja) 2021-11-18
KR20180100071A (ko) 2018-09-06
US10818561B2 (en) 2020-10-27
JP7170099B2 (ja) 2022-11-11
US20170221775A1 (en) 2017-08-03
US11735486B2 (en) 2023-08-22
TW201737379A (zh) 2017-10-16
JP2019508888A (ja) 2019-03-28
WO2017131878A1 (en) 2017-08-03
CN108604556A (zh) 2018-09-28
JP6947734B2 (ja) 2021-10-13
CN116525489A (zh) 2023-08-01
TWI747795B (zh) 2021-11-21
TWI731915B (zh) 2021-07-01
TW202139315A (zh) 2021-10-16
US20210005518A1 (en) 2021-01-07

Similar Documents

Publication Publication Date Title
CN108604556B (zh) 实时工艺特性分析
US10718719B2 (en) Particle monitoring device
JP7288493B2 (ja) マイクロセンサを有するウエハ処理ツール
EP3539151A1 (en) Selective etch rate monitor
KR102655725B1 (ko) 자기-인식 생산 웨이퍼들
KR102660879B1 (ko) 실시간 프로세스 특성화
KR20240055907A (ko) 실시간 프로세스 특성화
US20230184540A1 (en) System for wafer dechucking and health monitoring

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant