KR102655725B1 - 자기-인식 생산 웨이퍼들 - Google Patents

자기-인식 생산 웨이퍼들 Download PDF

Info

Publication number
KR102655725B1
KR102655725B1 KR1020187024659A KR20187024659A KR102655725B1 KR 102655725 B1 KR102655725 B1 KR 102655725B1 KR 1020187024659 A KR1020187024659 A KR 1020187024659A KR 20187024659 A KR20187024659 A KR 20187024659A KR 102655725 B1 KR102655725 B1 KR 102655725B1
Authority
KR
South Korea
Prior art keywords
substrate
self
processing
sensors
awareness
Prior art date
Application number
KR1020187024659A
Other languages
English (en)
Other versions
KR20180100072A (ko
Inventor
레오나르드 테데스키
카르티크 라마스와미
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20180100072A publication Critical patent/KR20180100072A/ko
Application granted granted Critical
Publication of KR102655725B1 publication Critical patent/KR102655725B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • H01L22/34Circuits for electrically characterising or monitoring manufacturing processes, e. g. whole test die, wafers filled with test structures, on-board-devices incorporated on each die, process control monitors or pad structures thereof, devices in scribe line
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/24Optical enhancement of defects or not directly visible states, e.g. selective electrolytic deposition, bubbles in liquids, light emission, colour change
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement

Abstract

실시예들은, 자기-인식 기판, 및 자기-인식 기판을 활용하기 위한 방법들을 포함한다. 일 실시예에서, 자기-인식 기판을 프로세싱하는 방법은, 자기-인식 기판 상에서 프로세싱 동작을 개시하는 단계를 포함할 수 있다. 프로세싱 동작은, 생산 기판 상에서의 기능 디바이스들의 제조에서 사용되는 임의의 프로세싱 동작일 수 있다. 방법은, 자기-인식 기판 상의 하나 이상의 센서들로부터 출력 신호들을 수신하는 단계를 더 포함할 수 있다. 일부 실시예들에서, 하나 이상의 센서들은, 기판의 비-생산 구역들 상에 형성된다. 방법은, 출력 신호들을 하나 이상의 프로세싱 조건들과 연관된 엔드포인트 기준들과 비교하는 단계를 더 포함할 수 있다. 예컨대, 엔드포인트 기준들은, 막 두께와 같은 프로세싱 조건들과 연관될 수 있다. 방법은, 엔드포인트 기준들이 충족될 때 프로세싱 동작을 종료하는 단계를 더 포함할 수 있다.

Description

자기-인식 생산 웨이퍼들
본 출원은, SELF-AWARE PRODUCTION WAFERS이라는 명칭으로 2016년 1월 28일자로 출원된 미국 정규 특허 출원 제15/009,692호를 우선권으로 주장하며, 상기 미국 정규 특허 출원은 모든 목적들을 위해 그 전체가 인용에 의해 본원에 포함된다.
실시예들은 반도체 프로세싱 분야에 관한 것으로, 특히, 생산 기판에 대한 프로세싱을 실시간으로 특성화하기 위한 디바이스들 및 방법들에 관한 것이다.
증착률 및 제거율은 통상적으로, 주어진 시간량 동안 기판을 프로세싱한 다음, 증착되거나 제거된 막의 양을 막 두께 측정 툴(예컨대, 엘립소미터(ellipsometer))을 사용하여 측정함으로써 측정된다. 이러한 기법이 갖는 문제는, 프로세스의 최종 결과만이 결정될 수 있다는 것이다. 그러므로, 프로세싱 과정 동안의 막에 대한 실시간 변화들이 결정될 수 없다. 일부 경우들에서, OES(optical emission spectroscopy)의 사용은, 플라즈마에 대한 일부 실시간 정보를 제공할 수 있지만, 플라즈마가 기판의 표면에 미치는 영향을 결정하는 능력이 여전히 부족하다. 부가적으로, OES는 원격 플라즈마들과 함께 사용하기에 적절하지 않다.
부가적으로, 생산 기판들(예컨대, 반도체 표면 상에 복수의 다이들을 형성하도록 프로세싱되고 있는 웨이퍼들)에서, 프로세싱이 적절한 규격들로 수행되었다는 것을 보장하기 위해 계측(metrology)이 종종 수행된다. 계측이, 규격들이 충족되지 않았다는 것을 나타내면, 층(layer)은 재작업될 필요가 있을 수 있다. 높은 수율을 산출하기 위해, 여러 중요 동작들 이후에 계측이 수행될 필요가 있을 수 있다. 부가적인 계측 및 재작업은 각각의 기판의 스루풋을 감소시키고 그리고 각각의 디바이스를 생산하는 전체 비용을 증가시킨다.
실시예들은, 자기-인식(self-aware) 기판, 및 자기-인식 기판을 활용하기 위한 방법들을 포함한다. 일 실시예에서, 자기-인식 기판을 프로세싱하는 방법은, 자기-인식 기판 상에서 프로세싱 동작을 개시하는 단계를 포함할 수 있다. 프로세싱 동작은, 생산 기판 상에서의 기능 디바이스들의 제조에서 사용되는 임의의 프로세싱 동작일 수 있다. 방법은, 자기-인식 기판 상의 하나 이상의 센서들로부터 출력 신호들을 수신하는 단계를 더 포함할 수 있다. 일부 실시예들에서, 하나 이상의 센서들은, 기판의 비-생산 구역들 상에 형성된다. 예컨대, 비-생산 구역들은 쏘-스트리트(saw-street)들일 수 있다. 그러므로, 기능 디바이스들이 로케이팅(locate)될 수 없는 구역들만을 센서들이 점유하기 때문에 기판의 수율이 감소되지 않는다. 방법은, 출력 신호들을 하나 이상의 프로세싱 조건들과 연관된 엔드포인트(endpoint) 기준들과 비교하는 단계를 더 포함할 수 있다. 예컨대, 엔드포인트 기준들은, 막 두께와 같은 프로세싱 조건들과 연관될 수 있다. 방법은, 엔드포인트 기준들이 충족될 때 프로세싱 동작을 종료하는 단계를 더 포함할 수 있다.
일부 실시예들에서, 자기-인식 기판은, 기판의 지지 표면 위의 비-생산 구역들 상에 형성된 복수의 센서들을 갖는 기판을 포함할 수 있다. 기판의 지지 표면 상에 하나 이상의 생산 구역들이 형성될 수 있다. 예컨대, 생산 구역들은, 다이 구역들 또는 디스플레이 구역들을 포함할 수 있다. 일 실시예에 따르면, 각각의 센서는, 프로세싱 조건에 대응하는 출력 신호를 생성하는 것이 가능하다. 예컨대, 출력 신호들은, 전압들, 전류들, 주파수들, 및/또는 시간 측정들을 포함할 수 있다. 프로세싱 조건들은, 막 두께, 입자의 존재 또는 부재, 질량, 기판 온도, 척(chuck) 온도, 표면 전하, 자기장 강도, 특정 가스 농도, 플라즈마의 전자 에너지 분포 함수(EEDF; electron energy distribution function), 또는 VDC(voltage direct current) 중 하나 이상을 포함할 수 있다. 부가적으로, 실시예들은, 기판 상에 형성된 네트워크 인터페이스 디바이스를 포함하는 자기-인식 센서를 포함한다. 복수의 센서들 각각은, 하나 이상의 비아(via)들에 의해 네트워크 인터페이스 디바이스에 통신가능하게 커플링될 수 있다. 일 실시예에서, 네트워크 인터페이스 디바이스는, 기판의 캐비티(cavity)에 형성될 수 있다.
위의 개요는 모든 실시예들의 완전한 리스트를 포함하지 않는다. 위에서 요약된 다양한 실시예들의 모든 적합한 조합들뿐만 아니라, 아래의 상세한 설명에서 개시되고, 본 출원과 함께 제출되는 청구항들에서 특히 지적되는 것들로부터 실시될 수 있는 모든 시스템들 및 방법들이 포함된다는 것이 고려된다. 그러한 조합들은 위의 요약에서 구체적으로 기재되지 않은 특정한 이점들을 갖는다.
도 1a는 실시예에 따른, 전기 회로 및 복수의 센서들을 포함하는 기판의 최하부 표면의 예시이다.
도 1b는 실시예에 따른, 다이 위치들 사이의 비-생산 구역들 내의 센서 위치들을 도시하는 기판의 상부 표면의 예시이다.
도 1c는 실시예에 따른, 센서 패드들을 기판의 두께를 통해 최하부 표면 상의 전기 회로에 연결하기 위한 관통 비아(through via)들을 포함하는 기판의 횡단면도를 도시하는 예시이다.
도 2a는 실시예에 따른, 센서 패드 상에 형성된 센서를 갖는 기판의 부분 횡단면도를 도시하는 예시이다.
도 2b는 실시예에 따른, 기판 위에 형성된 복수의 BEOL(back-end-of-line) 층들을 도시하는 예시이며, 기판은, BEOL 층들 위에 형성된 제2 센서를 갖는다.
도 3은 실시예에 따른, 자기-인식 기판 상에 장착된 전자 회로의 예시이다.
도 4a-4c는 실시예에 따른, 자기-인식 기판에 포함될 수 있는 센서들의 예시들이다.
도 5는 실시예에 따른, 기판 프로세싱 툴의 챔버 내에 배치되는 자기-인식 기판의 예시이다.
도 6은 실시예에 따른, 프로세스의 실시간 모니터링을 제공하기 위한 방법의 동작들을 표현하는 흐름도의 예시이다.
도 7은 실시예에 따른, 제2 프로세싱 동작에서 사용될 프로세스 레시피(recipe)를 조정하기 위해 제1 프로세싱 동작으로부터의 센서 출력 신호들을 활용하는 방법의 동작들을 표현하는 흐름도의 예시이다.
도 8은 실시예에 따른, 자기-인식 기판과 함께 사용될 수 있는 예시적인 컴퓨터 시스템의 블록도를 예시한다.
기판 상의 프로세싱 조건을 실시간으로 모니터링하기 위해 사용되는 디바이스들 및 방법들이 다양한 실시예들에 따라 설명된다. 다음의 설명에서, 실시예들의 철저한 이해를 제공하기 위해 다수의 특정 세부사항들이 기재된다. 실시예들이 이들 특정 세부사항들 없이도 실시될 수 있다는 것이 당업자에게 명백할 것이다. 다른 경우들에서, 실시예들이 불필요하게 불명료해지지 않도록, 잘-알려진 양상들은 상세히 설명되지 않는다. 또한, 첨부된 도면들에 도시된 다양한 실시예들이 예시적인 표현들이고, 반드시 실척대로 도시된 것이 아니라는 것이 이해되어야 한다.
기판 상의 프로세싱 동작들이 적절히 수행되었음을 검증하기 위한 기존의 기법들은 시간 소모적이고 비용이 많이 든다. 예컨대, 증착되는 막의 두께가 검증될 필요가 있을 때, 기판은 증착 챔버로부터 제거되어 상이한 툴을 사용하여 분석될 필요가 있다. 예컨대, 증착 프로세스에 의해 획득되는 최종 막 두께를 결정하기 위해 엘립소미터와 같은 계측 툴이 사용될 수 있다.
이러한 통상적인 검증 프로세스는 몇몇 단점들을 갖는다. 첫째, 프로세스 검증은 하나 초과의 툴을 사용한다. 부가적인 계측 툴은 제조 설비 내의 값비싼(valuable) 공간을 차지한다. 부가적으로, 다수의 툴들의 사용은 부가적인 기판 운반 동작들을 발생시키며, 그에 따라, 프로세스를 검증하는 데 필요한 시간을 증가시킨다. 둘째, 프로세스 검증은, 프로세스가 완료된 후에만 막의 두께를 결정할 수 있다. 그러므로, 증착 프로세스에 에러가 존재한다면(예컨대, 막이 너무 두껍거나 너무 얇음), 기판은 재작업될 필요가 있을 수 있다. 기판을 재작업하기 위한 부가적인 시간은 스루풋을 감소시키며, 그에 따라, 디바이스의 전체 비용을 증가시킨다.
따라서, 실시예들은, 프로세싱 동작의 실시간 분석을 제공할 수 있는 센서들을 갖는 기판들을 포함한다. 그러므로, 실시예들은, 비용이 많이 드는 계측 장비에 대한 필요성을 제거하고, 프로세싱 동작들 동안 기판 표면 상의 그리고 프로세싱 스테이션 내의 조건들의 실시간 분석을 허용한다. 기판 상의 센서들은, 막이 증착 또는 에칭되고 있는 동안 동안 막의 두께가 결정되는 것을 허용한다. 프로세싱 동안 막의 두께를 아는 것은, 수율 및 스루풋을 증가시키는 장점들을 제공한다.
이전의 막 증착(또는 에칭) 프로세스들이 프로세싱 동작 동안 변경되지 않는 프로세스 레시피를 활용하는 반면, 본원에 설명된 실시예들은 프로세스 레시피에 대한 동적 변경들을 허용한다. 예컨대, 프로세싱 동안 주어진 시점에서의 막의 두께가 막의 원하는 타겟(target) 두께와 비교될 수 있다. 증착 프로세스에서, 프로세스 레시피가 완료되었다고 가정한 이후에 막이 너무 얇으면, 레시피는, 원하는 두께에 도달할 때까지 증착 프로세스의 길이를 증가시키도록 실시간으로 조정될 수 있다. 유사하게, 프로세스 레시피가 완료되기 전에 원하는 두께에 도달하면, 프로세스 레시피는, 기판을 재작업할 필요성을 피하기 위해 조기에 종료되도록 조정될 수 있다. 부가적으로, 후속 프로세싱 레시피는, 원하는 타겟 값으로부터의 막 두께의 편차를 처리하도록 수정될 수 있다. 예컨대, 제1 프로세스에서 막이 원하는 것보다 큰 두께로 증착되면, 제2 프로세스(예컨대, 에칭 프로세스)는 에칭 시간을 증가시키도록 조정될 수 있다.
또한, 실시예들은, 제조 프로세스에서 더 조기에 제조 에러들을 포착하는 능력을 제공한다. 예컨대, 일부 디바이스 층들은, 높은 표면 전하들, 온도들, 고강도 자기장들에 대한 노출 등에서의 손상에 취약할 수 있다. 그러나, 현재 계측 장비는 프로세싱 동작이 완료된 이후에만 검사를 허용하며, 이러한 타입의 손상은 심지어 검출가능하지 않을 수 있다. 대조적으로, 본원에 설명된 실시예들은, 프로세싱 동작 동안 최대 임계치가 통과되는지를 결정하기 위해 이러한 중요 파라미터들을 모니터링하도록 설계된 하나 이상의 센서들을 포함할 수 있다. 예컨대, 막 두께, 입자들의 존재 또는 부재, 질량, 기판 온도, 척 온도, 표면 전하, 자기장 강도, 특정 가스 농도, 플라즈마의 전자 에너지 분포 함수(EEDF), VDC 등의 변화들을 모니터링하는 데 사용되는 센서들이 기판 상에 형성될 수 있다. 부가적으로, 상이한 프로세싱 동작들에 대해 상이한 센서들을 제공하기 위해 프로세싱 동작들 사이에 센서들이 부가되거나 제거될 수 있다. 그러므로, 센서들의 선택은, 각각의 프로세싱 동작에 대한 필요한 정보만을 검출하도록 맞춤조정될 수 있다.
아래에 설명되는 자기-인식 기판 및 방법들이 실시간 프로세스 모니터링이 유익한 임의의 폼 팩터(form factor) 또는 프로세스에 사용될 수 있다는 것이 이해될 것이다. 더 상세하게는, 자기-인식 기판들 및 방법들이 집적 회로들의 제조에 대한 웨이퍼 프로세싱에 대해 설명되지만, 디바이스들 및 방법들은 다른 기술들, 이를테면 전자 산업의 디스플레이들 및/또는 솔라(solar) 산업의 광발전 셀(photovoltaic cell)들에서의 사용에 또한 적응될 수 있다.
이제 도 1a를 참조하면, 자기-인식 기판(100)의 후면측 표면(103)의 예시가 실시예에 따라 도시된다. 자기-인식 기판(100)은, 전체 폼 팩터 및/또는 반도체 웨이퍼와 동일한 재료 및 형상을 갖는 기판(102)을 포함할 수 있다. 일 실시예에서, 기판(102)은, 적어도 부분적으로 반도체 재료로 구성될 수 있다. 예컨대, 기판(102)은, 결정질 실리콘 재료, 결정질 III-V 반도체 재료, SOI(silicon-on-insulator) 등일 수 있다. 또한, 기판(102)은, 본질적으로 디스크-형상이고 직경(106)을 갖는 웨이퍼 폼 팩터를 가질 수 있다. 기판(102)은, 두께(109)(도 1c에 예시된 자기-인식 기판(100)의 횡단면 예시에 도시됨)를 가질 수 있다. 실시예에서, 기판(102)의 웨이퍼 폼 팩터는, 95 내지 455 mm의 직경(106)을 포함한다(예컨대, 직경(106)은 공칭적으로(nominally) 100 mm, 200 mm, 300 mm, 또는 450 mm일 수 있음). 또한, 기판(102)의 웨이퍼 폼 팩터는, 1mm보다 작은 두께(109)(예컨대, 525 ㎛, 775 ㎛, 또는 925 ㎛)를 포함할 수 있다. 두께(109)는 또한 1 mm보다 클 수 있다(예컨대, 수 밀리미터 내지 최대 10 mm). 따라서, 자기-인식 기판(100)은, 용이하게 이용가능한 웨이퍼 재료들 및 통상적인 웨이퍼 제조 프로세스들 및 장비를 사용하여 제조될 수 있으며, 웨이퍼 프로세싱 툴에서 프로세싱될 때 반도체 웨이퍼를 본질적으로 시뮬레이팅할 수 있다. 부가적인 실시예에 따르면, 기판(102)은, 기판 프로세싱 툴에서 통상적으로 프로세싱되는 임의의 타입의 기판의 폼 팩터를 가질 수 있다. 예컨대, 디스플레이 기술들(예컨대, 박막 트랜지스터(TFT) 기반 디스플레이들)에서 사용되는 유리 패널들이 또한 기판(102)으로서 사용될 수 있다.
자기-인식 기판(100)은, 기판(102) 상에 형성되는 전기 회로(113)의 하나 이상의 구역들을 포함할 수 있다. 자기-인식 기판(100)의 전기 회로(113)는, 기판(102)의 지지 표면(104) 상에 형성되는 하나 이상의 센서 패드들(118)에 통신가능하게 커플링될 수 있다. 전기 회로(113)는, 전기 회로(113)가 기판(102)의 후면측 표면(103) 상에 형성되지 않을 수 있음을 표시하기 위해 파선으로 예시된다. 예컨대, 전기 회로(113)는, 아래에서 더 상세히 설명될 바와 같이, 기판(102) 내에 임베딩(embed)될 수 있다. 실시예에 따르면, 전기 회로(113)는, 비아들에 의해 센서 패드들(118)에 전기적으로 커플링될 수 있다.
예시된 실시예에서, 각각의 센서 패드(118)는 전기 회로(113)와 페어링(pair)된다. 부가적인 실시예들에 따르면, 하나 초과의 센서 패드(118)가 전기 회로(113)의 각각의 구역과 페어링될 수 있다. 부가적으로, 실시예들은, 전자 회로 허브(116)를 포함할 수 있다. 전자 회로 허브(116)는, 유선 또는 무선 연결들로 전기 회로(113)의 개별 구역들 각각에 통신가능하게 커플링될 수 있다. 예컨대, 기판(102) 내에 임베딩된 전기 트레이스(trace)(114)가 전기 회로(113)의 하나 이상의 구역들을 전자 회로 허브(116)와 직렬로 연결할 수 있거나, 전기 회로(113)의 하나 이상의 구역들은 개개의 전기 트레이스들(115)에 의해 전자 회로 허브(116)와 병렬로 연결될 수 있다. 따라서, 전기 트레이스들, 전기 리드(lead)들, 비아들, 및 다른 알려진 타입들의 전기 커넥터들을 사용하여, 센서 패드들(118) 사이에 전기 연결들이 이루어질 수 있고 그리고/또는 센서 패드들(118)이 전자 회로 허브(116)에 연결될 수 있다.
이제 도 1b를 참조하면, 자기-인식 기판(100)의 지지 표면(104)의 예시가 실시예에 따라 도시된다. 예시된 바와 같이, 하나 이상의 센서 패드들(118)이 미리결정된 위치들에서 지지 표면(104) 상에 제조될 수 있다. 실시예에서, 복수(예컨대, 수십 개 내지 수백만 개)의 센서 패드들(118)이 지지 표면(104) 위에 구축 또는 배치될 수 있다. 각각의 센서 패드(118)는 알려진 위치를 가질 수 있다. 예컨대, 제1 센서 패드(118)는 제1 위치(110)에 로케이팅될 수 있고 그리고 제2 센서 패드(118)는 제2 위치(112)에 로케이팅될 수 있다. 제2 위치(112)는, 제1 위치(110)에 대해 또는 자기-인식 기판(100) 상의 몇몇 다른 기준 지점들에 대해 알려진 포지션을 가질 수 있다.
센서 패드들(118)은, 지지 표면(104)에 걸쳐 무작위로 분포되거나 미리결정된 패턴으로 배열될 수 있다. 무작위 분포가 사용될 때, 센서 패드(118) 각각의 절대적 또는 상대적 위치들은 여전히 미리결정되고 알려져 있을 수 있다. 실시예에서, 센서 패드들(118)에 사용되는 미리결정된 패턴들은, 그리드(grid) 패턴, 동심원 패턴, 나선 패턴 등을 포함할 수 있다. 예컨대, 도 1b에 도시된 센서 패드들(118)은 비-생산 구역들(122)을 따라 지지 표면(104)에 걸쳐 분포된다. 일부 반도체 제조 프로세스들에서, 비-생산 구역들(122)은, 생산 구역들(예컨대, 다이 구역들, 디스플레이 구역들 등)(109)이 로케이팅되지 않는 기판(102)의 구역들일 수 있다. 집적 회로 다이들(예컨대, 로직, 메모리 등)의 제조에서, 비-생산 구역들(122)은 쏘-스트리트들 또는 스크라이브 라인(scribe line)들로 지칭될 수 있다. 비-생산 구역들(122)은, 프로세싱이 완료된 후에 기판으로부터 생산 구역들(109) 상에 형성된 개별 다이를 싱귤레이팅(singulate)하는 데 다이싱 블레이드(dicing blade) 또는 스코어링 블레이드(scoring blade)가 사용될 수 있는 구역을 제공한다. 따라서, 비-생산 구역들(122)을 따라 센서 패드들(118)을 형성하는 것은, (센서 패드들(118)이 점유하지 않을 경우에는) 기능 디바이스들을 형성하는 데 사용될 수 있는 값비싼 부지(real estate)를 점유하지 않는다. 따라서, 비-생산 구역들(122)을 따라 센서 패드들(118)을 형성하는 것을 포함하는 실시예들은, 기판의 수율을 감소시키지 않는다.
실시예에서, 센서 패드들(118)은, 프로세싱 동작 동안 프로세싱 조건들에서 가장 큰 정도의 변동을 가질 것으로 예측되는 위치들에서의 프로세스 모니터링 정보를 제공하도록 배열된다. 예컨대, 기판(102)의 온도 또는 플라즈마에 대한 노출은 기판의 표면에 걸쳐 다를 수 있다. 따라서, 일부 실시예들은, 지지 표면(104)에 걸쳐 균일하지 않게 분포된 센서 패드들(118)을 포함할 수 있다. 예컨대, 기판(102)의 외주(outer perimeter)는 통상적으로 기판(102)의 중심보다 큰 프로세스 변동을 겪는다. 따라서, 외측 구역은 기판(102)의 중심 구역보다 많은 센서 패드들(118)을 가질 수 있다.
이제 도 1c를 참조하면, 자기-인식 기판(100)의 횡단면 예시가 실시예에 따라 도시된다. 위에 설명된 바와 같이, 지지 표면(104)에 걸쳐 복수의 센서 패드들(118)이 분포될 수 있다. 실시예에서, 전기 회로(113)의 각각의 구역은 센서 패드(118) 아래에서 기판(102) 내에 임베딩될 수 있다. 예컨대, 캐비티(128)가 기판(102) 내에 형성될 수 있다. 그런 다음, 전기 회로(113)가 캐비티(128)에 형성될 수 있다.
예시된 실시예에서, 전기 회로(113)는, 캐비티(128)의 최하부 표면으로부터 위로 연장되는 것으로 도시된다. 예컨대, 전기 회로(113)는, 캐비티(128) 내에 장착된 다이일 수 있다. 그러나, 실시예들은 그러한 구성으로 제한되지 않는다. 예컨대, 전기 회로(113)는, (예컨대, 기판이 반도체 기판일 때) 기판(102) 내에 직접 제조될 수 있다. 기판(102) 상에 디바이스들을 제조하는 동안 전기 회로(113)를 프로세싱 조건들로부터 격리시키기 위해, 캡 층(cap layer)(129)이 캐비티(128) 내에 형성될 수 있다. 실시예에서, 캡 층(129)의 최상부 표면은 기판(102)의 최상부 표면과 실질적으로 동일 평면 상에 있을 수 있다. 또한, 기판의 "지지 표면"에 대한 참조들이 캡 층(129)의 최상부 표면을 또한 포함할 수 있다는 것이 인식되어야 한다. 그러므로, 일부 실시예들에서, 센서 패드들(118)은 캡 층(129)의 최상부 표면 위에 형성된다. 센서 패드들(118)로부터 전기 회로(113)로의 전기 연결을 제공하기 위해, 캡 층(129)을 통해 비아(117)가 형성될 수 있다. 캡 층(129)은, 기판(102) 위에 증착될 수 있는 임의의 재료일 수 있다. 예컨대, 캡 층(129)은, 산화물, 질화물, 폴리실리콘, 에피택셜하게(epitaxially) 성장된 반도체 재료 등일 수 있다.
도 1c는 또한, 기판(102)의 디바이스 층(101)을 예시한다. 실시예에서, 디바이스 층(101)은, 기능 반도체 디바이스들(예컨대, 트랜지스터들, 다이오드들 등)이 제조될 수 있는 기판(102)의 부분이다. 디바이스 층(101)은, 기판(102)과 동일한 재료일 수 있다. 대안적으로, 디바이스 층은, 기판(102)과 상이한 재료일 수 있다. 예컨대, 기판(102)은 실리콘 반도체 재료를 포함할 수 있고, 하나 이상의 버퍼 층들 및 디바이스 층(101)은 III-V 반도체 재료일 수 있다.
이제 도 2a를 참조하면, 자기-인식 기판(100)의 일부분의 횡단면 예시가 실시예에 따라 도시된다. 도 2a에서, 파선들은, 생산 구역들(109)과 비-생산 구역들(122) 사이의 경계를 예시한다. 비-생산 구역들(122)에서, 센서 패드(118) 상에 센서(219)가 형성된다. 센서 패드(118)는, 비아(117)를 이용하여, 캐비티(128) 내에 형성된 전기 회로(113)에 센서(219)를 통신가능하게 커플링시킨다. 실시예에 따르면, 센서(219)는 센서 패드(118) 상에 제조될 수 있거나 또는 센서는 패드(118) 상에 장착될 수 있다. 센서(219) 및 센서 패드(118)가 지지 표면(104) 위에 형성되는 것으로 예시되지만, 실시예들은 그러한 구성들로 제한되지 않는다. 예컨대, 센서(219)는, 기판(102), 또는 기판(102)의 디바이스 층(101) 내에 제조될 수 있다.
센서(219)는, 기판이 노출될 주어진 프로세싱 동작을 모니터링하기에 적절한 임의의 센서일 수 있다. 예컨대, 센서들(219)은, 막 두께, 입자들의 존재 또는 부재, 질량, 기판 온도, 척 온도, 표면 전하, 자기장 강도, 특정 가스 농도, 플라즈마의 EEDF, VDC 등의 변화들을 측정하기 위한 센서들을 포함할 수 있다. 이러한 센서들(219)이 구현될 수 있는 방식의 특정 예들이 아래에서 더 상세히 개시된다.
이제 도 2b를 참조하면, 몇몇 프로세싱 동작들 이후의 자기-인식 기판(100)의 일부분의 횡단면 예시가 실시예에 따라 도시된다. 도 2b에 예시된 실시예는, 지지 표면(104) 위에 부가적인 층들이 형성된 이후에도 센서(219)가 사용될 수 있음을 보여준다. 예컨대, BEOL(back-end-of-line) 스택의 상호연결 층들(225)이 지지 표면(104) 위에 형성될 수 있다. 상이한 레벨들에서의 프로세싱 동작들을 모니터링하는 데 센서들(219)을 계속 사용하기 위해, 부가적인 층들(225)을 통해 형성된 부가적인 비아들(217)을 이용하여 새로운 센서 패드(218)가 이전 패드(118)에 연결될 수 있다. 예시된 실시예들에서, 새로운 센서 패드(218) 및 비아(217)는 각각의 층에 대해 형성된다(그리고 각각의 층 상에 형성된 센서(219)는 센서(219)가 더 이상 필요하지 않게 된 이후 제거됨). 그러므로, 센서 패드(118) 상에 형성된 센서(219)와 상이한 센서(219)가 형성되거나 노출된 센서 패드(218)에 장착될 수 있다. 그러나, 새로운 층의 생성 동안 센서가 필요하지 않다면, 패드는 생략될 수 있다. 최종적으로 새로운 센서(219)가 필요할 때, 이전 센서 패드(118/218)에 도달할 때까지 다수의 층들을 통해 비아(217)가 만들어질 수 있다.
이제 도 3을 참조하면, 자기-인식 기판(100)의 전자 회로 허브(116)의 블록도의 예시가 실시예에 따라 예시된다. 도 3에서의 참조가 전자 회로 허브(116)에 대해 이루어지지만, 전자 회로 허브(116)의 컴포넌트들 중 하나 이상이 기판(102)에 걸쳐 분포된 전기 회로(113)의 각각의 구역에 포함될 수 있다는 것이 인식되어야 한다. 부가적으로, 일부 실시예들에서, 전자 회로 허브(116)는 생략될 수 있고, 도 3에서 설명된 컴포넌트들 중 하나 이상이 전기 회로(113)의 각각의 구역에서 제공될 수 있다. 자기-인식 기판(100)의 전자 회로 허브(116)는 하우징(370)에 인클로징(enclose)되거나 지지될 수 있다. 전자 회로 허브(116)의 하우징(370) 및/또는 전자 컴포넌트들은 기판(102) 상에(예컨대, 캐비티(128) 내에) 장착될 수 있다. 그럼에도 불구하고, 전자 회로 허브(116)는 하나 이상의 전기 트레이스들(114/115) 및 비아들(117)을 통해 센서들(219)과 전기적 연결을 이루어 배치될 수 있다.
실시예에서, 자기-인식 기판(100)의 전자 회로 허브(116)는, 기판(102) 상에 장착된 클록(374)을 포함할 수 있다. 클록(374)은, 본 기술분야에 알려져 있는 바와 같이, 정확한 주파수를 갖는 전기 신호를 출력하기 위한 전자 발진기(예컨대, 석영 크리스털(quartz crystal))를 갖는 전자 회로일 수 있다. 따라서, 클록(374)은, 전기 신호에 대응하는 시간 값을 출력하도록 구성될 수 있다. 시간 값은 다른 동작들과 독립적인 절대적 시간 값일 수 있거나, 또는 시간 값은 기판 프로세싱 툴들 내의 다른 클록들에 동기화될 수 있다(아래에서 더 상세히 설명됨). 예컨대, 클록(374)은, 기판 프로세싱 툴들의 시스템 클록에 동기화될 수 있고, 그에 따라, 클록(374)에 의해 출력되는 시간 값은 시스템 클록에 의해 출력 또는 제어되는 시스템 시간 값 및/또는 시스템 동작들에 대응한다. 클록(374)은, 특정 프로세스 동작이 발생할 때 시간 값의 출력을 개시하도록 구성될 수 있다. 예컨대, 전자 회로 허브(116)는, 자기-인식 기판(100)이 이동을 중단할 때 시간 값을 출력하기 시작하도록 클록(374)을 트리거링하는 가속도계(375)를 포함할 수 있다. 따라서, 시간 값은, 자기-인식 기판(100)이 기판 프로세싱 툴의 특정 프로세싱 스테이션 내에 로딩된 때에 관한 정보를 제공할 수 있다.
실시예에서, 자기-인식 기판(100)의 전자 회로 허브(116)는, 기판(102) 상에 장착된 프로세서(376)를 포함할 수 있다. 프로세서(376)는, 하나 이상의 센서들(219) 및 클록(374)에 동작가능하게 커플링될 수 있다(예컨대, 버스(377) 및/또는 트레이스들(114/115)에 의해 전기적으로 연결됨). 프로세서(376)는, 하나 이상의 범용 프로세싱 디바이스들, 이를테면 마이크로프로세서, 중앙 프로세싱 유닛 등을 표현한다. 더 상세하게는, 프로세서(376)는, CISC(complex instruction set computing) 마이크로프로세서, RISC(reduced instruction set computing) 마이크로프로세서, VLIW(very long instruction word) 마이크로프로세서, 다른 명령 세트들을 구현하는 프로세서 또는 명령 세트들의 조합을 구현하는 프로세서들일 수 있다. 프로세서(376)는 또한, 하나 이상의 특수-목적 프로세싱 디바이스들, 이를테면, 주문형 집적 회로(ASIC), 필드 프로그래밍가능 게이트 어레이(FPGA), 디지털 신호 프로세서(DSP), 네트워크 프로세서 등일 수 있다.
프로세서(376)는, 본원에 설명된 동작들을 수행하기 위한 프로세싱 로직을 실행하도록 구성된다. 예컨대, 프로세서(376)는, 센서(219)의 미리결정된 위치, 클록(374)에 의해 출력되는 시간 값, 및 센서(219)로부터의 출력 신호를 송신 및/또는 기록하도록 구성될 수 있다. 따라서, 프로세서(376)는, 프로세싱 동작 동안 기판(102) 상에 발생하는 실시간 프로세싱 조건들을 송신 및/또는 기록하도록 구성될 수 있다.
일부 실시예들에서, 전자 회로 허브(116)는 네트워크 인터페이스 디바이스(371)를 포함할 수 있다. 네트워크 인터페이스는, 비-고체 매체를 통한 변조된 전자기 방사의 사용을 통해 데이터를 통신할 수 있다. 네트워크 인터페이스 디바이스(371)는, Wi-Fi(IEEE 802.11군), WiMAX(IEEE 802.16군), IEEE 802.20, LTE(long term evolution), Ev-DO, HSPA+, HSDPA+, HSUPA+, EDGE, GSM, GPRS, CDMA, TDMA, DECT, Bluetooth, 이들의 파생물(derivative)들 뿐만 아니라 3G, 4G, 5G 등으로 지정된 임의의 다른 무선 프로토콜들을 포함하지만 이들로 제한되지 않는 다수의 무선 표준들 또는 프로토콜들 중 임의의 것을 구현할 수 있다. 프로세서(376)는, 버스(377) 또는 다른 전기적 연결을 통해 네트워크 인터페이스 디바이스(371)와 통신할 수 있다. 따라서, 프로세서(376)는, 네트워크 인터페이스 디바이스에 동작가능하게 커플링되어, 센서들(219)로부터의 출력 신호들 및 클록(374)에 의해 출력되는 시간 값을 외부 디바이스에 송신할 수 있다.
실시예에 따르면, 네트워크 인터페이스 디바이스(371)가 센서들(219)에 통신가능하게 커플링되므로, 센서들(219) 각각으로부터의 출력 신호가 프로세서 또는 임의의 다른 컴포넌트에 의해 먼저 프로세싱되지 않고도 네트워크 인터페이스 디바이스(371)에 전송될 수 있다. 그런 다음, 네트워크 인터페이스 디바이스(371)는, 자기-인식 기판(100) 외부에 있는 컴퓨팅 디바이스에 출력 신호들을 송신할 수 있다. 그러므로, 실시예들은, 센서들(219)로부터의 출력 신호들이 프로세싱되거나 로컬로 저장될 필요가 없을 수 있으므로, 전력 소스(379) 및 네트워크 인터페이스 디바이스(371)를 포함하는 전자 회로 허브(116)를 갖는 자기-인식 기판(100)을 포함할 수 있다. 그러한 실시예들에서, 센서 출력 신호들로부터의 데이터는 외부 디바이스 상에서 프로세싱되거나 그에 기록될 수 있다.
프로세싱 및 저장 기능들을 외부 디바이스에 분담(offload)시키는 것은 몇몇 이점들을 갖는다. 첫째, 디바이스의 전력 소모가 감소된다. 따라서, 커패시터 뱅크(bank), 압전(piezoelectric) 스프링들 등이 출력 신호들을 송신하기에 충분한 전력을 제공할 수 있으므로, 배터리가 필요하지 않을 수 있다. 부가적으로, 필요하지 않은 컴포넌트들을 제거함으로써 전자 회로의 복잡도를 감소시키는 것은 더 신뢰가능하고 비용이 덜 드는 디바이스를 제공한다.
실시간으로 센서들(219)로부터의 출력 신호들을 송신하는 것은 또한 프로세싱 동작들이 정확하게 제어되는 것을 허용한다. 프로세싱 파라미터들을 결정하기 위해 프로세스 레시피에 의존하는 대신, 센서들은, 기판 상에서 발생하고 있는 것의 거의 동시적인 피드백을 제공할 수 있다. 예컨대, 프로세싱 동작이 특정 두께의 막을 증착할 필요가 있는 경우, 프로세스는, 막의 두께가 원하는 레벨에 도달했음을 출력 신호들이 표시할 때까지 계속될 수 있다. 그러한 프로세스의 더 상세한 설명은 아래에서 더 상세히 설명된다.
자기-인식 기판(100)의 전자 회로 허브(116)는, 기판(102) 상에 장착된 메모리(378)를 선택적으로 포함할 수 있다. 메모리(378)는, 메인 메모리(예컨대, 판독-전용 메모리(ROM), 플래시 메모리, 동적 랜덤 액세스 메모리(DRAM), 이를테면 동기식 DRAM(SDRAM) 또는 램버스 DRAM(RDRAM) 등), 정적 메모리(예컨대, 플래시 메모리, 정적 랜덤 액세스 메모리(SRAM) 등), 또는 이차 메모리(예컨대, 데이터 저장 디바이스) 중 하나 이상을 포함할 수 있다. 프로세서(376)는, 버스(377) 또는 다른 전기적 연결을 통해 메모리(378)와 통신할 수 있다. 따라서, 프로세서(376)는, 메모리(378)에 동작가능하게 커플링되어, 센서들(219)로부터의 출력 신호들 및 클록(374)에 의해 출력되는 시간 값을 메모리(378)에 기록할 수 있다.
자기-인식 기판(100)의 전자 회로 허브(116)는, 기판(102) 상에 장착된 전력 소스(379)를 포함할 수 있다. 전력 소스(379)는, 배터리, 커패시터 뱅크, 또는 다른 알려진 전력 공급부를 포함할 수 있다. 전력 소스(379)는, 연결된 컴포넌트들에 전력을 공급하기 위해, 버스(377)를 통해 자기-인식 기판(100)의 컴포넌트들 중 하나 이상에 전기적으로 연결될 수 있다. 예컨대, 전력 소스(379)는, 센서들(219), 클록(374), 프로세서(376), 또는 메모리(378) 중 하나 이상에 전력을 공급하기 위해, 센서들(219), 클록(374), 프로세서(376), 또는 메모리(378) 중 하나 이상에 전기적으로 연결될 수 있다.
자기-인식 기판(100)의 전자 회로 허브(116)는, 위에 설명된 자기-인식 기판(100)의 컴포넌트들에 전기적으로 연결되는 부가적인 컴포넌트들을 포함할 수 있다. 더 상세하게는, 전자 회로 허브(116)는, 주파수 소스(372)(예컨대, 광역 주파수 소스) 또는 검출기(373)를 포함할 수 있다. 주파수 소스(372) 및/또는 검출기(373)는 기판(102) 상에 장착될 수 있다. 주파수 소스(372) 및 검출기(373)는, 자기-인식 기판(100)의 센서들(219)의 특정 실시예들에 관련된 특정 애플리케이션을 가질 수 있다. 따라서, 주파수 소스(372) 및 검출기(373)의 추가적인 설명은 아래의 대응하는 센서 논의를 위해 보류된다.
이제 도 4a를 참조하면, 자기-인식 기판(100)의 트랜지스터 센서 타입의 센서(219)의 개략적인 예시가 실시예에 따라 예시된다. 실시예에서, 자기-인식 기판(100)의 하나 이상의 센서들(219)은 트랜지스터 센서(219)를 포함한다. 트랜지스터 센서(219)는 하나 이상의 트랜지스터들(예컨대, MOSFET(metal oxide semiconductor field effect transistor))(442)을 포함할 수 있다. MOSFET(442)은, 소스(444), 드레인(446), 및 게이트(448)를 포함할 수 있다. 트랜지스터 센서(219)는 또한 콜렉터(collector)(440)를 포함할 수 있다. 콜렉터(440)는 표면을 갖도록 형성될 수 있으며, 그 표면 상에 막(432)이 증착될 수 있다. 실시예에서, 막(432)은, 프로세싱 동작 동안 두께가 변할 막일 수 있다(예컨대, 막 두께는, 증착 프로세스 동안 증가할 것이고 그리고 에칭 프로세스 동안 감소될 것임). 따라서, 실시예들은, 막(432)의 두께를 감소시키는 데 사용되는 에칭 프로세스에 대한 에칭 내성이 있는 재료인 콜렉터(440)를 포함한다.
실시예에서, 콜렉터(440)는 MOSFET(442)에 전기적으로 연결된다. 예컨대, 콜렉터(440)는, 전기 트레이스(414)를 통해 MOSFET(442)의 게이트(448)에 전기적으로 연결될 수 있다. 콜렉터(440)는 MOSFET(442)으로부터 물리적으로 분리될 수 있지만, 서브컴포넌트들은 서로 전기적으로 연결될 수 있다. 따라서, MOSFET(442)은, 콜렉터(440)가 MOSFET(442)과 이격된 미리결정된 위치에 로케이팅되는 경우라 하더라도 콜렉터(440) 상의 막(432)의 두께의 증가 또는 감소를 검출하도록 구성될 수 있다.
실시예에서, 콜렉터(440)는, 외측 림(rim)(443)에 의해 정의되는 프로파일을 포함할 수 있다. 외측 림(443)의 형상은, 하향 방향으로 볼 때, 원형, 직사각형, 또는 임의의 다른 형상일 수 있다. 또한, 콜렉터(440)는 평탄할 수 있거나(즉, 콜렉터(440)는 본질적으로 평면인 상부 표면을 가질 수 있음), 또는 콜렉터(440)는 도 4a에 도시된 바와 같이 원뿔형 상부 표면을 가질 수 있다. 실시예에서, 콜렉터(440)는 MOSFET(442)과 별개인 구조가 아니라, 대신에, MOSFET(442) 내에 통합된다. 예컨대, 콜렉터(440)는, MOSFET(442)의 게이트(448) 상의 수집 영역일 수 있다.
실시예에서, 트랜지스터 센서(219)의 출력 신호는, 게이트(448) 양단에서 측정되는 MOSFET(442)의 임계 전압일 수 있다. 임계 전압은, 콜렉터(440) 상의 막(432)의 두께에 직접적으로 대응할 수 있다. 예컨대, 임계 전압은 막(432)이 콜렉터(440) 상에 있지 않을 때 제1 값을 가질 수 있고 그리고 임계 전압은 막(432)이 콜렉터(440) 상에 있을 때 제2 값(제1 값과 상이함)을 가질 수 있다. 따라서, MOSFET(442)의 임계 전압은, 콜렉터(440) 상의 막(432)의 두께에 대한 응답으로 변할 수 있다. 프로세서(376)는, 임계 전압의 변화를 검출하도록 구성될 수 있으며, 그에 따라, 자기-인식 기판(100)은 트랜지스터 센서(219)의 위치에서의 막(432)의 두께의 변화를 표시할 수 있다. 부가적인 실시예들은, 네트워크 인터페이스 디바이스(371)를 이용하여 출력 신호(즉, 임계 전압)를 외부 컴퓨팅 디바이스에 송신하는 것을 포함할 수 있다.
이제 도 4b를 참조하면, 자기-인식 기판(100)의 공진기 타입의 센서(219)의 개략적인 예시가 실시예에 따라 예시된다. 실시예에서, 자기-인식 기판(100)의 하나 이상의 센서들(219)은 공진기 타입 센서(219)를 포함한다. 공진기 센서(219)는, 적절한 공진 질량 센서(resonant mass sensor), 이를테면, QCM(Quartz Crystal Microbalance), SAW(Surface Acoustic Wave), 또는 FBAR(Film Bulk Acoustic Resonators)일 수 있으며, 이들 모두는 이들의 표면들 상에 증착되는 막(432)의 누적 질량을 정량화하는 것으로 알려져 있다. 공진기들의 복잡성 및 다양성의 설명은 이해의 용이성 및 간결성의 목적을 위해 간략화된 설명을 위하여 본원에서 설명되지 않는다. 공진기 센서(219)는, 기판(102)의 지지 표면(104)에 걸쳐 미리결정된 위치들에 형성될 수 있다. 각각의 공진기 센서(219)는, 본 기술분야에 알려져 있는 바와 같이 특성 주파수(예컨대, 공진 주파수)를 가질 수 있다. 예컨대, 더 상세히 설명하지 않고, 공진기 센서(219)는 도 4b에 도시된 바와 같이 단순한 질량-스프링 시스템에 의해 표현될 수 있다. 공진기 센서(219)의 특성 주파수는 공진기 센서(219)의 질량(M)에 반비례할 수 있다. 예컨대, 특성 주파수는 마이크로-공진기 시스템의 sqrt(k/M)에 비례할 수 있고, 여기서, 'M'은 질량(M)에 대응하고, 'k'는 공진기 센서(219)의 비례 상수에 대응한다. 따라서, 공진기 센서(219) 상의 막(432)의 두께가 변할 때 특성 주파수가 시프팅(shift)한다는 것이 인지될 것이다. 따라서, 막(432)의 증착 또는 에칭 동안 막(432)의 두께가 모니터링될 수 있다.
이제 도 4c를 참조하면, 자기-인식 기판(100)의 공진기 타입의 센서(219)의 개략적인 예시가 실시예에 따라 예시된다. 사용될 수 있는 공진기 센서(219)의 일 예시적인 타입은, MEMS(microelectromechanical system) 공진 질량 센서, 이를테면 열 작동식 고-주파수 단일 결정 실리콘 공진기이다. 그러한 공진기 타입 센서들(219)은, 단일 마스크 프로세스들을 사용하여 개별적인 디바이스들 또는 어레이들로서 지지 표면(104) 상에 제조될 수 있다. 공진기 센서(219)는, 대칭 평면(452)의 양 측 상에 2개의 패드들(450)을 포함할 수 있다. 변동 전류가 2개의 패드들(450) 사이에 흘러서 전류 경로에 교류(AC) 옴 손실 컴포넌트를 야기할 수 있다. 실시예에서, 옴 손실의 대부분은 패드들(450)을 상호연결하는 얇은 필러(pillar)들(454)에서 발생한다. 얇은 필러들(454)은 중앙에 로케이팅될 수 있고, 대칭 평면(452)에 직교하는 방향으로 패드들(450) 사이에서 연장될 수 있다. 필러들(454)에서 생성되는 변동 온도는 평면-내 공진 모드로 공진기 센서(219)를 작동시키기 위해 필러들(454)에서 AC 힘 및 교번 열 응력을 야기할 수 있다. 평면-내 공진 모드에서, 질량 'M'을 갖는 패드들(450)은 반대 방향들로 진동한다. 따라서, 공진 시에, 공진기 센서(219)는 진동 패드들(450)의 특성 주파수를 포함하고, 필러들(454)의 저항은 압전 저항 효과로 인한 교번 기계적 응력에 의해 조절된다. 따라서, 특성 주파수에 대응하는, 공진기 센서(219)에서의 검출가능한 작은 신호 운동 전류가 존재한다.
공진기 센서(219)의 특성 주파수의 시프트를 검출하기 위해, 주파수 소스(372) 및 검출기(373)가 자기-인식 기판(100)의 전자 회로 허브(116)에 통합될 수 있다. 주파수 소스(372)는, 공진기 센서(219)를 여기(excite)시키는 데 사용되는 광역 주파수 소스일 수 있다. 검출기(373)는, 공진기 센서(219)의 특성 주파수를 모니터링하고 그리고 특성 주파수의 변화들을 검출할 수 있다. 예컨대, 검출기(373)는, 특성 주파수에 대응하는 신호(예컨대, 출력 전압 또는 전류)를 프로세서(376)에 출력할 수 있다. 프로세서(376)는, 출력 전압을 수신하고 그리고 특정 주파수의 변화를 인지하도록 구성될 수 있다. 따라서, 출력 전압이 변할 때 그리고/또는 공진기 센서(219)의 특성 주파수가 변할 때, 자기-인식 기판(100)은 그 변화를 막(432)의 두께의 변화로 표시할 수 있다. 또한, 프로세싱 동작의 전체 과정 동안 특정 위치에서의 막(432)의 두께의 변화를 모니터링하는 프로세스를 제공하기 위해, 막(432)의 두께의 변화의 시간 및 위치도 또한 기록될 수 있다. 예컨대, 공진기 센서(219)의 질량(M)이 증가함에 따라(예컨대, 막(432)의 두께가 증가함에 따라), 특정 주파수는 시프팅 다운(shift down)될 것이고, 그에 따라, 자기-인식 기판(100)이 막 두께 증가의 이력을 캡처하는 것을 허용한다. 대안적으로, 프로세서 및 메모리가 자기-인식 기판(100)에 포함되지 않을 때, 프로세싱 동작의 실시간 프로세스 모니터링을 제공하기 위해 출력 신호가 네트워크 인터페이스 디바이스(371)에 의해 외부 컴퓨팅 디바이스에 송신될 수 있다.
예시적인 트랜지스터 센서들 및 공진 센서들이 본원에서 제공되지만, 프로세싱 동작 동안 기판 상의 또는 프로세싱 스테이션 내의 상이한 프로세싱 조건들을 모니터링하기 위해 임의의 센서가 사용될 수 있다는 것이 인식되어야 한다. 프로세싱 조건(예컨대, 막 두께, 입자들의 존재 또는 부재, 질량, 기판 온도, 척 온도, 표면 전하, 자기장 강도, 특정 가스 농도, 플라즈마의 전자 에너지 분포 함수(EEDF), VDC 등의 변화들)에 대응하는 출력 신호(예컨대, 출력 전압, 출력 전류, 주파수, 시간 측정 등)를 생성할 수 있는 임의의 센서가 다양한 실시예들에 따른 센서(219)로서 사용될 수 있다.
실시예에 따르면, 자기-인식 기판(100)은, 임의의 기판 프로세싱 스테이션과 함께 사용될 수 있다. 하나의 예시적인 기판 프로세싱 스테이션(예컨대, 기판 프로세싱 툴(560))의 평면도 예시가 실시예에 따라 도 5에 예시된다. 기판 프로세싱 툴(560)은, 하나 이상의 로드 록(load lock)들(566)에 의해 팩토리(factory) 인터페이스(564)에 물리적으로 연결되는 버퍼 챔버(562)를 포함할 수 있다. 팩토리 인터페이스(564)는, 제조 설비의 툴들 사이에서 기판들을 운반하는 데 사용되는 하나 이상의 FOUP(front opening unified pod)들(565)을 수용하는 것이 가능할 수 있다. 자기-인식 기판들(100)이 생산 기판들의 폼 팩터와 유사한 폼 팩터를 갖는 실시예들에서, 제조 설비 내에서 자기-인식 기판들(100)을 운반하는 데 동일한 장비(예컨대, FOUP들, 기판 이송 로봇들(도시되지 않음) 등)가 사용될 수 있다.
하나 이상의 프로세싱 챔버들(568)은, 버퍼 챔버(562)에 직접 또는 하나 이상의 개개의 로드 록들(도시되지 않음)에 의해 물리적으로 연결될 수 있다. 버퍼 챔버(562)는 본질적으로, 프로세싱 챔버들(568) 내의 프로세스 압력들보다 높은 압력이긴 하지만, 낮은 압력으로 유지되는 중간 볼륨(프로세싱 챔버들(568)의 개개의 볼륨들보다 큼)으로서 작동할 수 있다. 따라서, 기판(예컨대, 자기-인식 기판)은, 반도체 디바이스들의 제조 동안 진공 조건들 하에서(또는 거의 진공 조건들에서) 기판 프로세싱 툴(560)의 챔버들 사이에서 이동될 수 있다. 이러한 이동은, 예시를 지나치게 복잡하게 하지 않기 위해 도시되지 않는 기판 프로세싱 툴(560)(예컨대, 로봇식 암(arm)들, 셔틀(shuttle)들 등)에 포함되는 다양한 디바이스들에 의해 가능해질 수 있다.
프로세싱 챔버들(568) 내에서 다양한 제조 동작들이 수행될 수 있다. 예컨대, 프로세싱 챔버들(568) 중 적어도 하나는, 플라즈마 에칭 챔버, 증착 챔버, 리소그래피 툴의 챔버, 또는 임의의 다른 반도체 프로세스 툴 챔버일 수 있다. 그러므로, 프로세싱 챔버(568)는, 진공 조건들, 대기 조건들, 또는 임의의 다른 압력 레짐(regime) 하에서 제조 프로세스들을 수행하는 데 사용될 수 있다. 자기-인식 기판(100)의 각각의 센서(219)는, 다양한 프로세싱 챔버들(568)에 의해 구현되는 프로세싱 동작들 동안 주어진 프로세싱 조건의 변화(예컨대, 막 두께, 입자들의 존재 또는 부재, 질량, 기판 온도, 척 온도, 표면 전하, 자기장 강도, 특정 가스 농도, 플라즈마의 전자 에너지 분포 함수(EEDF), VDC 등의 변화들)를 감지하도록 구성될 수 있다.
기판 프로세싱 툴(560)은, 외부 컴퓨터 또는 서버(561)에 커플링될 수 있다. 기판들 상에 수행될 프로세싱 동작들에 대한 레시피들을 제공하고, 설비 전반에 걸쳐 기판들의 흐름을 모니터링하고, 그리고 일반적으로는 자동화된 제조 프로세스를 제공하기 위해, 외부 컴퓨터(561)가 사용될 수 있다. 기판 프로세싱 툴(560)은, 외부 컴퓨터(561)에 유선 또는 무선으로 커플링될 수 있다. 실시예에서, 컴퓨터(561)는 또한 프로세싱 툴(560) 내에 통합될 수 있다. 실시예에서, 컴퓨터(561)는, 챔버 프로세스들에 대응하는 챔버들(568) 각각으로부터 출력 신호들, 이를테면 전압들, 가스 유량들, 압력 셋팅들 등을 수신할 수 있다. 부가적으로, 컴퓨터(561)는, 자기-인식 기판(100)의 네트워크 인터페이스 디바이스(371)에 의해 자기-인식 기판(100)에 무선으로 커플링될 수 있다.
그러므로, 실시예들은, 프로세싱 동작들 동안 외부 컴퓨터(561)에 실시간 프로세스 조건들이 송신되는 것을 허용한다. 외부 컴퓨터(561)는, 원하는 엔드포인트(예컨대, 막 두께)에 도달했는지를 결정하기 위해, 자기-인식 기판 상의 센서들(219)로부터의 출력 신호들을 프로세싱하도록 구성될 수 있다. 기판의 표면으로부터의 실시간 데이터에 의존하는 것은, 단지 프로세스 레시피들에만 의존할 때 가능한 것보다 프로세싱 동작들을 더 정확하게 제어하는 것을 허용한다. 또한, 프로세싱 동작이 완료된 때의 막의 두께가 알려져 있으므로, 부가적인 계측 동작들이 생략될 수 있다. 다양한 방식들로 자기-인식 기판(100)을 사용하기 위한 방법들이 도 6 및 도 7과 관련하여 아래에서 더 상세히 설명된다.
이제 도 6을 참조하면, 기판 프로세싱 스테이션에서 자기-인식 기판(100)을 이용하여 기판 프로세싱 동작을 모니터링 및 제어하기 위한 방법의 동작들을 표현하는 흐름도의 예시가 실시예에 따라 예시된다. 동작(682)에서, 외부 컴퓨터(561)는, 기판 프로세싱 스테이션(예컨대, 기판 프로세싱 툴(560))에서 자기-인식 기판(100)의 기판 프로세싱 동작을 개시할 수 있다. 자기-인식 기판(100)은, 위에 설명된 구조 및 컴포넌트들(예컨대, 생산 구역들(109) 사이의 비-생산 구역들(122)에 형성된 복수의 센서들(219), 및 하나 이상의 센서들(219)로부터 획득되는 출력 신호들을 송신하기 위한 네트워크 인터페이스)을 가질 수 있다. 센서들(219) 각각은, 기판 표면 상의 프로세스 조건에 대응하는 출력 신호를 생성하도록 구성될 수 있다. 본원에 설명된 예시적인 실시예에서, 모니터링되는 프로세스 조건은, 증착 프로세스에서의 막 두께이다. 그러나, 막 두께 대신 또는 그에 부가하여 다른 프로세싱 조건들(예컨대, 입자들의 존재 또는 부재, 질량, 기판 온도, 척 온도, 표면 전하, 자기장 강도, 특정 가스 농도, 플라즈마의 전자 에너지 분포 함수(EEDF), VDC 등)이 모니터링될 수 있다는 것이 인식되어야 한다.
실시예에서, 기판 프로세싱 동작은, 프로세스 레시피에 따라 기판 프로세싱 툴(560)에 의해 구현될 수 있다. 예컨대, 기판 프로세싱 툴(560)은, 외부 컴퓨터(561)로부터 프로세스 레시피를 수신할 수 있다. 프로세스 레시피는, 외부 컴퓨터(561)가 액세스가능한 메모리에 저장될 수 있다. 실시예에서, 프로세싱 레시피는, 증착 프로세스, 에칭 프로세스, 노출 프로세스, 또는 기판들 상에 디바이스들을 제조하는 데 사용되는 임의의 다른 프로세싱 동작을 위한 것일 수 있다.
실시예에서, 프로세스 레시피는, 기판(102) 상의 하나 이상의 센서들(219)에 의해 모니터링되는 프로세싱 조건과 연관된 엔드포인트 기준들을 포함할 수 있다. 예컨대, 막 증착 또는 에칭 동작에서, 엔드포인트 기준들은 원하는 막 두께일 수 있다. 일부 실시예들에서, 엔드포인트 기준들은, 모든 센서들(219)에 의해 보고되는 막 두께가 적어도 미리결정된 타겟 값일 것을 필요로 할 수 있다. 부가적인 실시예들은, 미리결정된 타겟 값에 도달하는 임계 퍼센티지의 센서들(219)을 필요로 하는 엔드포인트 기준들(예컨대, 적어도 95%의 센서들이 미리결정된 타겟 값에 도달하거나 그 값을 초과함)을 포함할 수 있다. 다른 실시예들은, 센서들(219) 전부가 미리결정된 타겟 값의 적어도 임계 퍼센티지에 도달하는 엔드포인트 기준들(예컨대, 센서들 전부가 미리결정된 타겟 값의 적어도 95 %를 보고함)을 포함할 수 있다. 또 다른 실시예에서, 엔드포인트 기준들은, 하나 초과의 타입의 프로세싱 조건에 대응할 수 있다(예컨대, 막 두께 및 온도 둘 모두가 엔드포인트 기준들을 생성하는 데 사용될 수 있음).
일부 실시예들에서는, 동작(682)에서, 자기-인식 기판(100) 상의 클록(374)이 활성화되고 프로세싱 툴(560)과 연관된 클록과 동기화될 수 있다. 예컨대, 클록(374)은, 제로(zero) 이동으로의 감속을 검출하는 자기-인식 기판(100) 상의 가속도계(375)에 의해 활성화될 수 있다. 자기-인식 기판(100) 상의 클록(374)을 프로세싱 툴(560)과 연관된 클록과 동기화하는 것은, 프로세싱 툴로부터의 데이터가 자기-인식 기판(100)으로부터의 데이터로 오버레이되는(overlayed) 것을 허용한다.
동작(684)에서, 기판(102) 상에 형성된 하나 이상의 센서들(219)로부터의 출력 신호들이 외부 컴퓨터(561)에 의해 수신될 수 있다. 센서들(219)로부터의 출력 신호들은, 네트워크 인터페이스 디바이스(371)에 의해 외부 컴퓨터(561)에 송신될 수 있다. 따라서, 프로세스 조건들의 변화의 실시간 분석이 획득될 수 있다. 실시예에서, 출력 신호들은, 엔드포인트 기준들에 관련된 기판(102) 상의 프로세싱 조건에 대응할 수 있다. 막 증착 동작의 특정 예에서, 출력 신호들은 막 두께에 대응할 수 있다. 다른 실시예들은, 입자들의 존재 또는 부재, 질량, 기판 온도, 척 온도, 표면 전하, 자기장 강도, 특정 가스 농도, 플라즈마의 전자 에너지 분포 함수(EEDF), VDC 등에 대응할 수 있는 출력 신호들을 포함할 수 있다. 실시예에서, 출력 신호들은, 출력 전압, 출력 전류, 주파수, 시간 측정 등일 수 있다. 실시예에 따르면, 하나 초과의 프로세싱 조건에 대한 출력 신호들을 제공하기 위해 다수의 센서 타입들이 사용될 수 있다.
동작(686)에서, 외부 컴퓨터(561)는, 하나 이상의 센서들(219)로부터의 출력 신호들을 엔드포인트 기준들과 비교할 수 있다. 일부 실시예들에서, 외부 컴퓨터(561)는 먼저 각각의 출력 신호를 프로세싱 조건에 대한 값으로 변환함으로써 출력 신호들을 엔드포인트 기준들과 비교할 수 있다. 예컨대, 전압은, 막 두께에 대한 값으로 변환될 수 있다. 실시예에서, 변환은, 출력 신호 값을 프로세싱 조건 값과 페어링하는 룩-업(look-up) 테이블을 이용하여 이루어질 수 있다. 그런 다음, 외부 컴퓨터(561)는, 엔드포인트 기준들이 충족되는지를 결정하기 위해, 변환된 출력 신호들을 엔드포인트 기준들에 대하여 체크할 수 있다.
동작(688)에서, 외부 컴퓨터(561)는, 엔드포인트 기준들이 충족될 때 프로세싱 동작을 종료할 수 있다. 예컨대, 외부 컴퓨터(561)는, 프로세싱 동작을 중단할 것을 프로세싱 툴(560)에 명령하기 위한 명령들을 프로세싱 툴(560)에 전달할 수 있다. 그러므로, 프로세싱 동작은, 프로세싱에 대한 엔드포인트를 제공하기 위해 프로세싱 레시피에 의존하지 않을 수 있다. 대신, 실시예들은, 엔드포인트가 기판 표면 상의 실제 조건들에 의존하는 것을 허용한다.
프로세싱 동작의 그러한 실시간 모니터링은, 프로세싱 동작의 더 정확한 제어 및 기판들 간의 더 큰 반복성을 허용한다. 예컨대, 챔버(568)에서의 프로세싱 조건들은, 증착률 또는 에칭률의 변화들을 초래할 수 있는 반복된 사용 후에 (예컨대, 챔버 측벽들을 따른 잔류 증착, 컴포넌트들의 불균일한 마모 등으로 인해) 변할 수 있다. 단일 프로세스 레시피에 대한 의존성은 이러한 변화들을 처리하지 못하고 기판들 간의 불일치들을 초래할 수 있다. 대신, 실시예들은, 챔버 내의 일관적이지 않은 프로세싱 조건들을 처리할 수 있는 즉각적인 조정들을 프로세싱 동작에 제공한다.
부가적인 실시예에 따르면, 자기-인식 기판(100)을 사용하기 위한 프로세스는, 기판 상의 관측된 조건들에 기반하여 향후의 프로세싱 레시피를 조정하는 것을 포함할 수 있다. 그러한 프로세스에서의 동작들을 표현하는 흐름도가 도 7에 예시된다.
동작(792)에서, 자기-인식 기판(100)이 프로세싱 스테이션(예컨대, 프로세싱 툴(560))에서 제1 프로세싱 동작으로 프로세싱되는 동안 또는 프로세싱된 이후에, 자기-인식 기판(100) 상의 하나 이상의 센서들(219)로부터의 출력 신호 세트가 외부 컴퓨터에 의해 수신될 수 있다. 프로세싱 툴(560)에서 구현되는 제1 프로세싱 동작은, 도 6과 관련하여 설명된 것과 실질적으로 유사한 프로세싱 레시피 또는 프로세싱 동작에 따라 실행될 수 있다. 실시예에서, 센서들(219)로부터의 출력 신호들은, 네트워크 인터페이스 디바이스(371)에 의해 외부 컴퓨터(561)에 송신될 수 있다. 따라서, 부가적인 계측을 필요로 함이 없이 프로세싱 동작의 최종 결과가 획득될 수 있다. 실시예에서, 출력 신호들은, 기판(102) 상의 프로세싱 조건에 대응할 수 있다. 막 증착 동작의 특정 예에서, 출력 신호들은 막 두께에 대응할 수 있다. 다른 실시예들은, 입자들의 존재 또는 부재, 질량, 기판 온도, 척 온도, 표면 전하, 자기장 강도, 특정 가스 농도, 플라즈마의 전자 에너지 분포 함수(EEDF), VDC 등에 대응할 수 있는 출력 신호들을 포함할 수 있다. 실시예에서, 출력 신호들은, 출력 전압, 출력 전류 등일 수 있다. 실시예에 따르면, 하나 초과의 프로세싱 조건에 대한 출력 신호 세트들을 제공하기 위해 다수의 센서 타입들이 사용될 수 있다.
또한, "출력 신호 세트"라는 용어가 사용되지만, 실시예들은 센서(219)로부터 수신되는 임의의 수의 출력 신호들을 사용할 수 있다는 것이 인식되어야 한다. 예컨대, 막 두께 센서(219)에서는 최종 출력 신호가 사용될 수 있는 반면, 기판 온도 센서(219)로부터의 출력 신호들 전부가 사용될 수 있다. 막 두께와 관련해서는 최종 값이 향후의 프로세싱 동작들을 수정하는 데 있어 중요할 수 있는 반면, 프로세싱 동작 동안 기판에 의해 획득되는 누적 열 에너지 또는 도달된 최대 온도가 (예컨대, 프로세싱 동작 동안 소비된 열 버짓(thermal budget)의 양을 처리하기 위해) 향후의 프로세싱 동작들을 수정하는 데 있어 중요할 수 있다.
동작(794)에서, 외부 컴퓨터(561)는, 출력 신호 세트들을 하나 이상의 타겟 값들과 비교할 수 있다. 하나 이상의 타겟 값들은, 제1 프로세싱 동작으로부터의 원하는 프로세싱 결과와 연관될 수 있다. 예컨대, 증착 또는 에칭 동작에 대한 타겟 값은 막 두께 값일 수 있다. 부가적인 타겟 값들은 외부 컴퓨터에 의해 획득되는 임의의 다른 출력 신호 세트와 연관될 수 있다. 예컨대, 기판 온도 출력 데이터가 획득될 때 열 버짓 최대치가 타겟 값으로서 사용될 수 있거나, 표면 전하 출력 데이터가 획득될 때 잔류 전하 최대치가 타겟 값으로서 사용될 수 있다. 실시예들은 또한, 균일성 프로파일(예컨대, 기판(102)에 걸친 막의 균일한 증착)과 연관된 타겟 값들을 포함할 수 있다. 부가적으로, 타겟 값은, 하나 이상의 기판들(102) 사이의 균일성(예컨대, 하나의 로트(lot) 내의 기판들 또는 하나 이상의 로트들 내의 기판들 사이의 균일한 특성들)과 연관될 수 있다. 다른 실시예에서, 타겟 값은, 단일 프로세싱 툴 내에서든 또는 다수의 프로세싱 툴들의 프로세싱 스테이션들 사이에서든, 하나 이상의 프로세싱 스테이션들 사이의 프로세스 균일성과 연관될 수 있다.
일부 실시예들에서, 외부 컴퓨터(561)는 먼저 각각의 출력 신호를 프로세싱 조건에 대한 값으로 변환함으로써 출력 신호 세트들을 타겟 값들과 비교할 수 있다. 예컨대, 전압은, 막 두께에 대한 값으로 변환될 수 있다. 그런 다음, 외부 컴퓨터(561)는, 향후의 프로세싱 동작들이 수정될 필요가 있는지를 결정하기 위해, 변환된 출력 신호 세트들을 하나 이상의 타겟 값들에 대하여 체크할 수 있다.
이제 동작(796)을 참조하면, 외부 컴퓨터(561)는, 출력 신호 세트들 중 하나 이상이 타겟 값과 상이할 때 제2 프로세싱 동작에 대한 프로세스 레시피를 조정할 수 있다. 제1 프로세싱 동작이 증착 프로세싱 동작인 경우에, 출력 신호 세트가, 타겟 값이 초과되었다는 것을 표시하면, 제2 프로세싱 동작(예컨대, 에칭 동작)은 에칭 프로세스의 에칭률 또는 길이를 증가시키도록 수정될 수 있다. 유사하게, 타겟 값이 열 버짓의 최대 사용이고 제1 프로세싱 동작이 최대 열 버짓을 초과한 경우, 제2 프로세싱 동작은 열 버짓 사용을 감소시키도록 수정될 수 있다. 예컨대, 제2 프로세스는, 더 낮은 온도 및 더 긴 지속기간으로 실행되도록 수정될 수 있다.
따라서, 자기-인식 기판(100)은, 각각의 프로세싱 동작 동안 기판으로부터 획득되는 데이터의 결과로서 생성될 커스터마이징된(customized) 프로세싱 레시피들을 허용함으로써 수율을 개선하는 방식으로 활용될 수 있다. 부가적으로, 프로세싱 레시피들에 대한 실시간 조정은, 기판의 비용이 많이 들고 시간 소모적인 재작업을 피하는 것을 허용한다.
이제 도 8을 참조하면, 기판 프로세싱 툴(560)의 예시적인 컴퓨터 시스템(561)의 블록도가 실시예에 따라 예시된다. 예시된 컴퓨터 시스템(561)의 하나 이상의 컴포넌트들은 자기-인식 기판(100)의 전자 회로 허브(116)에서 사용될 수 있다. 또한, 기판 프로세싱 툴(560)은 컴퓨터 시스템(561)을 통합할 수 있다. 실시예에서, 컴퓨터 시스템(561)은, 기판 프로세싱 툴(560)의 로봇들, 로드 록들, 프로세싱 챔버들, 및 다른 컴포넌트들에 커플링되고, 이들을 제어한다. 컴퓨터 시스템(561)은 또한, 위에서 논의된 바와 같은 기판 프로세싱 툴(560)에 대한 시스템 로그 파일을 제공할 수 있다. 컴퓨터 시스템(561)은 또한, 자기-인식 기판(100)으로부터 획득되는 출력 신호들을 수신 및 분석할 수 있다. 즉, 컴퓨터 시스템(561)은, 웨이퍼 제조 프로세스의 프로세스 동작들을 제어하고, 프로세스에 관련된 시간들 및 동작들을 기록하기 위해 로그 파일을 생성하고, 그리고 프로세싱 조건들에 대한 변화들이 자기-인식 기판(100)의 표면 상의 조건들을 어떻게 변경하는지를 결정하기 위해 자기-인식 기판(100)에 의해 기록되는 데이터의 로그 파일을 비교하도록 기판 프로세싱 툴(560)에서 구현될 수 있다.
컴퓨터 시스템(561)은, 로컬 영역 네트워크(LAN), 인트라넷, 엑스트라넷(extranet), 또는 인터넷 내의 다른 머신들에 연결될 수 있다(예컨대, 네트워킹될(networked) 수 있음). 컴퓨터 시스템(561)은, 클라이언트-서버 네트워크 환경에서 서버 또는 클라이언트 머신으로서, 또는 피어-투-피어(peer-to-peer)(또는 분산형) 네트워크 환경에서 피어 머신으로서 동작할 수 있다. 컴퓨터 시스템(561)은, 개인용 컴퓨터(PC), 태블릿 PC, 셋-톱 박스(STB), 개인 휴대 정보 단말(PDA), 셀룰러 텔레폰, 웹 기기(web appliance), 서버, 네트워크 라우터, 스위치 또는 브릿지(bridge), 또는 임의의 머신에 의해 취해질 동작들을 특정하는 (순차적인 또는 다른 방식의) 명령들의 세트를 실행할 수 있는 그 임의의 머신일 수 있다. 추가로, 단일 머신만이 컴퓨터 시스템(561)에 대해 예시되어 있지만, "머신"이라는 용어는 또한, 본원에서 설명되는 방법들 중 임의의 하나 이상의 방법을 수행하기 위한 명령들의 세트(또는 다수의 세트들)를 개별적으로 또는 공동으로 실행하는 머신들(예컨대, 컴퓨터들)의 임의의 집합을 포함하는 것으로 이해되어야 한다.
컴퓨터 시스템(561)은, 실시예들에 따라 프로세스를 수행하도록 컴퓨터 시스템(561)(또는 다른 전자 디바이스들)을 프로그래밍하기 위해 사용될 수 있는 명령들이 저장된 비-일시적인 머신-판독가능 매체를 갖는 컴퓨터 프로그램 제품 또는 소프트웨어(822)를 포함할 수 있다. 머신-판독가능 매체는 머신(예컨대, 컴퓨터)에 의해 판독가능한 형태의 정보를 저장 또는 송신하기 위한 임의의 메커니즘을 포함한다. 예컨대, 머신-판독가능(예컨대, 컴퓨터-판독가능) 매체는, 머신(예컨대, 컴퓨터) 판독가능 저장 매체(예컨대, 판독 전용 메모리("ROM"), 랜덤 액세스 메모리("RAM"), 자기 디스크 저장 매체들, 광학 저장 매체들, 플래시 메모리 디바이스들 등), 머신(예컨대, 컴퓨터) 판독가능 송신 매체(전기, 광학, 음향, 또는 다른 형태의 전파 신호들(예컨대, 적외선 신호들, 디지털 신호들 등)) 등을 포함한다.
실시예에서, 컴퓨터 시스템(561)은, 시스템 프로세서(802), 메인 메모리(804)(예컨대, 판독-전용 메모리(ROM), 플래시 메모리, 동적 랜덤 액세스 메모리(DRAM), 이를테면 동기식 DRAM(SDRAM) 또는 램버스 DRAM(RDRAM) 등), 정적 메모리(806)(예컨대, 플래시 메모리, 정적 랜덤 액세스 메모리(SRAM) 등), 및 이차 메모리(818)(예컨대, 데이터 저장 디바이스)를 포함하고, 이들은 버스(830)를 통해 서로 통신한다.
시스템 프로세서(802)는, 하나 이상의 범용 프로세싱 디바이스들, 이를테면 마이크로시스템 프로세서, 중앙 프로세싱 유닛 등을 표현한다. 더 상세하게는, 시스템 프로세서는 CISC(complex instruction set computing) 마이크로시스템 프로세서, RISC(reduced instruction set computing) 마이크로시스템 프로세서, VLIW(very long instruction word) 마이크로시스템 프로세서, 다른 명령 세트들을 구현하는 시스템 프로세서, 또는 명령 세트들의 조합을 구현하는 시스템 프로세서들일 수 있다. 시스템 프로세서(802)는 또한, 하나 이상의 특수-목적 프로세싱 디바이스들, 이를테면, 주문형 집적 회로(ASIC), 필드 프로그래밍가능 게이트 어레이(FPGA), 디지털 신호 시스템 프로세서(DSP), 네트워크 시스템 프로세서 등일 수 있다. 시스템 프로세서(802)는, 본원에 설명된 동작들을 수행하기 위한 프로세싱 로직을 실행하도록 구성된다.
컴퓨터 시스템(561)은 다른 디바이스들 또는 머신들, 예컨대 자기-인식 기판(100)과 통신하기 위한 시스템 네트워크 인터페이스 디바이스(808)를 더 포함할 수 있다. 컴퓨터 시스템(561)은 또한, 비디오 디스플레이 유닛(810)(예컨대, LCD(liquid crystal display), LED(light emitting diode display), 또는 CRT(cathode ray tube)), 영숫자(alphanumeric) 입력 디바이스(812)(예컨대, 키보드), 커서 제어 디바이스(814)(예컨대, 마우스), 및 신호 생성 디바이스(816)(예컨대, 스피커)를 포함할 수 있다.
이차 메모리(818)는 머신-액세스가능 저장 매체(831)(또는 더 구체적으로는 컴퓨터-판독가능 저장 매체)를 포함할 수 있고, 그 머신-액세스가능 저장 매체 상에, 본원에서 설명되는 방법들 또는 기능들 중 임의의 하나 이상을 실시하는 명령들의 하나 이상의 세트들(예컨대, 소프트웨어(822))이 저장된다. 소프트웨어(822)는 또한, 컴퓨터 시스템(561)에 의해 그것의 실행 동안 시스템 프로세서(802) 내에 그리고/또는 메인 메모리(804) 내에 완전히 또는 적어도 부분적으로 상주할 수 있으며, 메인 메모리(804) 및 시스템 프로세서(802)는 또한 머신-판독가능 저장 매체들을 구성한다. 소프트웨어(822)는 추가로, 시스템 네트워크 인터페이스 디바이스(808)를 통해 네트워크(820)를 거쳐 송신 또는 수신될 수 있다.
예시적인 실시예에서, 머신-액세스가능 저장 매체(831)가 단일 매체인 것으로 도시되어 있지만, "머신-판독가능 저장 매체"라는 용어는, 명령들의 하나 이상의 세트들을 저장하는, 단일 매체 또는 다수의 매체들(예컨대, 중앙집중식 또는 분산형 데이터베이스, 및/또는 연관된 캐시들 및 서버들)을 포함하는 것으로 이해되어야 한다. "머신-판독가능 저장 매체"라는 용어는 또한, 머신에 의한 실행을 위한, 그리고 머신으로 하여금 방법들 중 임의의 하나 이상의 방법을 수행하게 하는 명령들의 세트를 저장 또는 인코딩할 수 있는 임의의 매체를 포함하는 것으로 이해되어야 한다. 따라서, "머신-판독가능 저장 매체"라는 용어는, 솔리드-스테이트(solid-state) 메모리들, 및 광학 및 자기 매체들을 포함하지만 이에 제한되지는 않는 것으로 이해되어야 한다.
전술한 명세서에서, 특정한 예시적인 실시예들이 설명되었다. 다음의 청구항들의 범위로부터 벗어나지 않으면서 그 실시예들에 다양한 수정들이 이루어질 수 있다는 것이 명백할 것이다. 따라서, 명세서 및 도면들은 제한적인 의미보다는 예시적인 의미로 간주되어야 한다.

Claims (15)

  1. 자기-인식(self-aware) 기판을 프로세싱하는 방법으로서,
    상기 자기-인식 기판 상에서 프로세싱 동작을 개시하는 단계;
    상기 자기-인식 기판 상의 하나 이상의 센서들로부터 출력 신호들을 수신하는 단계;
    상기 출력 신호들을 하나 이상의 프로세싱 조건들과 연관된 엔드포인트(endpoint) 기준들과 비교하는 단계; 및
    상기 엔드포인트 기준들이 충족될 때 상기 프로세싱 동작을 종료하는 단계를 포함하고,
    상기 자기-인식 기판은 상기 기판의 지지 표면 위의 비-생산 구역들 상에 형성된 복수의 센서들을 포함하고, 상기 기판은 하나 이상의 생산 구역들을 포함하고, 상기 비-생산 구역들은 상기 하나 이상의 생산 구역들에 인접한 스크라이브 라인(scribe line)들이고,
    상기 복수의 센서들은 상기 기판의 중심 구역과 상기 기판의 외측 구역 사이에 균일하지 않게 분포되며, 상기 외측 구역은 상기 기판의 중심 구역보다 상기 복수의 센서들을 더 많이 포함하고, 그리고
    상기 복수의 센서들 각각은 콜렉터(collector) 및 게이트를 포함하고, 상기 콜렉터는, 중공(hollow) 원뿔 부분을 둘러싸는 외측 경사 구역을 가지는 원뿔형 최상부 표면을 가지며, 상기 콜렉터는 전기 트레이스를 통해 상기 게이트에 전기적으로 연결되는,
    자기-인식 기판을 프로세싱하는 방법.
  2. 제1항에 있어서,
    상기 엔드포인트 기준들은 미리결정된 타겟(target) 값을 포함하는, 자기-인식 기판을 프로세싱하는 방법.
  3. 제2항에 있어서,
    상기 엔드포인트 기준들은, 적어도 하나의 센서가 상기 미리결정된 타겟 값과 동등한 출력 신호를 제공할 때 충족되는, 자기-인식 기판을 프로세싱하는 방법.
  4. 제2항에 있어서,
    상기 엔드포인트 기준들은, 모든 센서들이 상기 미리결정된 타겟 값과 동등하거나 상기 미리결정된 타겟 값을 초과하는 출력 신호를 제공할 때 충족되는, 자기-인식 기판을 프로세싱하는 방법.
  5. 제2항에 있어서,
    상기 엔드포인트 기준들은 2개 이상의 미리결정된 타겟 값들을 포함하고,
    상기 2개 이상의 미리결정된 타겟 값들 각각은 상이한 프로세싱 조건과 연관되는, 자기-인식 기판을 프로세싱하는 방법.
  6. 제1항에 있어서,
    상기 자기-인식 기판 상의 클록을 프로세싱 툴과 연관된 클록과 동기화하는 단계; 및
    프로세싱 툴 센서 데이터를 센서 출력들로 오버레잉(overlaying)하는 단계를 더 포함하는, 자기-인식 기판을 프로세싱하는 방법.
  7. 프로세싱 동작을 분석하기 위한 방법으로서,
    제1 프로세싱 동작 동안 또는 상기 제1 프로세싱 동작 이후에, 자기-인식 기판 상의 하나 이상의 센서들로부터 하나 이상의 출력 신호 세트들을 수신하는 단계; 및
    상기 하나 이상의 출력 신호 세트들을 타겟 값과 비교하는 단계를 포함하며,
    상기 타겟 값은 프로세싱 조건과 연관되고,
    상기 자기-인식 기판은 상기 기판의 지지 표면 위의 비-생산 구역들 상에 형성된 복수의 센서들을 포함하고, 상기 기판은 하나 이상의 생산 구역들을 포함하고, 상기 비-생산 구역들은 상기 하나 이상의 생산 구역들에 인접한 스크라이브 라인(scribe line)들이고,
    상기 복수의 센서들은 상기 기판의 중심 구역과 상기 기판의 외측 구역 사이에 균일하지 않게 분포되며, 상기 외측 구역은 상기 기판의 중심 구역보다 상기 복수의 센서들을 더 많이 포함하고, 그리고
    상기 복수의 센서들 각각은 콜렉터(collector) 및 게이트를 포함하고, 상기 콜렉터는, 중공(hollow) 원뿔 부분을 둘러싸는 외측 경사 구역을 가지는 원뿔형 최상부 표면을 가지며, 상기 콜렉터는 전기 트레이스를 통해 상기 게이트에 전기적으로 연결되는,
    프로세싱 동작을 분석하기 위한 방법.
  8. 제7항에 있어서,
    상기 출력 신호 세트들 중 하나 이상이 상기 타겟 값과 상이할 때 제2 프로세싱 동작에 대한 프로세스 레시피를 조정하는 단계를 더 포함하는, 프로세싱 동작을 분석하기 위한 방법.
  9. 제8항에 있어서,
    상기 타겟 값은 막 두께인, 프로세싱 동작을 분석하기 위한 방법.
  10. 제8항에 있어서,
    상기 타겟 값은 열 버짓(thermal budget) 최대치인, 프로세싱 동작을 분석하기 위한 방법.
  11. 제7항에 있어서,
    상기 출력 신호 세트들은 2개 이상의 타겟 값들과 비교되는, 프로세싱 동작을 분석하기 위한 방법.
  12. 자기-인식 기판으로서,
    기판;
    상기 기판의 지지 표면 위의 비-생산 구역들 상에 형성되는 복수의 센서들 ― 상기 기판은 하나 이상의 생산 구역들을 포함하고, 각각의 센서는 프로세싱 조건에 대응하는 출력 신호를 생성하는 것이 가능함 ―; 및
    상기 기판 상에 형성되는 네트워크 인터페이스 디바이스를 포함하며,
    상기 복수의 센서들 각각은 하나 이상의 비아(via)들에 의해 상기 네트워크 인터페이스 디바이스에 통신가능하게 커플링되는, 자기-인식 기판.
  13. 제12항에 있어서,
    상기 네트워크 인터페이스 디바이스는 상기 기판의 캐비티(cavity) 내에 형성되고, 그리고
    상기 캐비티는 캡 층(cap layer)으로 충전(fill)되는, 자기-인식 기판.
  14. 제13항에 있어서,
    상기 기판의 상기 지지 표면 위에 형성되는 하나 이상의 층들을 더 포함하며,
    상기 복수의 센서들은 상기 하나 이상의 층들의 최상부 층 상에 형성되는, 자기-인식 기판.
  15. 제12항에 있어서,
    출력 신호들은, 전압들, 전류들, 주파수들, 또는 시간 측정들이고, 그리고
    프로세싱 조건들은, 막 두께, 입자의 존재 또는 부재, 질량, 기판 온도, 척(chuck) 온도, 표면 전하, 자기장 강도, 특정 가스 농도, 플라즈마의 전자 에너지 분포 함수, 또는 VDC 중 하나 이상을 포함하는, 자기-인식 기판.
KR1020187024659A 2016-01-28 2016-12-12 자기-인식 생산 웨이퍼들 KR102655725B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/009,692 2016-01-28
US15/009,692 US20170221783A1 (en) 2016-01-28 2016-01-28 Self-aware production wafers
PCT/US2016/066223 WO2017131875A1 (en) 2016-01-28 2016-12-12 Self-aware production wafers

Publications (2)

Publication Number Publication Date
KR20180100072A KR20180100072A (ko) 2018-09-06
KR102655725B1 true KR102655725B1 (ko) 2024-04-05

Family

ID=59387712

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020187024659A KR102655725B1 (ko) 2016-01-28 2016-12-12 자기-인식 생산 웨이퍼들

Country Status (6)

Country Link
US (1) US20170221783A1 (ko)
JP (1) JP6865760B2 (ko)
KR (1) KR102655725B1 (ko)
CN (1) CN108604557B (ko)
TW (1) TWI761326B (ko)
WO (1) WO2017131875A1 (ko)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11222783B2 (en) 2017-09-19 2022-01-11 Taiwan Semiconductor Manufacturing Co., Ltd. Using cumulative heat amount data to qualify hot plate used for postexposure baking
US11920994B2 (en) 2020-10-12 2024-03-05 Applied Materials, Inc. Surface acoustic wave sensor assembly
US11901875B2 (en) 2020-10-12 2024-02-13 Applied Materials, Inc. Surface acoustic wave sensor assembly

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005236199A (ja) * 2004-02-23 2005-09-02 Handotai Rikougaku Kenkyu Center:Kk プラズマプロセスのリアルタイムモニタ装置
JP2005340291A (ja) 2004-05-24 2005-12-08 Komatsu Ltd 基板熱状態測定装置及び基板熱状態分析制御方法
JP2006505940A (ja) * 2002-11-04 2006-02-16 ブリオン テクノロジーズ,インコーポレーテッド 集積回路の製造を監視する方法及び装置
US20060234398A1 (en) 2005-04-15 2006-10-19 International Business Machines Corporation Single ic-chip design on wafer with an embedded sensor utilizing rf capabilities to enable real-time data transmission
US20080230866A1 (en) 2007-03-20 2008-09-25 Tokyo Electron Limited Rfid temperature sensing wafer, system and method
JP2013518370A (ja) 2010-01-21 2013-05-20 ケーエルエー−テンカー コーポレイション プラズマチャンバのためのプロセス条件検知デバイス

Family Cites Families (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
AU2907092A (en) * 1991-10-21 1993-05-21 James W. Holm-Kennedy Method and device for biochemical sensing
US6535779B1 (en) * 1998-03-06 2003-03-18 Applied Materials, Inc. Apparatus and method for endpoint control and plasma monitoring
US6374150B2 (en) * 1998-07-30 2002-04-16 Applied Materials, Inc. Method and apparatus for monitoring and/or end point detecting a process
US6410351B1 (en) * 2000-07-13 2002-06-25 Advanced Micro Devices, Inc. Method and apparatus for modeling thickness profiles and controlling subsequent etch process
US7309997B1 (en) * 2000-09-15 2007-12-18 Varian Semiconductor Equipment Associates, Inc. Monitor system and method for semiconductor processes
DE10155930B4 (de) * 2001-11-14 2020-09-24 Nano Analytik Gmbh Feldeffekttransistor-Sensor
US7757574B2 (en) * 2002-01-24 2010-07-20 Kla-Tencor Corporation Process condition sensing wafer and data analysis system
US6889568B2 (en) * 2002-01-24 2005-05-10 Sensarray Corporation Process condition sensing wafer and data analysis system
US6654659B1 (en) * 2002-06-24 2003-11-25 Advanced Micro Drvices, Inc. Quartz crystal monitor wafer for lithography and etch process monitoring
KR101036079B1 (ko) * 2002-07-12 2011-05-19 맥그로우-에디슨 컴파니 전기 네트워크 보호시스템 및 보호방법
US7126225B2 (en) * 2003-04-15 2006-10-24 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for manufacturing a semiconductor wafer with reduced delamination and peeling
US7076320B1 (en) * 2004-05-04 2006-07-11 Advanced Micro Devices, Inc. Scatterometry monitor in cluster process tool environment for advanced process control (APC)
US20050284570A1 (en) * 2004-06-24 2005-12-29 Doran Daniel B Diagnostic plasma measurement device having patterned sensors and features
US7482675B2 (en) * 2005-06-24 2009-01-27 International Business Machines Corporation Probing pads in kerf area for wafer testing
JP4274576B2 (ja) * 2007-01-12 2009-06-10 エルピーダメモリ株式会社 半導体装置
US20080277659A1 (en) * 2007-05-10 2008-11-13 Shih-Hsun Hsu Test structure for semiconductor chip
US8507785B2 (en) * 2007-11-06 2013-08-13 Pacific Integrated Energy, Inc. Photo induced enhanced field electron emission collector
ATE541218T1 (de) * 2008-06-19 2012-01-15 Abb Technology Ag Kombiniertes elektrisches messgerät
US9123614B2 (en) * 2008-10-07 2015-09-01 Mc10, Inc. Methods and applications of non-planar imaging arrays
US20110074341A1 (en) * 2009-09-25 2011-03-31 Kla- Tencor Corporation Non-contact interface system
JP5644120B2 (ja) * 2010-01-26 2014-12-24 セイコーエプソン株式会社 熱型光検出器、熱型光検出装置、電子機器および熱型光検出器の製造方法
ITMI20111418A1 (it) * 2011-07-28 2013-01-29 St Microelectronics Srl Architettura di testing di circuiti integrati su un wafer
JP5953974B2 (ja) * 2011-09-15 2016-07-20 富士通セミコンダクター株式会社 半導体装置及び半導体装置の製造方法
GB2497079A (en) * 2011-11-25 2013-06-05 Netscientific Ltd Medical monitoring and control systems for healthcare
US8754412B2 (en) * 2012-01-03 2014-06-17 International Business Machines Corporation Intra die variation monitor using through-silicon via
US8648341B2 (en) * 2012-02-23 2014-02-11 Taiwan Semiconductor Manufacturing Company, Ltd. Methods and apparatus for testing pads on wafers
US20130299947A1 (en) * 2012-05-14 2013-11-14 Freescale Semiconductor, Inc. Passivated test structures to enable saw singulation of wafer
US8900470B2 (en) * 2012-10-17 2014-12-02 Lam Research Corporation Differential measurements for endpoint signal enhancement
US9698062B2 (en) * 2013-02-28 2017-07-04 Veeco Precision Surface Processing Llc System and method for performing a wet etching process
US9048126B2 (en) * 2013-03-12 2015-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for measuring the full well capacity of CMOS image sensors
US9607926B2 (en) * 2013-12-12 2017-03-28 Texas Instruments Incorporated Probe pad design to reduce saw defects
US9659831B2 (en) * 2014-07-25 2017-05-23 Nxp Usa, Inc. Methods and structures for detecting low strength in an interlayer dielectric structure
US11569138B2 (en) * 2015-06-16 2023-01-31 Kla Corporation System and method for monitoring parameters of a semiconductor factory automation system
US10067070B2 (en) * 2015-11-06 2018-09-04 Applied Materials, Inc. Particle monitoring device
US10818561B2 (en) * 2016-01-28 2020-10-27 Applied Materials, Inc. Process monitor device having a plurality of sensors arranged in concentric circles

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006505940A (ja) * 2002-11-04 2006-02-16 ブリオン テクノロジーズ,インコーポレーテッド 集積回路の製造を監視する方法及び装置
JP2005236199A (ja) * 2004-02-23 2005-09-02 Handotai Rikougaku Kenkyu Center:Kk プラズマプロセスのリアルタイムモニタ装置
JP2005340291A (ja) 2004-05-24 2005-12-08 Komatsu Ltd 基板熱状態測定装置及び基板熱状態分析制御方法
US20060234398A1 (en) 2005-04-15 2006-10-19 International Business Machines Corporation Single ic-chip design on wafer with an embedded sensor utilizing rf capabilities to enable real-time data transmission
US20080230866A1 (en) 2007-03-20 2008-09-25 Tokyo Electron Limited Rfid temperature sensing wafer, system and method
JP2013518370A (ja) 2010-01-21 2013-05-20 ケーエルエー−テンカー コーポレイション プラズマチャンバのためのプロセス条件検知デバイス

Also Published As

Publication number Publication date
JP2019509625A (ja) 2019-04-04
CN108604557A (zh) 2018-09-28
WO2017131875A1 (en) 2017-08-03
TWI761326B (zh) 2022-04-21
KR20180100072A (ko) 2018-09-06
CN108604557B (zh) 2022-10-28
TW201737378A (zh) 2017-10-16
US20170221783A1 (en) 2017-08-03
JP6865760B2 (ja) 2021-04-28

Similar Documents

Publication Publication Date Title
US11735486B2 (en) Process monitor device having a plurality of sensors arranged in concentric circles
US10718719B2 (en) Particle monitoring device
KR102655725B1 (ko) 자기-인식 생산 웨이퍼들
JP7288493B2 (ja) マイクロセンサを有するウエハ処理ツール
US8892237B2 (en) Systems and methods for fabricating semiconductor device structures using different metrology tools
US20240094642A1 (en) System and method for determining post bonding overlay
KR20190133926A (ko) 웨이퍼형 복합 무선 센서 및 이를 이용한 웨이퍼 처리 챔버 센싱 방법
US20190265287A1 (en) Method for detecting wafer processing parameters with micro resonator array sensors
WO2020051001A1 (en) Method and apparatus for measuring erosion and calibrating position for a moving process kit

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant