JP7170099B2 - リアルタイムのプロセス評価 - Google Patents

リアルタイムのプロセス評価 Download PDF

Info

Publication number
JP7170099B2
JP7170099B2 JP2021117170A JP2021117170A JP7170099B2 JP 7170099 B2 JP7170099 B2 JP 7170099B2 JP 2021117170 A JP2021117170 A JP 2021117170A JP 2021117170 A JP2021117170 A JP 2021117170A JP 7170099 B2 JP7170099 B2 JP 7170099B2
Authority
JP
Japan
Prior art keywords
substrate
monitoring device
sensors
process monitoring
sensor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2021117170A
Other languages
English (en)
Other versions
JP2021180321A (ja
Inventor
レナード テデスキ,
カーティク ラーマスワーミ,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2021180321A publication Critical patent/JP2021180321A/ja
Application granted granted Critical
Publication of JP7170099B2 publication Critical patent/JP7170099B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/24Optical enhancement of defects or not directly visible states, e.g. selective electrolytic deposition, bubbles in liquids, light emission, colour change
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • H01L22/34Circuits for electrically characterising or monitoring manufacturing processes, e. g. whole test die, wafers filled with test structures, on-board-devices incorporated on each die, process control monitors or pad structures thereof, devices in scribe line
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01DMEASURING NOT SPECIALLY ADAPTED FOR A SPECIFIC VARIABLE; ARRANGEMENTS FOR MEASURING TWO OR MORE VARIABLES NOT COVERED IN A SINGLE OTHER SUBCLASS; TARIFF METERING APPARATUS; MEASURING OR TESTING NOT OTHERWISE PROVIDED FOR
    • G01D21/00Measuring or testing not otherwise provided for
    • G01D21/02Measuring two or more variables by means not covered by a single other subclass

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Automation & Control Theory (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Description

関連出願の相互参照
本出願は、2016年1月28日に出願された「リアルタイムのプロセス評価(REAL TIME PROCESS CHARACTERIZATION)」と題する米国仮特許出願第15/009,705号の利益を主張するものであり、すべての目的のためにその全体が参照により本明細書に組み込まれる。
実施形態は、半導体処理の分野に関し、特にリアルタイムで処理を評価するためのデバイス及び方法に関する。
堆積速度及び除去速度は、典型的には、所与の時間量の間、基板を処理し、次いで膜の厚さ測定ツール(例えば、エリプソメータ)を使用して堆積又は除去される膜の量を測定することによって測定される。この技法の問題点は、プロセスの最終結果のみが判定される可能性があることである。よって、処理過程中の膜へのリアルタイムの変化を判定することができない。幾つかの場合において、発光分光法(OES)の使用は、プラズマについてのいくらかのリアルタイム情報を提供することができるが、プラズマが基板の表面上に及ぼす影響を判定する能力は依然として欠けている。更に、OESは、遠隔プラズマでの使用には適していない。
実施形態は、プロセスモニタリングデバイス、及びそのようなプロセスモニタリングデバイスを使用する方法を含む。1つの実施形態では、プロセスモニタリングデバイスは、基板を含む。プロセスモニタリングデバイスはまた、基板の支持面に形成された複数のセンサを含みうる。実施形態によれば、各センサは、処理条件に対応する出力信号を生成可能である。更に、実施形態は、基板の上に形成されるネットワークインターフェースデバイスを含むプロセスモニタリングデバイスを含む。実施形態によれば、複数のセンサの各々は、ネットワークインターフェースデバイスに通信可能に接続される。ネットワークインターフェースデバイスにより、センサから取得された出力信号が、処理動作中に、外部コンピュータに無線で送信可能となる。
基板処理動作をモニタリングするための方法がまた、幾つかの実施形態に含まれる。実施形態では、プロセスモニタリング方法は、処理ステーションでプロセスモニタリングデバイスに処理動作を開始することを含みうる。例えば、処理ステーションは、堆積プロセス又はエッチングプロセスにおけるチャンバなどの任意の処理ツールでありうる。実施形態によれば、プロセスモニタリングデバイスは、プロセスモニタリングデバイスの支持面にわたって分散した複数のセンサを含む。センサの各々は、処理チャンバにおける処理条件に対応するセンサ出力を生成する。実施形態によれば、方法はまた、処理動作中にプロセスモニタリングデバイスからセンサ出力を受信することを含みうる。幾つかの実施形態では、方法はまた、プロセスモニタリングデバイスにおけるクロックを、処理ステーションに関連するクロックと同期させることを含みうる。
上記の概要は、すべての実施形態の網羅的な列挙を含んでいない。上記に要約された様々な実施形態、更には以下の詳細な説明に開示され、特に本出願と共に提出される特許請求の範囲において指摘される様々な実施形態のすべての適切な組み合わせから実施することができる、すべてのシステム及び方法が含まれることが企図される。そのような組合せは、上記要約に特筆されない特定の利点を有する。
実施形態による複数のセンサバンクを含むプロセスモニタリングデバイスの図である。 実施形態による交換可能な層の上に形成された複数のセンサバンクを含むプロセスモニタリングデバイスの図である。 実施形態によるプロセスモニタリングデバイスの上に形成されうるセンサバンクの図である。 実施形態によるプロテスモニタリングデバイスに装着される電子回路の図である。 実施形態によるセンサバンクに含まれうるセンサの図である。 実施形態によるセンサバンクに含まれうるセンサの図である。 実施形態によるセンサバンクに含まれうるセンサの図である。 実施形態による、基板処理ツールのチャンバ内に置かれるプロセスモニタリングデバイスの図である。 実施形態による、処理ツール内でリアルタイムのプロセス評価を提供するための方法における工程を表すフローチャートの図である。 実施形態による、複数のセンサバンクでプロセスモニタリングデバイスを使用及び再表面化するための方法における工程を表すフローチャートの図である。 実施形態による、プロセスモニタリングデバイスと併用されうる例示的コンピュータシステムのブロック図を示す。
処理動作中に基板上の処理条件をモニタリングするために使用されるデバイス及び方法が、様々な実施形態に従って説明される。以下の説明では、実施形態の完全な理解を提供するために、多数の特定の詳細が説明される。当業者には、これらの特定の詳細がなくても実施形態を実施できることは明らかであろう。他の例では、周知の態様は、実施形態を不必要に不明瞭にしないために詳細には説明しない。更に、添付の図面に示された様々な実施形態は、例示的に表されており、必ずしも縮尺通りに描かれていないと理解すべきである。
様々な基板処理動作で使用される処理動作を検証するための既存の技術は、時間がかかり高価である。例えば、堆積プロセスを検証する必要があるとき、サンプル基板を堆積チャンバ内に置き、基板の表面上に層を堆積させる。その後、基板を堆積チャンバから除去し、異なるツールを用いて分析する。例えば、堆積プロセスによって得られた最終的な膜の厚さを決定するために、エリプソメータなどの計測ツールが使用されうる。
この典型的な検証プロセスには幾つかの欠点がある。まず、プロセス検証は、複数のツールを使用する。追加の計測ツールは、製造施設内の貴重なスペースを占有する。加えて、複数のツールを使用することにより、追加の基板搬送動作が必要になり、よって、プロセスの検証に必要な時間が増加する。第2に、プロセス検証は、プロセスが完了した後に膜の厚さを決定することができるのみである。したがって、現在のプロセス検証技術により、時間の経過に伴った厚さの変化をリアルタイムで決定することはできない。
したがって、実施形態は、プロセスモニタリングを処理中に発生可能にするプロセスモニタリングデバイスを含む。よって、実施形態は、高価な計測機器の必要性を排除し、処理動作中の基板上で条件のリアルタイム分析を可能にする。更に、実施形態は、同時に複数の異なるプロセスパラメータの分析を可能にする。例えば、膜の厚さ(例えば、堆積プロセス又はエッチングプロセス中の)、粒子の有無、質量、基板温度、チャック温度、表面電荷、磁場強度、特定ガス濃度、プラズマの電子エネルギー分布関数(EEDF)、電圧直流(VDC)などが、処理動作中にモニタされうる。
複数の異なる処理条件のリアルタイムの変化をモニタリングすることにより、プロセス検証に加えて、プロセスの最適化が可能になりうる。複数のプロセスパラメータをモニタする能力は、あるプロセス条件の変化が他のプロセス条件の変化にどのように影響するかを分析するために使用できる実験計画(DOE)を実施可能にする。例えば、VDCと表面温度との間の関係は、VDCの変化を含むプロセス方策を実行し、VDCの変化に応じて表面温度がどのように変化するかをモニタリングすることによって決定することができる。各処理条件が基板表面に及ぼす影響を理解することにより、より堅牢なプロセス及びハードウエアが可能になる。加えて、チャンバマッチングはまた、ツール間の小さな差異をモニタ及び制御することができるので、改善されうる。
以下に説明するプロセスモニタリングデバイス及び方法は、リアルタイムのプロセスモニタリングが有益な任意のフォームファクタ(形状要因)又はプロセスで使用できるだろうと理解されよう。より具体的には、集積回路製造のためのウエハ処理に関してプロセスモニタリングデバイス及び方法が記載されているが、デバイス及び方法はまた、エレクトロニクス産業におけるディスプレイ及び/又はソーラ産業における光電池のような他の技術での使用にも適合されうる。
図1Aを参照すると、プロセスモニタリングデバイス100の図が、実施形態にしたがって示される。プロセスモニタリングデバイス100は、全体的なフォームファクタ及び/又は半導体ウエハと同じ材料及び形状を有する基板102を含みうる。1つの実施形態では、基板102は、少なくとも部分的に半導体材料から構成されうる。例えば、基板102は、結晶シリコン材料、結晶III-V半導体材料、シリコンオンインシュレータ(SOI)などでありうる。更に、基板102は、本質的にディスク形状であり、直径106を有する支持面104を含むウエハフォームファクタを有しうる。支持面104は、ディスクの上面であってもよく、基板102の底面(図示せず)は、支持面104から厚さ109だけ離間していてもよい。実施形態では、基板102のウエハフォームファクタは、95~455mmの間の直径106(例えば、直径106は、公称では100mm、200mm、300mm、又は450mmでありうる)を含む。更に、基板102のウエハフォームファクタは、1mm未満(例えば、525μm、775μm、又は925μm)の厚さ109を含みうる。
厚さ109はまた、1mmより大きくてもよい(例えば、数ミリメートルから10mmまで)。したがって、プロセスモニタリングデバイス100は、容易に入手可能なウエハ材料並びに典型的なウエハ製造プロセス及び機器を使用して製造され、ウエハ処理ツールで処理されるときに、本質的に半導体ウエハをシミュレートしうる。追加の実施形態によれば、基板102は、典型的には基板処理ツールで処理される任意の種類の基板のフォームファクタを有しうる。例えば、ディスプレイ技術で使用されるガラスパネル(例えば、薄膜トランジスタ(TFT)ベースのディスプレイ)もまた、基板102として使用されうる。
プロセスモニタリングデバイス100は、所定の場所で支持面104に製造又は装着される一又は複数のセンサバンク(sensor bank:センサ群またはセンサ集合体)108を含みうる。実施形態によれば、各センサバンク108は、一又は複数のセンサ及び/又はパターン形成された特徴(例えば、フィン、トレンチなど)を有しうる。個別のセンサ及びパターン形成された特徴は、図を不明瞭にしないように図1Aには示されておらず、以下で更に詳細に説明されることになる。実施形態において、複数の(例えば、何千万の)センサバンク108が、支持面104の上に構築され又は載置されてもよい。各センサバンク108は、既知の場所を有しうる。例えば、第1のセンサバンクは、第1の場所110に位置し、第2のセンサバンクは、第2の場所112に位置しうる。第2の場所112は、第1の場所110に対する、又はプロセスモニタリングデバイス100の上の別の基準点に対する、既知の位置を有しうる。
センサバンク108は、支持面104にわたってランダムに分布してもよく、又は所定のパターンで配置されてもよい。ランダムな分布が使用されるとき、センサバンク108各々の絶対的又は相対的場所は、なおも所定のかつ既知のものでありうる。実施形態では、センサバンクに使用される所定のパターンは、グリッドパターン、同心円パターン、螺旋パターンなどを含みうる。例えば、図1Aに示すセンサバンク108は、支持面104にわたって整列した同心円状に分布する。ランダムパターン及び所定のパターンの両方は、プロセスモニタリングデバイス100の支持面104上の正確な場所にセンサバンク108を構築するための既知のエッチングプロセス及び/又は堆積プロセスを用いて達成されうる。代替的には、センサバンク108は、所定の場所で支持面104に取り付けられる別々の構成要素として製造されてもよい。
実施形態において、センサバンク108は、処理動作中に処理条件において最大度の変化を有すると予測される位置に、プロセスモニタリング情報を提供するように配置される。例えば、基板102の温度又はプラズマへの曝露は、基板の表面にわたって変化しうる。したがって、幾つかの実施形態は、基板の異なるゾーン111(例えば、中心ゾーン111、中間ゾーン111、外側ゾーン111など)で、一又は複数のセンサバンク108を形成することを含みうる。各ゾーンは、同数のセンサバンク108を有しうる。追加の実施形態は、異なる数のセンサバンク108を有するゾーンを含みうる。例えば、基板の外周は、典型的には、基板102の中心よりも大きなプロセス変化を受ける。したがって、外側ゾーンは、基板の中心ゾーンより多くのセンサバンク108を有しうる。
プロセスモニタリングデバイス100は、基板102上に形成された電子回路113の一又は複数の領域を含みうる。プロセスモニタリングデバイス100の電子回路113は、基板102の支持面104上に形成された一又は複数のセンサバンク108に通信可能に接続されうる。電子回路113は、基板102の支持面104上に形成されなくてもよいことを示すために、破線で示される。例えば、電子回路113は、以下により詳細に説明されるように、基板102に埋め込まれてもよい。実施形態によれば、電子回路113は、ビア及び/又は配線によってセンサバンク108に電気的に接続されうる。
図示された実施形態では、各センサバンク108は、電子回路113と対になっている。追加の実施形態によれば、複数のセンサバンク108が、電子回路113の各領域と対にされうる。追加的に、実施形態は、電子回路ハブ116を含みうる。電子回路ハブ116は、有線接続又は無線接続で、電子回路113の個々の領域の各々に通信可能に接続されうる。例えば、基板102に埋め込まれた(又は基板102の上に形成された)電気配線114は、電子回路113の一又は複数の領域を電子回路ハブ116と直列に接続し、又は電子回路113の一又は複数の領域は、それぞれの電気配線115によって、電子回路ハブ116に並列に接続されうる。したがって、電気配線、電気リード線、ビア、及び他の既知の種類の電気コネクタを使用して、センサバンク108間で電気的接続が行われ、及び/又はセンサバンク108が、電子回路ハブ116に接続されうる。幾つかの実施形態では、電子回路ハブ116は、省略される。
ここで図1Bを参照すると、追加の実施形態によるプロセスモニタリングデバイス100の断面図が示される。図示された実施形態では、交換可能な層105が、支持面104とセンサバンク108との間に形成される。交換可能な層105を含む実施形態は、センサバンク108を交換可能にすることによって、プロセスモニタリングデバイス100の寿命を改善しうる。例えば、センサバンク108及び交換可能な層105は、所定数の使用後にエッチングプロセス又は研磨プロセスで除去されてもよい。代替的には、センサバンク108及び交換可能な層は、センサの性能が劣化(例えば、機械的疲労又は他の損傷によるセンサの故障)し始めた後に除去されてもよい。
実施形態によれば、センサバンク108及び交換可能な層105の除去は、基板102上に直接形成されるか若しくは基板102に埋め込まれる電気接続を保持可能にしうる。したがって、続いて形成される交換センサバンクのための電気的接続を形成する追加の処理が必要とされないことがある。
交換可能な層105は、基板102の上に堆積させることができる任意の適切な材料でありうる。例えば、交換可能な層105は、酸化物、窒化物、多結晶シリコン、単結晶半導体材料などでありうる。交換可能な層105の材料はまた、製造ウエハの処理中に露出される材料に適合するように選択されてもよい。加えて、交換可能な層105は、センサバンク108内のセンサが形成されることになる材料であってもよい。そのような実施形態では、センサバンクは、図1Bに示すように、交換可能な層105の上に形成される代わりに、交換可能な層105内に形成されてもよい。
上述したように、電子回路113の各領域は、基板102に埋め込まれうる。例えば、空洞128は、基板102内に形成されうる。次いで、電子回路113が、空洞128内に形成されうる。例示的実施形態において、電子回路113は、空洞128の底面から上に延びるように示されている。例えば、電子回路113は、空洞128内に装着されるダイでありうる。しかしながら、実施形態は、そのような構成に限定されない。例えば、電子回路113は、基板102内に直接製造されうる(例えば、基板が半導体基板であるとき)。キャップ層129は、基板処理動作中に電子回路113を処理条件から分離するために、空洞128内に形成されうる。実施形態において、キャップ層129の上面は、基板102の上面と実質的に同一平面上でありうる。更に、基板の「支持面」への言及は、キャップ層129の上面も含みうると理解すべきである。したがって、幾つかの実施形態では、センサバンク108は、キャップ層129の上面の上に形成される。センサバンク108から電子回路113への電気的接続を提供するために、ビア117がキャップ層129(及び存在する場合には交換可能な層105)を通して形成されてもよい。キャップ層129は、基板102の上に堆積させることができる任意の材料でありうる。例えば、キャップ層129は、酸化物、窒化物、ポリシリコン、エピタキシャル成長させた半導体材料などでありうる。
ここで図2を参照すると、実施形態によるセンサバンク108がより詳細に示されている。実施形態は、一又は複数のセンサ219を含むセンサバンク108を含みうる。例えば、センサバンク108は、センサ219-219を含みうる。実施形態では、各センサ219は、異なるプロセス条件をモニタリング可能にする異なる種類のセンサでありうる。例えば、センサ219は、膜の厚さ、粒子の有無、質量、基板温度、チャック温度、表面電荷、磁場強度、特定ガス濃度、プラズマのEEDF、VDCなどの変化を測定するためのセンサを含みうる。これらのセンサ219がどのように実施されうるかの特定の例が、以下により詳細に開示される。
幾つかの実施形態では、センサバンク108はまた、一又は複数のパターン形成された特徴221を含みうる。パターン形成された特徴221は、トレンチ、フィン、コンタクト孔、デュアルダマシン特徴、又は製造基板上に存在しうる任意の他のパターン形成された特徴を含み得る。パターン形成された特徴221はまた、実際のデバイスの製造中に遭遇するであろう処理条件と類似の処理条件を提供するために、製造ウエハで処理されるであろう同じ材料である材料から形成されてもよい。パターン形成された特徴221は、支持面104上に形成されてもよく、又は支持面104内に形成されてもよい。追加の実施形態は、交換可能な層105の上又は中にパターン形成された特徴221を形成することを含みうる。実施形態によれば、センサバンク108は、複数の特定の種類のセンサ219を含みうる。複数の所与の種類のセンサを有することには、幾つかの利点がある。1つの利点は、センサ219のうちの1つが動作不能になった場合、同じ処理条件をモニタする第2のセンサ219をバックアップとして使用してもよいことである。バックアップセンサを含めることで、プロセスモニタリングデバイスの耐用年数が延長しうる。
更に、センサは、異なるパターン形成された特徴221に近接して形成されうる。例えば、センサ219とセンサ219は、共に共振器などの膜の厚さのセンサでありうる。センサ219は、分離してパターン形成された特徴221に近接して位置し、センサ219は、複数の密集して離間配置された、パターン形成された特徴221に近接して位置する。したがって、パターン形成された特徴の密度から生じうる任意のローディング効果(負荷効果)も、同様にモニタされうる。
ここで図3を参照すると、プロセスモニタリングデバイス100の電子回路ハブ116のブロック図が、実施形態に従って示される。図3の参照は、電子回路ハブ116に対してなされているが、電子回路ハブ116の構成要素のうちの一又は複数が、基板102にわたって分布する電子回路113の各領域に含まれてもよいと理解すべきである。加えて、幾つかの実施形態では、電子回路ハブ116は、省略されてもよく、図3に記載された構成要素のうちの一又は複数は、電子回路113の各領域に設けられうる。プロセスモニタリングデバイス100の電子回路ハブ116は、ハウジング370内に包含又は支持されてもよく、又は露出されてもよい。ハウジング370及び/又は電子回路ハブ116の電子構成要素は、基板102の支持面104に装着されてもよい。実施形態では、電子回路ハブ116の一又は複数の構成要素が、基板102内に埋め込まれている。
基板102内に埋め込まれた電子回路を形成することにより、追加のセンサバンク108を形成するための支持面104により多くの表面積が提供される。それにもかかわらず、電子回路ハブ116は、基板102の反対側に装着された場合であっても、一又は複数の電気配線、電気リード線、又はビアを介して各センサバンク108のセンサ219と電気的に接続された状態で載置されうる。
プロセスモニタリングデバイス100の電子回路ハブ116は、基板102に装着されたクロック374を含みうる。クロック374は、当技術分野で知られているように、正確な周波数を有する電気信号を出力する電子発振器(例えば、水晶)を有する電子回路でありうる。したがって、クロック374は、電気信号に対応する時間値を出力するように構成されうる。時間値は、他の動作とは無関係の絶対時間値であってもよく、又は時間値は、基板処理ツール内の他のクロックに同期されてもよい(詳細は後述する)。例えば、クロック374によって出力された時間値が、システムクロックによって出力若しくは制御されるシステム時間値及び/又はシステム動作に対応するように、クロック374を基板処理ツールのシステムクロックに同期させてもよい。クロック374は、特定のプロセス動作が発生したときに時間値の出力を開始するように構成されうる。例えば、電子回路ハブ116は、プロセスモニタリングデバイス100が動きを止めると、時間値を出力し始めるようにクロック374をトリガする加速度計375を含みうる。したがって、時間値は、プロセスモニタリングデバイス100が基板処理ツールの特定のチャンバにいつローディング(搬入)されるかについての情報を提供しうる。
プロセスモニタリングデバイス100の電子回路ハブ116は、基板302に装着されたプロセッサ376を含みうる。プロセッサ376は、一又は複数のセンサ219及びクロック374に動作可能に結合されうる(例えば、バス377及び/又は配線114/115によって電気的に接続されうる)。プロセッサ376は、マイクロプロセッサ、中央処理装置などのような一又は複数の汎用処理装置を表す。より詳細には、プロセッサ376は、複合命令セットコンピューティング(CISC)マイクロプロセッサ、縮小命令セットコンピューティング(RISC)マイクロプロセッサ、超長命令語(VLIW)マイクロプロセッサ、他の命令セットを実装するプロセッサ、又は命令セットの組み合わせを実装するプロセッサでありうる。プロセッサ376はまた、特定用途向け集積回路(ASIC)、フィールドプログラマブルゲートアレイ(FPGA)、デジタル信号プロセッサ(DSP)、ネットワークプロセッサなどのような一又は複数の特殊用途処理装置であってもよい。
プロセッサ376は、本明細書で説明する動作を実行するための処理ロジックを実行するように構成される。例えば、プロセッサ376は、センサ219の所定の場所、クロック374によって出力される時間値、並びにセンサ219からの出力信号を送信及び/又は記録するように構成されうる。したがって、プロセッサ376は、処理動作中に基板上で発生するリアルタイム処理条件を送信及び/又は記録するように構成されうる。
プロセッサ376はまた、どのセンサ219がモニタされるかを決定しうる。例えば、所与のプロセス条件は、特定の処理動作の間に必要とされなくてもよい。このように、特定のプロセス条件を検出しているセンサ219からの出力信号は、送信及び/又は記録されない。加えて、プロセッサ376又はネットワークインターフェースデバイス371からの出力信号を受信する外部コンピュータにアクセス可能なファームウェア又はソフトウェアは、センサ219からの出力信号のうちの一又は複数の送信及び/又は記録を防止する命令を提供しうる。このような実施形態は、全ての可能なセンサがあらゆるプロセスモニタリングデバイス100上に形成され、次いで異なるファームウェア/ソフトウェアを提供することによって各プロセスモニタリングデバイス100の能力のカスタマイズを可能にするために有用でありうる。したがって、あらゆる種類のプロセスモニタリングデバイス100を製造するために単一のマスク(又はマスクセット)が必要とされるので、製造コストが削減されうる。
幾つかの実施形態では、電子回路ハブ116は、ネットワークインターフェースデバイス371を含みうる。ネットワークインターフェースは、非固体媒体を介して変調された電磁放射を使用して、データを通信しうる。ネットワークインターフェースデバイス371は、Wi-Fi(IEEE802.11 family)、WiMAX(IEEE 802.16 family)、IEEE 802.20、ロングタームエボリューション(LTE)、Ev-DO、HSPA、HSDPA、HSUPA、EDGE、GSM、GPRS、CDMA、TDMA、DECT、ブルートゥース、これらの派生物、更には3G、4G、5Gなどとして指定されるその他の無線プロトコルを含むがこれらに限定されない、多くの無線規格又はプロトコルのうちのいずれかを実装しうる。プロセッサ376は、バス377又は他の電気的接続を介してネットワークインターフェースデバイス371と通信しうる。したがって、プロセッサ376は、センサ219からの出力信号及びクロック374によって出力された時間値を外部デバイスに送信するために、ネットワークインターフェースデバイスに動作可能に接続されうる。
実施形態によれば、センサ219各々からの出力信号が、プロセッサ又は他の任意の構成要素によって最初に処理されることなく、ネットワークインターフェースデバイス371に送られるように、ネットワークインターフェースデバイス371は、センサ219に通信可能に接続される。次いで、ネットワークインターフェースデバイス371は、プロセスモニタリングデバイス100の外部にあるコンピューティングデバイスに出力信号を送信しうる。このように、センサ219からの出力信号は、処理される必要もなく、ローカルに記憶される必要もないので、実施形態は、電源379とネットワークインターフェースデバイス371とを含む電子回路を有するプロセスモニタリングデバイス100を含みうる。代わりに、実施形態は、センサ出力信号からのデータを外部デバイスで処理又は記録することを可能にする。
処理機能及び記憶機能を外部デバイスにオフロード(移譲)することには、幾つかの利点がある。第1に、デバイスの電力消費が低減される。したがって、コンデンサバンク(capacitor bank:コンデンサ群またはコンデンサ集合体)や圧電バネなどが出力信号を送信するのに十分な電力を供給しうるので、バッテリは不要なこともある。加えて、不要な構成要素を除去することによって電子回路の複雑性を低減することにより、より信頼性が高くより安価なデバイスが提供される。
プロセスモニタリングデバイス100の電子回路ハブ116は、基板102に装着されたメモリ378をオプションで含みうる。メモリ378は、メインメモリ(例えば、読み出し専用メモリ(ROM)、フラッシュメモリ、ダイナミックランダムアクセスメモリ(DRAM)(例えば、シンクロナスDRAM(SDRAM)若しくはラムバスDRAM(RDRAM)など))、スタティックメモリ(例えば、フラッシュメモリ、スタティックランダムアクセスメモリ(SRAM)など)、又は二次メモリ(例えば、データ記憶デバイス)のうちの一又は複数を含みうる。プロセッサ376は、バス377又は他の電気的接続を介して、メモリ378と通信しうる。したがって、センサ219からの出力信号及びクロック374によって出力された時間値をメモリ378に記録するために、プロセッサ376は、メモリ378に動作可能に結合されうる。
プロセスモニタリングデバイス100の電子回路ハブ116は、基板302に装着された電源379を含みうる。電源379は、バッテリ、コンデンサバンク、又は別の既知の電力供給を含みうる。電源379は、バス377を介してプロセスモニタリングデバイス100の構成要素のうちの一又は複数に電気的に接続され、接続された構成要素に電力を供給しうる。例えば、センサ219、クロック374、プロセッサ376、又はメモリ378のうちの一又は複数に電力を供給するために、電源379は、センサ219、クロック374、プロセッサ376、又はメモリ378のうちの一又は複数に電気的に接続されうる。
プロセスモニタリングデバイス100の電子回路ハブ116は、上述のプロセスモニタリングデバイス100の構成要素に電気的に接続された追加の構成要素を含みうる。具体的には、電子回路ハブ116は、周波数源372(例えば、広い周波数源)又は検出器373を含みうる。周波数源372及び/又は検出器373は、基板102に装着されうる。周波数源372及び検出器373は、プロセスモニタリングデバイス100の特定のセンサ219に関して特定の用途を有しうる。したがって、周波数源372及び検出器373の更なる説明は、以下の対応するセンサの説明まで保留される。
ここで図4Aを参照すると、プロセスモニタリングデバイス100のトランジスタセンサ型のセンサ219の概略図が、実施形態にしたがって示される。実施形態では、プロセスモニタリングデバイス100の一又は複数のセンサ219は、トランジスタセンサ219を含む。トランジスタセンサ219は、一又は複数のトランジスタ(例えば、金属酸化物半導体電界効果トランジスタ(MOSFET)442)を含みうる。MOSFET442は、ソース444、ドレイン446、及びゲート448を含みうる。トランジスタセンサ219は、コレクタ440も含みうる。コレクタ440は、膜432を堆積させる表面を有するように形成されうる。実施形態において、膜432は、処理動作中に厚さが変化することになる膜(例えば、堆積プロセス中に膜の厚さが増加し、エッチングプロセス中に膜の厚さが減少することになる膜)でありうる。したがって、実施形態は、膜432の厚さを減らすために使用されるエッチングプロセスに耐エッチング性のある材料であるコレクタ440を含む。
実施形態において、コレクタ440は、MOSFET442に電気的に接続されている。例えば、コレクタ440は、電気配線414を介してMOSFET442のゲート448に電気的に接続されうる。コレクタ440は、MOSFET442から物理的に分離されうるが、補助構成要素は、互いに電気的に接続されうる。したがって、コレクタ440がMOSFET442から離間した所定の場所に位置するときでさえ、MOSFET442は、コレクタ440上の膜432の厚さの増減を検出するように構成されうる。
実施形態において、コレクタ440は、外側リム443によって画定されるプロファイル(輪郭)を含みうる。外側リム443の形状は、下向きの方向に見ると、円形、長方形、又は他の任意の形状でありうる。更に、コレクタ440は、平坦であってもよく(即ち、コレクタ440は、本質的に平面である上面を有していてもよく)、又はコレクタ440は、図4Aに示すような円錐形状の上面を有していてもよい。実施形態において、コレクタ440は、MOSFET442とは別個の構造ではないが、代わりにMOSFET442に組み込まれる。例えば、コレクタ440は、MOSFET442のゲート448上の収集エリアでありうる。
実施形態において、トランジスタセンサ219の出力信号は、ゲート448にわたって測定されたMOSFET442の閾値電圧でありうる。閾値電圧は、コレクタ440上の膜432の厚さに直接対応しうる。例えば、膜432がコレクタ440の上にない場合、閾値電圧は、第1の値を有し、膜432がコレクタ440の上にある場合、閾値電圧は、第2の値(第1の値とは異なる)を有しうる。したがって、MOSFET442の閾値電圧は、コレクタ440の上の膜432の厚さに応じて変化しうる。プロセッサ376は、閾値電圧の変化を検出するように構成され、したがって、プロセスモニタリングデバイス100は、トランジスタセンサ219の場所における膜432の厚さの変化に気づくことができる。追加の実施形態は、ネットワークインターフェースデバイス371を用いて、出力信号(即ち、閾値電圧)を外部コンピューティングデバイスに送信することを含みうる。
ここで図4Bを参照すると、プロセスモニタリングデバイス100の共振器型センサ219の概略図が、実施形態にしたがって示される。実施形態では、プロセスモニタリングデバイス100の一又は複数のセンサ219は、共振器型センサ219を含む。共振器センサ219は、水晶振動子マイクロバランス(QCM)、表面弾性波(SAW)、又は膜バルク音響共振器(FBAR)のような適切な共振質量センサであってもよく、これらはすべて、これらの表面上に堆積した膜432の累積質量を定量化することが知られている。共振器の複雑性及び多様性の説明は、簡潔さと理解の容易さを目的として説明を簡略化するために、ここでは記載されない。共振器センサ219は、基板102の支持面104にわたる又は交換可能な層105(存在する場合)の上の所定の場所で一又は複数のセンサバンク108内に形成されうる。各共振器センサ219は、当技術分野で知られているように、特性周波数(例えば、共振周波数)を有しうる。例えば、詳細には触れないが、共振器センサ219は、図4Bに示すような単純な質量-ばねシステムによって表されてもよい。共振器センサ219の特性周波数は、共振器センサ219の質量Mに反比例しうる。例えば、特性周波数は、微小共振器システムの平方根sqrt(k/M)に比例しうるのだが、ここで「M」は質量Mに対応し、「k」は共振器センサ219の比例定数に対応する。したがって、共振器センサ219上の膜432の厚さが変化すると、特性周波数がシフトすると認識されるだろう。したがって、膜432の堆積又はエッチング中に、膜432の厚さがモニタされうる。
ここで図4Cを参照すると、プロセスモニタリングデバイス100の共振器型センサ219の概略図が、実施形態にしたがって示される。使用されうる1つの例示的種類の共振器センサ219は、熱作動式高周波単結晶シリコン共振器のような微小電気機械システム(MEMS)共振質量センサである。そのような共振器型センサ219は、単一マスクプロセスを使用して個々のデバイス又はアレイとして支持面104又は交換可能な層105の上に製造されうる。共振器センサ219は、対称面452の両側に2つのパッド450を含みうる。変動する電流を2つのパッド450の間に通して、電流経路に交流(AC)オーム損失成分を生じさせることがある。実施形態において、オーム損失の大部分は、パッド450を相互結合する薄いピラー454内で生じる。薄いピラー454は、中央に位置し、パッド450の間を対称面452に直交する方向に延びうる。ピラー454で発生した変動温度は、面内共振モードで共振器センサ219を作動させるために、ピラー454にAC力及び交流熱応力を生じさせることがある。面内共振モードでは、質量「M」を有するパッド450は、反対方向に振動する。したがって、共振時に、共振器センサ219は、振動パッド450の特性周波数を含み、ピラー454の抵抗は、ピエゾ抵抗効果による交流機械応力によって変調される。したがって、特性周波数に対応する共振センサ219内に検出可能な小信号運動電流が存在する。
共振器センサ219の特性周波数のシフトを検出するために、周波数源372及び検出器373は、プロセスモニタリングデバイス100の電子回路113/116に組み込まれうる。周波数源372は、共振器センサ219を励起するために使用される広い周波数源でありうる。検出器373は、共振器センサ219の特性周波数をモニタし、特性周波数の変化を検出しうる。例えば、検出器373は、特性周波数(例えば、出力電圧又は電流)に対応する信号をプロセッサ376に出力しうる。プロセッサ376は、出力電圧を受け取り、特性周波数の変化を認識するように構成されうる。したがって、出力電圧が変化する及び/又は共振器センサ219の特性周波数が変化すると、プロセスモニタリングデバイス100は、変化を膜432の厚さの変化として記録することができる。膜432の厚さの変化の時間及び場所はまた、処理動作の全過程中の特定の場所での膜432の厚さの変化のプロセスモニタリングを提供するために、同様に記録されてもよい。例えば、共振器センサ219の質量Mが増加するにつれて(例えば、膜432の厚さが増加するにつれて)、特性周波数が下降し、プロセスモニタリングデバイス100が膜の厚さの増加履歴を捕捉することが可能になる。代替的には、プロセッサ及びメモリがプロセスモニタリングデバイス100に含まれていない場合、出力信号は、処理動作のリアルタイムプロセスモニタリングを提供するために、ネットワークインターフェースデバイス371によって外部コンピューティングデバイスに送信されうる。
例示的なトランジスタセンサ及び共振センサが本明細書で提供されているが、処理動作中に異なる処理条件をモニタするために任意のセンサが使用されてもよいと理解すべきである。処理条件(例えば、膜の厚さ、粒子の有無、質量、基板温度、チャック温度、表面電荷、磁場強度、特定ガス濃度、プラズマのEEDF、VDCなど)に対応する出力信号(例えば、出力電圧、出力電流、周波数応答、時間測定値など)を生成可能な任意のセンサは、様々な実施形態に従って、センサバンク108内のセンサ219として使用されうる。したがって、実施形態は、基板上及び処理ステーション内の処理条件をリアルタイムでモニタ可能にする。実施形態によれば、プロセスモニタリングデバイス100は、任意の処理ステーションと併用されうる。幾つかの実施形態では、一又は複数の処理ステーションが、基板処理ツールに含まれうる。1つの例示的な基板処理ツール560の平面図が、実施形態にしたがって図5に示される。基板処理ツール560は、一又は複数のロードロック566によってファクトリインターフェース564に物理的に結合されたバッファチャンバ562を含みうる。ファクトリインターフェース564は、製造設備内のツール間で基板を搬送するために使用される一又は複数の前方開口型統一ポッド(FOUP)565を収容可能でありうる。プロセスモニタリングデバイス100が製造基板と類似のフォームファクタを有する実施形態において、同一の設備(例えば、FOUP、基板搬送ロボット(図示せず)など)が、製造施設内でプロセスモニタリングデバイス100を搬送するために使用されうる。
一又は複数の処理チャンバ568は、バッファチャンバ562に直接的に又は一又は複数のそれぞれのロードロック(図示せず)によって、物理的に結合されうる。バッファチャンバ562は、本質的に、処理チャンバ568内のプロセス圧力よりも高い圧力であるにもかかわらず、低圧のままである、処理チャンバ568のそれぞれの空間よりも大きな中間空間として作用しうる。したがって、基板(例えば、プロセスモニタリングデバイス又は製造基板)は、半導体デバイスの製造中に真空(又はほぼ真空)条件下で基板処理ツール560のチャンバ間を移動しうる。この移動は、例示を過度に複雑にしないために、図示されていない基板処理ツール560に含まれる様々なデバイス(例えば、ロボットアーム、シャトルなど)によって可能になりうる。種々の製造動作が、処理チャンバ568内で実行されうる。例えば、処理チャンバ568の少なくとも1つは、プラズマエッチチャンバ、堆積チャンバ、リソグラフィツールのチャンバ、又は他の任意の半導体プロセスツールチャンバでありうる。よって、処理チャンバ568は、真空条件下、大気条件下、又は他の任意の圧力状態下で製造プロセスを実行するために使用されてもよい。プロセスモニタリングデバイス100の各センサ219は、様々な処理チャンバ568又は任意の処理ステーションによって実施される処理動作中に、基板102上での所与の処理条件(例えば、膜の厚さ、粒子の有無、質量、基板温度、チャック温度、表面電荷、磁場強度、特定ガス濃度、プラズマのEEDF、VDCなど)の変化を感知するように構成されうる。
基板処理ツール560は、外部コンピュータ又はサーバ561に接続されうる。外部コンピュータ561は、基板上で実行される処理動作のための方策を提供し、施設全体にわたる基板の流れをモニタし、一般に自動化製造プロセスを提供するために使用されうる。基板処理ツール560は、外部コンピュータ561に有線又は無線で接続されうる。実施形態では、コンピュータ561はまた、処理ツール560に組み込まれてもよい。実施形態では、コンピュータ561は、電圧、ガス流量、圧力設定などのチャンバプロセスに対応するチャンバ568のそれぞれからの出力信号を受信しうる。加えて、コンピュータ561は、プロセスモニタリングデバイス100のネットワークインターフェースデバイス371によって、プロセスモニタリングデバイス100に無線で接続されうる。
このように、実施形態は、基板102上又は処理ステーション内のリアルタイムのプロセス条件を、処理動作中に外部コンピュータ561に送信可能にする。処理動作の過程にわたり、プロセス方策の変更がどのように条件(例えば、膜の厚さ、粒子の有無、質量、基板温度、チャック温度、表面電荷、磁場強度、特定ガス濃度、プラズマのEEDF、VDCなど)を変更するかの分析を提供するために、外部コンピュータ561は、プロセスモニタリングデバイス100によって得られたプロセス条件を、記録された処理ステーション設定値及び/又は処理ステーションのセンサ読み取り値と重ねるように構成されうる。したがって、処理方策は、所与の結果が生成される、又は改善された結果(例えば、基板の表面全体にわたってより均一な若しくは一貫した結果を有するプロセス)を生成するために精製されるといった処理方策を検証することができる。
ここで図6を参照すると、基板処理ステーション内のプロセスモニタリングデバイス100で基板上のプロセス条件をモニタリングするための方法における工程を表すフローチャートの説明図が、実施形態にしたがって示される。工程682において、プロセスモニタリングデバイス100は、処理ステーション(例えば、基板処理ツール560のチャンバ568)に挿入される。プロセスモニタリングデバイス100は、上述した構造及び構成要素(例えば、支持面104上の所定の場所に装着された一又は複数のセンサ219を備えた複数のセンサバンク108、一又は複数のパターン形成された特徴221、及び一又は複数のセンサ219から得られた出力信号を送信するためのネットワークインターフェース)を有しうる。センサ219の各々は、基板表面上又は処理ステーション内のプロセス条件に対応する出力信号を生成するように構成されうる。
工程682では、プロセスモニタリングデバイス100上のクロック374を起動し、処理ステーションに関連するクロックに同期させうる。例えば、クロック374は、ゼロの動きまでの減速を検出するプロセスモニタリングデバイス100上の加速度計375によって起動されうる。プロセスモニタリングデバイス100上のクロック374を処理ステーションに関連するクロックと同期させることにより、処理チャンバからのデータをプロセスモニタリングデバイス100からのデータと重ねることができる。
工程684において、プロセスモニタリングデバイス100を処理するための処理動作が開始される。例えば、基板処理ステーションは、外部コンピュータ561から処理方策を受信しうる。実施形態では、処理方策は、堆積プロセス、エッチングプロセス、露出プロセス、又は基板上のデバイスの製造に使用される任意の他の処理動作のためのものでありうる。実施形態によれば、処理動作は、続いて処理される製造基板上で使用されることになる処理動作であってもよく、又は処理動作は、新しい処理方策を開発するために、又は処理方策を精製するために使用されるDOEの一部であってもよい。例えば、VDCと表面温度との間の関係は、VDCの変化を含むプロセス方策を実行し、VDCの変化に応じて表面温度がどのように変化するかをモニタリングすることによって決定することができる。
工程686において、プロセスモニタリングデバイス100は、基板102上に形成された一又は複数のセンサ219から出力信号を取得しうる。実施形態によれば、出力信号は、処理動作中に基板102上又は処理ステーション内の処理条件に対応しうる。例えば、出力信号は、膜の厚さ、粒子の有無、質量、基板温度、チャック温度、表面電荷、磁場強度、特定ガス濃度、プラズマのEEDF、VDCなどに対応しうる。実施形態では、出力信号は、出力電圧、出力電流、周波数、時間測定値などでありうる。実施形態では、処理動作中に出力信号を連続的に取得しうる。代替的実施形態は、予め設定された間隔(例えば、0.5秒ごと、1秒ごと、5秒ごとなど)で取得することを含みうる。
工程688において、出力信号が、プロセスモニタリングデバイス100から受信される。実施形態では、出力信号は、プロセスモニタリングデバイス100上のネットワークインターフェースデバイス371から受信されうる。例えば、外部コンピュータ561は、ネットワークインターフェースデバイス371からの無線信号を介して出力信号を受信しうる。したがって、プロセス条件の変化のリアルタイム分析が得られうる。加えて、プロセス方策への変更がどのように基板を変更するかを決定するために、出力信号を処理ツール560上のセンサから得られたデータに重ねてもよい。プロセスモニタリングデバイス上のプロセッサ376及びメモリ378を含む代替的実施形態は、出力信号をメモリ378に記録してもよい。処理動作が完了した後に、メモリ378に記憶された情報は、解析のために外部コンピュータ561にダウンロードされてもよい。
追加の実施形態によれば、プロセスモニタリングデバイス100を使用するためのプロセスは、プロセスモニタリングデバイス100を改修することを含みうる。このようなプロセスにおける工程を表すフローチャートが図7に示される。工程792において、第1の複数のセンサ219が、プロセスモニタリングデバイスの表面104(又は交換可能な層105)の上に形成されうる。第1の複数のセンサは、上述したセンサ219と実質的に類似していてもよく、当技術分野で知られている堆積プロセス及びエッチングプロセスなどの典型的な半導体製造プロセスで形成されてもよい。
工程794において、プロセスモニタリングデバイス100は、処理ステーション(例えば、処理ツール560の処理チャンバ568)内で1回以上処理されうる。実施形態では、プロセスモニタリングデバイス100は、第1の複数のセンサ219の予想される使用可能寿命に対応する所定回数だけ処理されうる。第1の複数のセンサ219の予想される使用可能寿命は、プロセスモニタリングデバイス100で実行される処理動作の種類に部分的に依存してもよい。代替的には、プロセスモニタリングデバイス100は、センサ219が依然として動作可能であることを検証するために、定期的な検査を受けてもよい。いったんセンサが非動作(すなわち、信頼性の高いデータをもはや得ることができないほど十分に損傷されている)とみなされるか、又は所定数の処理動作が実行されてしまうと、プロセスモニタリングデバイス100は、改修されうる。追加の実施形態は、新しい種類のセンサが必要とされるとき、パターン形成された特徴221が再製造又は変更される必要があるとき、又は他の何らかの理由で、プロセスモニタリングデバイス100を改修することを含みうる。
工程796において、第1の複数のセンサ219が、プロセスモニタリングデバイス100から除去されうる。実施形態において、第1の複数のセンサ219の除去は、プロセスモニタリングデバイス100上の回路113/116又は相互接続ライン114/115を損傷又は除去することなく行われうる。例えば、回路113/116及び相互接続ライン114/115は、基板102内に埋め込まれてもよく、又は第1の複数のセンサ219を除去するために使用されるエッチングプロセスに対して耐性であってもよい。実施形態はまた、第1の複数のセンサ219が除去されるときに交換可能な層105を除去することも含みうる。
工程798において、第2の複数のセンサ219が、プロセスモニタリングデバイス100上に形成されうる。実施形態では、第2の複数のセンサ219は、第1の複数のセンサ219と同種及び/又は同数のセンサでありうる。代替的には、新しいセンサの種類が、第2の複数のセンサ219に含まれてもよい。プロセスモニタリングデバイス100の回路113/116への新しい電気相互接続114/115及び/又はビア117を形成する必要性を最小化又は排除するために、第2の複数のセンサ219は、第1の複数のセンサ219が配置された場所に形成されてもよい。交換可能な層105を含む実施形態では、第2の複数のセンサ219を形成する前に、第2の交換可能な層105が、支持面104上に形成されてもよい。したがって、プロセスモニタリングデバイス100は、改修可能であるため、使用可能寿命が延長されるか、又はアップグレードされうる。
ここで図8を参照すると、基板処理ツール560の例示的なコンピュータシステム561のブロック図が、実施形態に従って示される。図示されたコンピュータシステム561の一又は複数の構成要素は、プロセスモニタリングデバイス100の電子回路113/116で使用されうる。更に、基板処理ツール560は、コンピュータシステム561を組み込んでもよい。実施形態では、コンピュータシステム561は、ロボット、ロードロック、処理チャンバ、及び基板処理ツール560の他の構成要素に接続され、それらを制御する。コンピュータシステム561はまた、上述したように、基板処理ツール560にシステムログファイルを提供しうる。コンピュータシステム561はまた、プロセスモニタリングデバイス100から得られた出力信号を受信し分析しうる。すなわち、処理条件に対する変更がプロセスモニタリングデバイス100の表面上の処理条件をどのように変更するかを決定するために、コンピュータシステム561は、ウエハ製造プロセスのプロセス動作を制御し、プロセスに関連する時間及び動作を記録するためのログファイルを生成し、プロセスモニタリングデバイス100によって記録されたデータのログファイルを比較するために、基板処理ツール560に実装されうる。
コンピュータシステム561は、ローカルエリアネットワーク(LAN)、イントラネット、エクストラネット、又はインターネットの他の機械に接続(例えば、ネットワーク接続)されうる。コンピュータシステム561は、クライアント-サーバネットワーク環境内のサーバ又はクライアントマシンの収容能力内で、又はピアツーピア(又は分散型)ネットワーク環境内のピアマシンとして動作しうる。コンピュータシステム561は、パーソナルコンピュータ(PC)、タブレットPC、セットトップボックス(STB)、パーソナルデジタルアシスタント(PDA)、携帯電話、ウェブアプライアンス、サーバ、ネットワークルータ、スイッチ若しくはブリッジ、又はその機械によって行われる動作を特定する(連続した又は別様な)1セットの命令を実行可能な任意の機械でありうる。更に、コンピュータシステム561に対する単一の機械のみが示されているが、「機械」という用語は、本明細書に記載の方法のうちの任意の一又は複数を実行するために、1セット(又は複数のセット)の命令を個々に又は連携的に実行する機械(例えばコンピュータなど)の任意の集合体を含むとも解釈されよう。
コンピュータシステム561は、コンピュータシステム561(又は他の電子デバイス)が実施形態に従ったプロセスを実行するようプログラムするために使用されうる命令を記憶した持続的な機械可読媒体を有するコンピュータプログラム製品又はソフトウェア822を含みうる。機械可読媒体は、機械(例えば、コンピュータ)によって読み取り可能な形式で情報を格納又は送信する任意の機構を含む。例えば、機械可読(例えば、コンピュータ可読)媒体は、機械(例えば、コンピュータ)可読記憶媒体(例えば、読み出し専用メモリ(「ROM」)、ランダムアクセスメモリ(「RAM」)、磁気ディスク記憶媒体、光記憶媒体、フラッシュメモリ装置など)、機械(例えば、コンピュータ)可読伝送媒体(電気形態、光学形態、音響形態又は他の形態の伝播信号(例えば、赤外線信号、デジタル信号など))などを含む。
実施形態では、コンピュータシステム561は、システムプロセッサ802、メインメモリ804(例えば、読み出し専用メモリ(ROM)、フラッシュメモリ、ダイナミックランダムアクセスメモリ(DRAM)(同期DRAM(SDRAM)又はラムバスDRAM(RDRAM)など)、スタティックメモリ806(例えば、フラッシュメモリ、スタティックランダムアクセスメモリ(SRAM)など)、及び二次メモリ818(例えば、データ記憶デバイス)を含み、それらは、バス830を介して互いに通信する。
システムプロセッサ802は、マイクロシステムプロセッサ、中央処理ユニットなどのような一又は複数の汎用処理デバイスを表す。より詳細には、システムプロセッサは、複合命令セットコンピューティング(CISC)マイクロシステムプロセッサ、縮小命令セットコンピューティング(RISC)マイクロシステムプロセッサ、超長命令語(VLIW)マイクロシステムプロセッサ、他の命令セットを実装するシステムプロセッサ、又は命令セットの組み合わせを実装するシステムプロセッサでありうる。システムプロセッサ802はまた、特定用途向け集積回路(ASIC)などの一又は複数の特殊用途処理デバイス、フィールドプログラマブルゲートアレイ(FPGA)、デジタル信号システムプロセッサ(DSP)、ネットワークシステムプロセッサなどであってもよい。システムプロセッサ802は、本明細書に記載の動作を実行するための処理ロジックを実行するように構成される。
コンピュータシステム561は、プロセスモニタリングデバイス100といった、他のデバイス又は機械と通信するためのシステムネットワークインターフェースデバイス808を更に含みうる。コンピュータシステム561はまた、ビデオディスプレイユニット810(例えば、液晶ディスプレイ(LCD)、発光ダイオードディスプレイ(LED)、又は陰極線管(CRT))、英数字入力デバイス812(例えば、キーボード)、カーソル制御デバイス814(例えば、マウス)、及び信号生成デバイス816(例えば、スピーカ)も含みうる。
二次メモリ818は、本明細書に記載の方法又は機能のうちの任意の一又は複数を実施する、一又は複数のセットの命令(例えば、ソフトウエア822)が記憶される、機械アクセス可能記憶媒体831(又はより具体的にはコンピュータ可読記憶媒体)を含みうる。ソフトウェア822はまた、コンピュータシステム561によって実行されている間、完全に又は少なくとも部分的に、メインメモリ804及び/又はシステムプロセッサ802内に常駐してもよく、メインメモリ804及びシステムプロセッサ802も、機械可読記憶媒体を構成しうる。ソフトウェア822は、システムネットワークインターフェースデバイス808を介してネットワーク820上で更に送信又は受信されうる。
機械アクセス可能記憶媒体831は、単一の媒体である例示的実施形態で示されているが、「機械可読記憶媒体」という用語は、一又は複数のセットの命令を記憶する単一の媒体又は複数の媒体(例えば、集中型又は分散型のデータベース、及び/又は関連するキャッシュ及びサーバ)を含むと理解すべきである。「機械可読記憶媒体」という用語はまた、機械による実行のためのセットの命令を記憶又は符号化することができ、機械に方法のうちの任意の一又は複数を実行させる任意の媒体を含むものと解釈されよう。したがって、「機械可読記憶媒体」という用語は、固体メモリ、光媒体及び磁気媒体を含むが、これらに限定されないと解釈されよう。
前述の明細書中では、特定の例示的な実施形態について説明してきた。以下の特許請求の範囲から逸脱することなく、様々な変更例が可能であることが明らかであろう。したがって、明細書及び図面は、限定的な意味ではなく例示的な意味であるとみなされるべきである。

Claims (14)

  1. 基板と、
    前記基板の支持面に形成された複数のセンサであって、各センサが処理条件に対応する出力信号を生成可能である、複数のセンサと、
    前記基板の前記支持面の上または中にパターン形成された複数の特徴であって、前記パターン形成された複数の特徴の対応する1つが前記複数のセンサの対応する1つに近接している、パターン形成された複数の特徴と、
    前記基板の上に形成されたネットワークインターフェースデバイスであって、前記複数のセンサの各々が、前記ネットワークインターフェースデバイスに通信可能に接続される、ネットワークインターフェースデバイスと
    を含むプロセスモニタリングデバイス。
  2. 前記ネットワークインターフェースデバイスが、前記基板の前記支持面の上にはない、請求項1に記載のプロセスモニタリングデバイス。
  3. 前記ネットワークインターフェースデバイスが、前記基板内の空洞の中にある、請求項に記載のプロセスモニタリングデバイス。
  4. 前記センサの各々が、ビア構造によって前記ネットワークインターフェースデバイスに通信可能に接続される、請求項に記載のプロセスモニタリングデバイス。
  5. 前記複数のセンサが、前記支持面で一又は複数のゾーンにわたって分散される、請求項1に記載のプロセスモニタリングデバイス。
  6. 前記複数のセンサが、複数のセンサバンクにグループ化される、請求項に記載のプロセスモニタリングデバイス。
  7. 各センサバンクが複数のセンサを含み、かつ各センサバンクが一又は複数の異なる種類のセンサを含む、請求項6に記載のプロセスモニタリングデバイス。
  8. 前記センサのうちの少なくとも1つが、トランジスタセンサである、請求項に記載のプロセスモニタリングデバイス。
  9. 前記センサのうちの少なくとも1つが、共振器センサである、請求項に記載のプロセスモニタリングデバイス。
  10. 前記出力信号が電圧、電流、周波数又は時間測定値であり、前記処理条件が、膜の厚さ、粒子の有無、質量、基板温度、チャック温度、表面電荷、磁場強度、特定ガス濃度、プラズマの電子エネルギー分布関数(EEDF)、又はVDCのうちの一又は複数を含む、請求項1に記載のプロセスモニタリングデバイス。
  11. 前記支持面上の交換可能な層
    を更に含む、請求項1に記載のプロセスモニタリングデバイス。
  12. 処理動作をモニタリングするためのシステムであって、
    一又は複数の処理ステーションを含む処理ツールと、
    前記処理ステーションの一又は複数内で処理されるような大きさのプロセスモニタリングデバイスであって、
    基板と、
    前記基板の支持面に形成された複数のセンサであって、各センサが処理条件に対応する出力信号を生成可能である、複数のセンサと、
    前記基板の前記支持面の上または中にパターン形成された複数の特徴であって、前記パターン形成された複数の特徴の対応する1つが前記複数のセンサの対応する1つに近接している、パターン形成された複数の特徴と、
    前記基板の上に形成されたネットワークインターフェースデバイスであって、前記複数のセンサの各々が、前記ネットワークインターフェースデバイスに通信可能に接続される、ネットワークインターフェースデバイスと、
    を含む前記プロセスモニタリングデバイスと、
    前記ネットワークインターフェースデバイスにより前記プロセスモニタリングデバイスに通信可能に接続される、コンピュータと
    を含むシステム。
  13. 前記出力信号が電圧、電流、周波数又は時間測定値であり、前記処理条件が、膜の厚さ、粒子の有無、質量、基板温度、チャック温度、表面電荷、磁場強度、特定ガス濃度、プラズマの電子エネルギー分布関数(EEDF)、又はVDCのうちの一又は複数を含む、請求項12に記載のシステム。
  14. 前記支持面上の交換可能な層
    を更に含む、請求項12に記載のシステム。
JP2021117170A 2016-01-28 2021-07-15 リアルタイムのプロセス評価 Active JP7170099B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/009,705 US10818561B2 (en) 2016-01-28 2016-01-28 Process monitor device having a plurality of sensors arranged in concentric circles
US15/009,705 2016-01-28
JP2018539036A JP6947734B2 (ja) 2016-01-28 2016-12-13 リアルタイムのプロセス評価

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2018539036A Division JP6947734B2 (ja) 2016-01-28 2016-12-13 リアルタイムのプロセス評価

Publications (2)

Publication Number Publication Date
JP2021180321A JP2021180321A (ja) 2021-11-18
JP7170099B2 true JP7170099B2 (ja) 2022-11-11

Family

ID=59387073

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2018539036A Active JP6947734B2 (ja) 2016-01-28 2016-12-13 リアルタイムのプロセス評価
JP2021117170A Active JP7170099B2 (ja) 2016-01-28 2021-07-15 リアルタイムのプロセス評価

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2018539036A Active JP6947734B2 (ja) 2016-01-28 2016-12-13 リアルタイムのプロセス評価

Country Status (6)

Country Link
US (2) US10818561B2 (ja)
JP (2) JP6947734B2 (ja)
KR (2) KR102660879B1 (ja)
CN (2) CN108604556B (ja)
TW (2) TWI731915B (ja)
WO (1) WO2017131878A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7365324B2 (ja) 2015-12-24 2023-10-19 株式会社Fuji 実装装置

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170221783A1 (en) * 2016-01-28 2017-08-03 Leonard TEDESCHI Self-aware production wafers
CN107424947A (zh) * 2017-08-16 2017-12-01 君泰创新(北京)科技有限公司 薄膜电池工艺设备的温度测试方法及系统
US10763143B2 (en) * 2017-08-18 2020-09-01 Applied Materials, Inc. Processing tool having a monitoring device
US11346875B2 (en) * 2018-02-27 2022-05-31 Applied Materials, Inc. Micro resonator array sensor for detecting wafer processing parameters
US20220172968A1 (en) * 2020-12-01 2022-06-02 Applied Materials, Inc. In-chamber low-profile sensor assembly
JPWO2022249973A1 (ja) * 2021-05-26 2022-12-01
US20220392811A1 (en) * 2021-06-07 2022-12-08 Taiwan Semiconductor Manufacturing Company Ltd. Method and system for processing wafer
US20230044262A1 (en) * 2021-08-05 2023-02-09 Applied Materials, Inc. Microwave resonator array for plasma diagnostics

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004153119A (ja) 2002-10-31 2004-05-27 Tokyo Electron Ltd プロセスモニタ及び半導体製造装置
JP2006505940A (ja) 2002-11-04 2006-02-16 ブリオン テクノロジーズ,インコーポレーテッド 集積回路の製造を監視する方法及び装置
JP2006513583A (ja) 2002-12-03 2006-04-20 センサレー コーポレイション 統合化されたプロセス条件検知用ウェハおよびデータ解析システム
US20060234398A1 (en) 2005-04-15 2006-10-19 International Business Machines Corporation Single ic-chip design on wafer with an embedded sensor utilizing rf capabilities to enable real-time data transmission
JP2009244174A (ja) 2008-03-31 2009-10-22 Tokyo Electron Ltd ウェハ型温度計、温度測定装置、熱処理装置および温度測定方法

Family Cites Families (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5864773A (en) * 1995-11-03 1999-01-26 Texas Instruments Incorporated Virtual sensor based monitoring and fault detection/classification system and method for semiconductor processing equipment
US6244121B1 (en) 1998-03-06 2001-06-12 Applied Materials, Inc. Sensor device for non-intrusive diagnosis of a semiconductor processing system
US6366690B1 (en) * 1998-07-07 2002-04-02 Applied Materials, Inc. Pixel based machine for patterned wafers
US7294563B2 (en) * 2000-08-10 2007-11-13 Applied Materials, Inc. Semiconductor on insulator vertical transistor fabrication and doping process
US6696362B2 (en) 2001-02-08 2004-02-24 Applied Materials Inc. Method for using an in situ particle sensor for monitoring particle performance in plasma deposition processes
US20030115978A1 (en) * 2001-12-20 2003-06-26 Moehnke Stephanie J. Apparatus and method for monitoring environment within a container
US6889568B2 (en) * 2002-01-24 2005-05-10 Sensarray Corporation Process condition sensing wafer and data analysis system
JP2005531912A (ja) * 2002-07-03 2005-10-20 東京エレクトロン株式会社 半導体プラズマパラメータの非侵入性の測定と解析のための方法と装置
US6830650B2 (en) 2002-07-12 2004-12-14 Advanced Energy Industries, Inc. Wafer probe for measuring plasma and surface characteristics in plasma processing environments
JP4363860B2 (ja) 2003-02-04 2009-11-11 株式会社日立ハイテクノロジーズ 真空処理装置の異物管理装置及び異物管理方法
ATE371862T1 (de) * 2003-10-28 2007-09-15 Mettler Toledo Ag Thermoanalytischer sensor und verfahren zu dessen herstellung
JPWO2005050346A1 (ja) 2003-11-21 2007-06-07 日本電気株式会社 コンテンツ配信及び受信装置,コンテンツ送受信システム,コンテンツ配信及び受信方法,コンテンツ配信及び受信用プログラム
US20050225308A1 (en) 2004-03-31 2005-10-13 Orvek Kevin J Real-time monitoring of particles in semiconductor vacuum environment
US8026113B2 (en) * 2006-03-24 2011-09-27 Tokyo Electron Limited Method of monitoring a semiconductor processing system using a wireless sensor network
US7521915B2 (en) 2006-04-25 2009-04-21 Sokudo Co., Ltd. Wafer bevel particle detection
US7555948B2 (en) * 2006-05-01 2009-07-07 Lynn Karl Wiese Process condition measuring device with shielding
US8823933B2 (en) * 2006-09-29 2014-09-02 Cyberoptics Corporation Substrate-like particle sensor
JP5064835B2 (ja) * 2007-02-28 2012-10-31 株式会社アルバック 基板搬送装置
JP5407019B2 (ja) * 2007-08-31 2014-02-05 ラピスセミコンダクタ株式会社 プラズマモニタリング方法
US20090302002A1 (en) * 2008-02-29 2009-12-10 Applied Materials, Inc. Method and apparatus for removing polymer from a substrate
JP2010048717A (ja) * 2008-08-22 2010-03-04 Tokai Rika Co Ltd 位置検出装置
US8135560B2 (en) * 2009-01-30 2012-03-13 Applied Materials, Inc. Sensor system for semiconductor manufacturing apparatus
JP5434109B2 (ja) * 2009-02-06 2014-03-05 セイコーエプソン株式会社 超音波センサーユニット
JP5399730B2 (ja) * 2009-02-12 2014-01-29 株式会社Kelk センサ付き基板およびセンサ付き基板の製造方法
KR100988872B1 (ko) * 2009-07-08 2010-10-20 주식회사 나노포토닉스 회전 대칭형의 광각 렌즈를 이용하여 복합 영상을 얻는 방법과 그 영상 시스템 및 하드웨어적으로 영상처리를 하는 이미지 센서
US8676537B2 (en) * 2009-08-07 2014-03-18 Taiwan Semiconductor Manufacturing Company, Ltd. Portable wireless sensor
US8889021B2 (en) * 2010-01-21 2014-11-18 Kla-Tencor Corporation Process condition sensing device and method for plasma chamber
US8878926B2 (en) * 2010-09-17 2014-11-04 Applied Materials, Inc. Apparatus and method for analyzing thermal properties of composite structures
US8700199B2 (en) * 2011-03-21 2014-04-15 International Business Machines Corporation Passive resonator, a system incorporating the passive resonator for real-time intra-process monitoring and control and an associated method
WO2013036618A1 (en) * 2011-09-06 2013-03-14 Canfield Scientific, Incorporated Systems, devices, and methods for image analysis
US9360302B2 (en) * 2011-12-15 2016-06-07 Kla-Tencor Corporation Film thickness monitor
US20140262031A1 (en) * 2013-03-12 2014-09-18 Sergey G. BELOSTOTSKIY Multi-mode etch chamber source assembly
US9267781B2 (en) * 2013-11-19 2016-02-23 Infineon Technologies Ag On-axis magnetic field angle sensors, systems and methods
US9636797B2 (en) * 2014-02-12 2017-05-02 Applied Materials, Inc. Adjusting eddy current measurements
US9618588B2 (en) * 2014-04-25 2017-04-11 Infineon Technologies Ag Magnetic field current sensors, sensor systems and methods
NL2014403A (en) * 2014-04-28 2015-11-02 Asml Netherlands Bv Estimating deformation of a patterning device and/or a change in its position.
US10522380B2 (en) 2014-06-20 2019-12-31 Applied Materials, Inc. Method and apparatus for determining substrate placement in a process chamber
KR102236587B1 (ko) * 2014-09-04 2021-04-06 삼성전자주식회사 인덕션 장치 및 온도 조절 방법
US10067070B2 (en) * 2015-11-06 2018-09-04 Applied Materials, Inc. Particle monitoring device

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004153119A (ja) 2002-10-31 2004-05-27 Tokyo Electron Ltd プロセスモニタ及び半導体製造装置
JP2006505940A (ja) 2002-11-04 2006-02-16 ブリオン テクノロジーズ,インコーポレーテッド 集積回路の製造を監視する方法及び装置
JP2006513583A (ja) 2002-12-03 2006-04-20 センサレー コーポレイション 統合化されたプロセス条件検知用ウェハおよびデータ解析システム
US20060234398A1 (en) 2005-04-15 2006-10-19 International Business Machines Corporation Single ic-chip design on wafer with an embedded sensor utilizing rf capabilities to enable real-time data transmission
JP2009244174A (ja) 2008-03-31 2009-10-22 Tokyo Electron Ltd ウェハ型温度計、温度測定装置、熱処理装置および温度測定方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7365324B2 (ja) 2015-12-24 2023-10-19 株式会社Fuji 実装装置

Also Published As

Publication number Publication date
KR20180100071A (ko) 2018-09-06
US10818561B2 (en) 2020-10-27
CN108604556A (zh) 2018-09-28
KR102660879B1 (ko) 2024-04-24
WO2017131878A1 (en) 2017-08-03
US20170221775A1 (en) 2017-08-03
CN108604556B (zh) 2023-05-02
TW202139315A (zh) 2021-10-16
KR20240055907A (ko) 2024-04-29
US20210005518A1 (en) 2021-01-07
TWI747795B (zh) 2021-11-21
CN116525489A (zh) 2023-08-01
US11735486B2 (en) 2023-08-22
TWI731915B (zh) 2021-07-01
TW201737379A (zh) 2017-10-16
JP6947734B2 (ja) 2021-10-13
JP2019508888A (ja) 2019-03-28
JP2021180321A (ja) 2021-11-18

Similar Documents

Publication Publication Date Title
JP7170099B2 (ja) リアルタイムのプロセス評価
US10718719B2 (en) Particle monitoring device
JP7288493B2 (ja) マイクロセンサを有するウエハ処理ツール
JP6865760B2 (ja) 自己認識生産ウエハ

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20210813

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210924

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20220930

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20221011

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20221031

R150 Certificate of patent or registration of utility model

Ref document number: 7170099

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150