KR20170104136A - 다중 패턴의 형성 방법 - Google Patents

다중 패턴의 형성 방법 Download PDF

Info

Publication number
KR20170104136A
KR20170104136A KR1020170112648A KR20170112648A KR20170104136A KR 20170104136 A KR20170104136 A KR 20170104136A KR 1020170112648 A KR1020170112648 A KR 1020170112648A KR 20170112648 A KR20170112648 A KR 20170112648A KR 20170104136 A KR20170104136 A KR 20170104136A
Authority
KR
South Korea
Prior art keywords
developer
pattern
acid
resist pattern
composition
Prior art date
Application number
KR1020170112648A
Other languages
English (en)
Other versions
KR102039572B1 (ko
Inventor
홍창영
쳉-바이 슈
김정우
콩 류
신타로 야마다
로리 앤 조에스텐
이충봉
필립 디. 허스태드
제임스 씨. 테일러
Original Assignee
롬 앤드 하스 일렉트로닉 머트어리얼즈 엘엘씨
다우 글로벌 테크놀로지스 엘엘씨
롬엔드하스전자재료코리아유한회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 롬 앤드 하스 일렉트로닉 머트어리얼즈 엘엘씨, 다우 글로벌 테크놀로지스 엘엘씨, 롬엔드하스전자재료코리아유한회사 filed Critical 롬 앤드 하스 일렉트로닉 머트어리얼즈 엘엘씨
Publication of KR20170104136A publication Critical patent/KR20170104136A/ko
Application granted granted Critical
Publication of KR102039572B1 publication Critical patent/KR102039572B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/002Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor using materials containing microcapsules; Preparing or processing such materials, e.g. by pressure; Devices or apparatus specially designed therefor
    • G03F7/0022Devices or apparatus
    • G03F7/0025Devices or apparatus characterised by means for coating the developer
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • G03F7/0397Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition the macromolecular compound having an alicyclic moiety in a side chain
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2041Exposure; Apparatus therefor in the presence of a fluid, e.g. immersion; using fluid cooling means
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • G03F7/322Aqueous alkaline compositions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • G03F7/325Non-aqueous compositions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • G03F7/405Treatment with inorganic or organometallic reagents after imagewise removal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Organic Chemistry (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Materials For Photolithography (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

다중 패턴의 형성 방법이 제공된다. 이 방법은 (a) 패턴화될 하나 이상의 층을 포함하는 반도체 기판을 제공하는 단계; (b) 산 불안정성 그룹을 포함하는 매트릭스 폴리머; 광산 발생제; 및 용매를 포함하는 조성물로부터 형성된 포토레지스트 층을 패턴화될 하나 이상의 층 위에 형성하는 단계; (c) 포토레지스트 층을 활성화 조사선에 패턴식으로 노광하는 단계; (d) 노광된 포토레지스트 층을 베이킹하는 단계; (e) 베이킹된 포토레지스트 층을 제1 현상제와 접촉하여 제1 레지스트 패턴을 형성하는 단계; (f) 제1 레지스트 패턴의 측벽 영역의 용해도를 제1 현상제와 상이한 제2 현상제에 대해 용해성에서 불용성으로 변경하기 위한 수단을 포함하는 코팅 조성물로 제1 레지스트 패턴을 처리하는 단계; 및 (g) 처리된 제1 레지스트 패턴을 제2 현상제와 접촉하여 제1 레지스트 패턴의 일부를 제거함으로써 용해도-변경된 측벽 영역을 남겨 다중-패턴을 형성하는 단계를 포함한다. 상기 방법은 미세 리소그래피 패턴의 형성을 위한 반도체 제조 산업에서 특정 응용성을 가진다.

Description

다중 패턴의 형성 방법{MULTIPLE-PATTERN FORMING METHODS}
본 발명은 일반적으로 전자 디바이스의 제조에 관한 것이다. 보다 특히, 본 발명은 미세 리소그래피 패턴의 형성을 위한 다중 패턴의 형성 방법에 관한 것이다.
반도체 제조 산업에서, 포토레지스트 물질은 반도체 기판 상에 배치된 하나 이상의 하부층, 예컨대 금속, 반도체 또는 유전층뿐 아니라 기판 자체에 이미지를 전달하기 위해 사용된다. 반도체 디바이스의 집적 밀도를 증가시키고, 나노미터 범위의 치수를 갖는 구조의 형성을 가능하게 하기 위하여, 고분해능을 갖는 포토레지스트 및 포토리소그래피 공정 툴이 개발되었고 계속해서 개발되고 있는 중이다.
반도체 디바이스에서 나노미터-스케일 피쳐(feature) 크기를 달성하기 위한 한 방법은, 화학적 증폭형 포토레지스트의 노광중에 단파장 광, 예컨대 193 nm 이하를 사용하는 것이다. 침지(immersion) 리소그래피는, 예를 들어 KrF 또는 ArF 광원을 갖는 스캐너와 같은 이미지화 장치의 렌즈 개구수(numerical aperture)를 효과적으로 증가시킨다. 이는 이미지화 장치의 최종 표면과 반도체 웨이퍼의 상부 표면 사이에 상대적으로 고 굴절율 유체(즉, 침지 유체)를 사용함으로써 달성된다. 침지 유체는 공기 또는 비활성 가스 매질을 사용한 것보다 훨씬 많은 양의 광을 레지스트 층에 집중되도록 할 수 있다.
라인 및 공간 패턴의 인쇄를 위한 193 nm 침지 스캐너는 전형적으로 36 nm 반피치 라인 및 공간 패턴을 분해할 수 있다. 접촉홀 또는 임의적인 2D 패턴의 인쇄를 위한 분해는 암시야 마스크에 의한 공중 이미지 콘트라스트로 인해서 더욱 제한된다. 침지 리소그래피를 위한 접촉홀의 최소 반피치는 일반적으로 약 50 nm로 제한된다. 표준 침지 리소그래피 공정은 일반적으로 더 큰 분해를 요하는 장치 제조에 적합치 않다.
기존 리소그래피 툴의 분해능을 더 높이면서 성능을 증대시키려는 일환으로, 다양한 이중 패턴화 기술이 제안되었다. 이러한 기술중 하나는 자기 정렬 이중 패턴화 (SADP)이다 (참조예: US2009/0146322A1). 통상적인 SADP 공정에서는, 스페이서층이 사전 패턴화된 라인 위에 형성되고, 이어서 에칭으로 라인 및 공간의 수평 표면 상에 모든 스페이서층 물질이 제거됨으로써 라인의 측벽 상에 물질만이 남게된다. 이어 원래의 패턴화된 라인이 에칭되어 측벽 스페이서가 남고 이는 하나 이상의 하부층의 에칭을 위한 마스크로서 사용된다. 라인마다 2개의 스페이서가 존재하기 때문에, 라인 밀도는 유효하게 배가된다. 통상적인 SADP 공정은 복잡한 침착 및 에칭 장비와 처리 스킴을 필요로 하여, 처리량 면에서 불리하고, 웨이퍼 오염을 증대시킬 수 있다. 이러한 문제를 피하거나 최소화한 더 간단한 이중 패턴화 접근을 이용하는 것이 바람직하다.
다른 이중 패턴화 기술은 예를 들어, 문헌["Exploration of New Resisit Chemistries and Process Methods for Enabling Dual-Tone Development," C. Fonseca et al, 6th International Symposium on Immersion Lithography Extensions, Prague, Czech Republic (October 22, 2009)]에 기술된 이중 현상 방법이다. 이 기술은 먼저 고 노광 선량 영역을 제거하기 위한 포지티브 톤 현상제 (예를 들면, TMAH), 이어서 비노광 또는 최저 노광 선량 영역을 제거하기 위한 네거티브 톤 현상제 (유기 용매)에 의해, 포토레지스트층을 두 번 현상함으로써 포토레지스트층으로부터 형성된 피쳐의 수를 배가시킨다. 네거티브 톤 현상제는 전형적으로 레지스트 패턴의 두 대항 측벽을 한정하는 중간 선량의 영역을 남기면서 포지티브 톤 현상 후 형성된 레지스트 패턴의 특정 영역을 제거하도록 의도된다. 염기성 이중 현상 방법과 관련된 문제는 선폭 거칠 (LWR) 불량 및 허용되지 않는 패턴 형상을 포함한다. 이같은 문제는 포지티브 톤 현상 후 패턴 측벽의 낮은 산 콘트라스트 때문으로 이해된다.
씨. 폰세카(C. Fonseca) 등에 의한 문헌에 포지티브 톤 현상 후 플러드 노광 및 베이킹 단계를 포함하는 이중 현상 공정이 추가 기술되었다. 측벽 구역에 산 불안정성 그룹이 탈보호된 결과 레지스트 패턴의 측벽에 산 함량이 높아져 측벽 부분이 네거티브 톤 현상제에 용해되지 않을 것으로 판단된다. 그러나, 이 방법은 플러드 노광 및 베이킹 동안, 기존의 저 선량 영역을 포함한 레지스트 패턴을 통해 산 불안정성 그룹이 동시에 탈보호될 수 있다는 단점이 있다. 이는 저 선량 영역을 네거티브 톤 현상제에 불용성 또는 부분 불용성으로 만들어 현상제가 레지스트 패턴의 중심 부분으로 침투하여 이를 완전히 제거하는 것이 어려워 패턴 결함으로 이어질 수 있다.
당업계에서는 최신기술과 관련된 상기 문제들을 하나 이상 다룬 전자 디바이스 제조에 유용한 다중 패턴화 공정이 여전히 요구된다.
발명의 개요
본 발명의 일 양태에 따라, 다중 패턴 형성 방법이 제공된다. 이 방법은 (a) 패턴화 될 하나 이상의 층을 포함하는 반도체 기판을 제공하는 단계; (b) 산 불안정성 그룹을 포함하는 매트릭스 폴리머; 광산 발생제; 및 용매를 포함하는 조성물로부터 형성된 포토레지스트층을 상기 패턴화 될 하나 이상의 층 위에 형성하는 단계; (c) 포토레지스트 조성물 층을 활성화 조사선에 패턴식으로 노광하는 단계; (d) 노광된 포토레지스트층을 베이킹하는 단계; (e) 베이킹된 포토레지스트층을 제1 현상제와 접촉시켜 제1 레지스트 패턴을 형성하는 단계; (f) 제1 레지스트 패턴을 제1 레지스트 패턴의 측벽 영역의 용해도를 제1 현상제와 상이한 제2 현상제에 대해서 용해성에서 불용성으로 변경하기 위한 수단을 포함하는 코팅 조성물로 처리하는 단계; (g) 처리된 제1 레지스트 패턴을 제2 현상제와 접촉시켜 제1 레지스트 패턴의 부분들을 제거하고 용해도가 변경된 측벽 영역을 남김으로써 다중-패턴을 형성하는 단계를 포함한다.
본 발명의 방법은 고분해 패턴을 제공하기 위한 반도체 디바이스의 제조에 특정 응용성을 갖는다. 본원에서 사용된 용어는 특정 구체예를 기술할 목적으로만 제공되며 본 발명을 제한하려는 것은 아니다. 단수는 맥락상 달리 지시되지 않는 한 단수와 복수 모두를 포함하고자 한다.
본 발명은 하기 도면을 참조하여 기술되며, 동일한 참조 번호는 동일한 피쳐를 표시한다.
도 1A-G는 본 발명에 따른 이중 현상 공정에 의한 포토리소그래픽 다중-패턴의 형성을 위한 공정 흐름도를 도시한다;
도 2A-G는 본 발명의 추가 양태에 따른 이중 현상 공정에 의한 포토리소그래픽 다중-패턴의 형성을 위한 공정 흐름도를 도시한다;
도 3A-H는 본 발명의 추가 양태에 따른 이중 현상 공정에 의한 포토리소그래픽 다중-패턴의 형성을 위한 공정 흐름도를 도시한다.
상세한 설명
이하, 본 발명이 다중 패턴을 형성하기 위한 예시적인 공정 흐름을 보여주는 도 1을 참조로 하여 기술될 것이다. 도 1A는 다양한 층 및 피쳐를 포함할 수 있는 기판 (100)의 단면을 나타낸다. 기판은 반도체, 예컨대 실리콘 또는 화합물 반도체 (예: III-V 또는 II-VI), 유리, 석영, 세라믹, 구리 등의 물질로 이루어질 수 있다. 전형적으로, 기판은 반도체 웨이퍼, 예컨대 단결정 실리콘 또는 화합물 반도체 웨이퍼이며, 그의 표면상에 형성된 하나 이상의 층 및 패턴화된 피쳐를 가질 수 있다. 패턴화될 하나 이상의 층 (102)이 기판 (100) 위에 제공될 수 있다. 임의로는 예를 들어, 기판 물질에 트렌치(trenche)를 형성하는 것이 요구되는 경우, 하부 베이스 기판 물질 자체가 패턴화될 수 있다. 베이스 기판 물질 자체가 패턴화되는 경우, 패턴은 기판층에 형성되도록 고려될 것이다.
층은, 예를 들어, 알루미늄, 구리, 몰리브덴, 탄탈룸, 티탄, 텅스텐, 합금, 이들 금속의 질화물 또는 규화물, 도핑된 무정형 실리콘 또는 도핑된 폴리실리콘 층과 같은 하나 이상의 전도층, 실리콘 산화물, 실리콘 질화물, 실리콘 옥시질화물, 또는 금속 산화물의 층과 같은 하나 이상의 유전층, 반도체 층, 예컨대 단결정 실리콘, 및 이들의 조합을 포함할 수 있다. 에칭될 층은 다양한 기술, 예를 들면, 플라즈마 촉진 CVD, 저압 CVD 또는 에피택셜(epitaxial) 성장과 같은 화학증착(CVD), 스퍼터링 또는 증발과 같은 물리적 증착(PVD), 또는 전기도금으로 형성될 수 있다. 에칭될 하나 이상의 층 (102)의 특정 두께는 재료 및 형성할 특정 장치에 따라 달라질 것이다.
에칭될 특정 층, 막 두께 및 사용되는 포토리소그래피 물질 및 공정에 따라서, 하드마스크 층 및/또는 포토레지스트 층 (104)이 위에 코팅되는 하부 반사방지 코팅 (BARC)이 배치될 수 있다. 에칭될 층이 상당한 에칭 깊이를 필요로 하고/하거나 특정 에칭제의 레지스트 선택성이 좋지 않을 경우에는, 예를 들어 매우 얇은 레지스트 층의 하드마스크 층을 사용하는 것이 바람직할 수 있다. 하드마스크 층을 사용할 경우, 형성될 레지스트 패턴을 하드마스크 층으로 전사시킨 후에, 에칭 하부 층용 마스크로 사용할 수 있다. 적절한 하드마스크 물질 및 그의 형성방법은 업계에 공지되었다. 전형적인 물질로는 예를 들어, 텅스텐, 티탄, 티탄 질화물, 티탄 산화물, 자르코늄 산화물, 알루미늄 산화물, 알루미늄 옥시질화물, 하프늄 산화물, 무정형 탄소, 실리콘 옥시질화물 및 실리콘 질화물을 들 수 있다. 하드마스크 층은 상이한 물질의 다수의 층 또는 단일층을 포함할 수 있다. 하드마스크 층은, 예를 들어, 화학 또는 물리적 증착 기술로 형성될 수 있다.
포토레지스트 노광동안 기판 및/또는 하부 층이 상당량의 입사 조사선을 반사하여 형성 패턴의 질에 불리한 영향을 준다면 하부 반사방지 코팅이 요구될 수 있다. 이러한 코팅은 초점 깊이, 노출 관용도, 선폭 균일도 및 CD 제어를 향상시킬 수 있다. 레지스트가 심자외광(300 nm 이하), 예를 들어, KrF 엑시머 레이저 광(248 nm) 또는 ArF 엑시머 레이저 광(193 nm)에 노광되는 경우, 반사방지 코팅이 전형적으로 사용된다. 반사방지 코팅은 단일층 또는 다수의 상이한 층을 포함할 수 있다. 적합한 반사방지 물질 및 형성방법은 업계에 공지되었다. 반사방지 물질은, 예를 들어 롬앤드하스 일렉트로닉 머티리얼사(Rohm and Haas Electronic Materials LLC, Marlborough, MA USA)에서 ARTM 등록상표로 시판되고 있는 것을 상업적으로 입수할 수 있다.
포토레지스트 층 (104)은 화학적으로 증폭된 감광성 조성물로부터 형성된다. 포토레지스트 조성물은 스핀 코팅, 딥핑, 롤러 코팅 또는 다른 통상적인 코팅 기술에 의해 기판에 도포할 수 있다. 이들 중 스핀 코팅이 전형적이다. 스핀 코팅에 있어서는, 코팅 용액의 고체 함량을 조절하여 사용된 특정 코팅 장비, 용액의 점도, 코팅툴의 속도 및 스피닝에 허용되는 시간 등에 따라 원하는 필름 두께를 제공할 수 있다. 포토레지스트층 (104)의 전형적인 두께는 약 500 내지 3000 Å이다.
본 발명에서 유용한 포토레지스트 조성물은 산 민감성 매트릭스 수지를 포함하는 화학적으로 증폭된 포토레지스트 조성물이며, 이는 포토레지스트 조성물 층의 일부로서 수지와 조성물층이 소프트베이킹, 활성 조사선에 대한 노광 및 노광후 베이킹 이후 광산 발생제에 의해 생성된 산과 반응한 결과 유기 현상제에서 용해도의 변화가 발생하는 것을 의미한다. 용해도 변화는, 매트릭스 폴리머 내의 산 불안정성 에스테르 또는 아세탈 그룹과 같은 산-불안정성 이탈 그룹이 활성 조사선에 대한 노광과 열 처리시에 광산 촉진 탈보호화 반응을 일으켜 산을 생성할 때 발생한다. 본 발명에 적합한 포토레지스트 조성물은 상업적으로 입수할 수 있다.
200 nm 이하의 파장, 예를 들면 193 nm에서의 이미지화에서 매트릭스 폴리머는 전형적으로 조사선을 고도로 흡수하는, 페닐, 벤질 또는 다른 방향족 그룹이 실질적으로 없거나(예를 들면 15 mole% 미만), 전혀 없다. 바람직한 산 불안정성 그룹은, 예를 들면 매트릭스 폴리머의 에스테르의 카복실 산소에 공유결합된 삼차 비환형 알킬 탄소 (예: t-부틸) 또는 삼차 알리사이클릭 탄소 (예: 메틸아다만틸)를 함유한 아세탈 그룹 또는 에스테르 그룹을 포함한다.
적합한 매트릭스 폴리머는 또한 (알킬)아크릴레이트 단위, 바람직하게 산 불안정성 (알킬)아크릴레이트 단위, 예를 들면 t-부틸 아크릴레이트, t-부틸 메타크릴레이트, 메틸아다만틸 아크릴레이트, 메틸 아다만틸 메타크릴레이트, 에틸펜실(ethylfenchyl) 아크릴레이트, 에틸펜실 메타크릴레이트 등, 및 다른 비환형 알킬 및 알리사이클릭 (알킬)아크릴레이트를 포함하는 폴리머이다. 다른 적합한 매트릭스 폴리머는, 예를 들어 임의로 치환된 노보넨 같은 비방향족 환형 올레핀(환내 이중결합)의 중합 단위를 포함하는 것들이다.
또다른 적합한 매트릭스 폴리머는 중합된 무수물 단위, 특히 중합된 말레산 무수물 및/또는 이타콘산 무수물 단위를 포함하는 폴리머를 포함하며, 예를 들면 유럽 공개특허 출원 제EP01008913A1과 미국 특허 제6,048,662호에 기술된 것들이다. 또한, 매트릭스 폴리머로서는 헤테로원자, 특히 산소 및/또는 황(무수물 이외의 것으로, 즉 단위는 케토 환 원자를 포함하지 않는다)을 함유하는 반복 단위를 포함하는 수지가 적합하다. 헤테로알리사이클릭 단위는 폴리머 백본에 융합될 수 있고, 노보넨 그룹의 중합으로 제공된 것과 같은 융합된 탄소 알리사이클릭 단위 및/또는 말레산 무수물 또는 이타콘산 무수물의 중합으로 제공된 것과 같은 무수물 단위를 포함할 수 있다. 이러한 폴리머는 국제출원 PCT/US01/14914 및 미국 특허 제6,306,554호에 기술되어 있다. 다른 적합한 헤테로원자 그룹을 함유하는 매트릭스 폴리머는, 예를 들면 미국 특허 제7,244,542호에 기술된 것과 같이 하나 이상의 헤테로원자(예: 산소 또는 황)를 함유하는 그룹, 예를 들면 하이드록시 나프틸 그룹으로 치환된 중합 카보사이클릭 아릴 단위를 함유하는 폴리머이다.
2 이상의 상기한 매트릭스 폴리머의 블렌드를 포토레지스트 조성물에서 적절히 사용할 수 있다. 포토레지스트 조성물에서 사용하기 위한 적합한 매트릭스 폴리머는 상업적으로 입수가능하고 당업자에 의해 용이하게 제조될 수 있다. 매트릭스 폴리머는 레지스트의 노광된 코팅층이 적합한 현상제 용액에서 현상될 수 있도록 하기에 충분한 양으로 레지스트 조성물 중에 존재한다. 전형적으로 매트릭스 폴리머는 레지스트 조성물의 총 고체에 대하여 50 내지 95 wt%의 양으로 조성물 중에 존재한다. 매트릭스 폴리머의 중량 평균분자량, Mw는 전형적으로 100,000 미만, 예를 들면 5000 내지 100,000, 보다 전형적으로 5000 내지 15,000이다.
포토레지스트 조성물은 또한 활성 조사선에 노광되었을 때 조성물의 코팅층에서 잠상을 생성하는데 충분한 양으로 사용된 광산 발생제(PAG)를 포함한다. 예를 들면 광산 발생제는 포토레지스트 조성물의 총 고체에 기초해 약 1 내지 20 wt%의 양으로 적절히 존재할 것이다. 전형적으로, 비화학적으로 증폭된 물질과 비교하여 더 적은 양의 PAG가 화학적으로 증폭된 레지스트에 적합할 것이다.
적합한 PAG는 화학적으로 증폭된 포토레지스트의 분야에서 공지되어 있으며, 예를 들어 오늄염, 예를 들면 트리페닐설포늄 트리플루오로메탄설포네이트, (p-tert-부톡시페닐)디페닐설포늄 트리플루오로메탄설포네이트, 트리스(p-tert-부톡시페닐)설포늄 트리플루오로메탄설포네이트, 트리페닐설포늄 p-톨루엔설포네이트; 니트로벤질 유도체, 예를 들면 2-니트로벤질-p-톨루엔설포네이트, 2,6-디니트로벤질-p-톨루엔설포네이트, 및 2,4-디니트로벤질-p-톨루엔설포네이트; 설폰산 에스테르, 예를 들면 1,2,3-트리스(메탄설포닐옥시)벤젠, 1,2,3-트리스(트리플루오로메탄설포닐옥시)벤젠, 및 1,2,3-트리스(p-톨루엔설포닐옥시)벤젠; 디아조메탄 유도체, 예를 들면 비스(벤젠설포닐)디아조메탄, 비스(p-톨루엔설포닐)디아조메탄; 글리옥심 유도체, 예를 들면 비스-O-(p-톨루엔설포닐)-α-디메틸글리옥심, 및 비스-O-(n-부탄설포닐)-α-디메틸글리옥심; N-하이드록시이미드 화합물의 설폰산 에스테르 유도체, 예를 들면 N-하이드록시숙신이미드 메탄설폰산 에스테르, N-하이드록시숙신이미드 트리플루오로메탄설폰산 에스테르; 및 할로겐-함유 트리아진 화합물, 예를 들면 2-(4-메톡시페닐)-4,6-비스(트리클로로메틸)-1,3,5-트리아진, 및 2-(4-메톡시나프틸)-4,6-비스(트리클로로메틸)-1,3,5-트리아진을 포함한다. 이러한 PAG를 하나 이상 사용할 수 있다.
포토레지스트 조성물에 적합한 용매는, 예를 들면 글리콜 에테르, 예컨대 2-메톡시에틸 에테르(디글라임), 에틸렌 글리콜 모노메틸 에테르 및 프로필렌 글리콜 모노메틸 에테르; 프로필렌 글리콜 모노메틸 에테르 아세테이트; 락테이트, 예컨대 메틸 락테이트 및 에틸 락테이트; 프로피오네이트, 특히 메틸 프로피오네이트, 에틸 프로피오네이트, 에틸 에톡시 프로피오네이트 및 메틸-2-하이드록시 이소부티레이트; 셀로솔브 에스테르, 예컨대 메틸 셀로솔브 아세테이트; 방향족 탄화수소, 예컨대 톨루엔 및 크실렌; 및 케톤, 예컨대 아세톤, 메틸에틸 케톤, 사이클로헥사논 및 2-헵타논을 포함한다. 용매 블렌드, 예를 들면 상기한 용매의 2 또는 3 이상의 블렌드가 또한 적합하다. 용매는 포토레지스트 조성물의 총 중량에 기초해 전형적으로 90 내지 99 wt%, 더욱 전형적으로 95 내지 98 wt%의 양으로 조성물 중에 존재한다.
포토레지스트 조성물은 또한 다른 임의적인 물질을 포함할 수 있다. 예를 들면 네거티브 작용 레지스트 조성물은 전형적으로 가교제 성분을 또한 포함한다. 적합한 가교제 성분은, 예를 들면 아민계 물질, 예를 들면 멜라민 수지이고, 광산 발생제가 활성 조사선에 노광될 때 산에 노출되면 경화하거나 가교하거나 경질될 것이다. 바람직한 가교제는 아민계 물질, 예를 들면 멜라민, 글리콜우릴 (glycoluril), 벤조구아나민(benzoguanamine)계 물질 및 우레아계 물질이다. 멜라민-포름알데히드 수지가 일반적으로 가장 바람직하다. 이러한 가교제는 상업적으로 입수할 수 있으며, 예를 들면 American Cyanamid가 상표명 Cymel 300, 301 및 303으로 판매하는 멜라민 수지가 있다. 글리콜우릴 수지는 American Cyanamid가 상표명 Cymel 1170, 1171, 1172로 판매하고 있으며, 우레아계 수지는 상표명 Beetle 60, 65 및 80으로, 벤조구아나민 수지는 상표명 Cymel 1123 및 1125로 판매된다. 193 nm 같은 200 nm 이하 파장에서의 이미지화에 있어서, 바람직한 네거티브 작용 포토레지스트는 국제 특허출원 WO 03077029 (Shipley Company)에 기술되어 있다.
포토레지스트 조성물은 또한 다른 임의적인 물질을 포함할 수 있다. 예를 들면 조성물은 하나 이상의 화학선 및 콘트라스트 염료, 항찰흔제, 가소제, 속도 증강제, 증감제 등을 포함할 수 있다. 이러한 임의적인 첨가제는, 사용되는 경우, 예를 들면 포토레지스트 조성물의 총 고체에 기초해 0.1 내지 10 wt%와 같이 소량으로 조성물 중에 존재한다.
레지스트 조성물의 바람직한 임의적인 첨가제는 부가 염기이다. 적합한 염기는 예를 들어 선형 및 환형 아미드 및 그의 유도체, 예컨대 N,N-비스(2-하이드록시에틸)피발아미드, N,N-디에틸아세트아미드, N1,N1,N3,N3-테트라부틸말론아미드, 1-메틸아제판-2-온, 1-알릴아제판-2-온 및 tert-부틸 1,3-디하이드록시-2-(하이드록시메틸)프로판-2-일카바메이트; 방향족 아민, 예컨대 피리딘, 및 디-tert-부틸 피리딘; 지방족 아민, 예컨대 트리이소프로판올아민, n-tert-부틸디에탄올아민, 트리스(2-아세톡시에틸) 아민, 2,2',2'',2'''-(에탄-1,2-디일비스(아잔트리일))테트라에탄올, 및 2-(디부틸아미노)에탄올, 2,2',2''-니트릴로트리에탄올; 사이클릭 지방족 아민, 예컨대 1-(tert-부톡시카보닐)-4-하이드록시피페리딘, tert-부틸 1-피롤리딘카복실레이트, tert-부틸 2-에틸-1H-이미다졸-1-카복실레이트, 디-tert-부틸 피페라진-1,4-디카복실레이트 및 N (2-아세톡시에틸)모르폴린을 포함한다. 부가 염기는 전형적으로 비교적 소량으로, 예를 들어, 포토레지스트 조성물의 총 고체에 기초해 0.01 내지 5 wt%, 바람직하게는 0.1 내지 2 wt%로 사용된다.
포토레지스트는 공지 방법에 따라 제조할 수 있다. 예를 들면, 레지스트는 포토레지스트의 성분들을 적합한 용매, 예를 들면 글리콜 에테르, 예컨대 2-메톡시에틸 에테르(디글라임), 에틸렌 글리콜 모노메틸 에테르, 프로필렌 글리콜 모노메틸 에테르; 프로필렌 글리콜 모노메틸 에테르 아세테이트; 락테이트, 예컨대 에틸 락테이트 또는 메틸 락테이트(에틸 락테이트가 바람직함); 프로피오네이트, 특히 메틸 프로피오네이트, 에틸 프로피오네이트 및 에틸 에톡시 프로피오네이트; 셀로솔브 에스테르, 예컨대 메틸 셀로솔브 아세테이트; 방향족 탄화수소, 예를 들면 톨루엔 또는 크실렌; 또는 케톤, 예컨대 메틸에틸 케톤, 사이클로헥사논 및 2-헵타논의 하나 이상에 용해하여 코팅 조성물로서 제조할 수 있다. 포토레지스트의 목적하는 총 고체 함량은 조성물 중의 특정 폴리머, 최종 층 두께 및 노광 파장과 같은 인자들에 따라 달라질 것이다. 전형적으로, 포토레지스트 조성물의 고체 함량은 포토레지스트 조성물의 총 중량에 기초해 1 내지 10 wt%, 더욱 전형적으로 2 내지 5 wt%이다. 적합한 포토레지스트는 업계에 공지되었으며, 예를 들어, US 특허 공개 US20100040971A1, US20100055624A1, US20110294069A1, US20120219902A1, US20130115559A1 및 US7998655B2에 기술된 것을 포함한다.
다음으로 포토레지스트층 (104)은 전형적으로 층 중의 용매 함량을 최소화하기 위해 소프트베이킹되고, 그에 따라 택-프리(tack-free) 코팅이 형성되고 기판에 대한 층의 접착이 개선된다. 소프트베이킹은 핫플레이트 또는 오븐에서 수행할 수 있고, 핫플레이트가 전형적이다. 소프트베이킹 온도와 시간은, 예를 들어 포토레지스트의 특정 물질 및 두께에 따라 달라질 것이다. 전형적인 소프트베이킹은 약 85 내지 150℃의 온도에서 약 30 내지 90초의 시간동안 수행된다.
이후, 포토레지스트층 (104)은 포토마스크 (108)를 통해 활성조사선 (106)에 패턴식으로 노광되어 노광된 영역과 비노광 영역 사이에서 용해도의 차이를 생성한다. 본원에서 조성물에 대해 활성인 조사선에 포토레지스트 조성물을 노광한다는 것은 조사선이 포토레지스트 조성물에서 잠상을 형성할 수 있다는 것을 의미한다. 포토마스크는 활성 조사선에 의해 노광 및 비노광될 레지스트층의 영역에 상응하는 광학적으로 투명하고 광학적으로 불투명한 영역을 각각 갖는다. 노광 파장은 전형적으로 서브-400 nm, 서브-300 nm 또는 서브 200 nm, 예컨대 193 nm 또는 EUV 파장 (예를 들어, 13.4 또는 13.5 nm)이고, 193 nm (침지 또는 건식 리소그래피) 및 EUV가 바람직하다. 노광 에너지는 전형적으로 노광 장비와 감광성 조성물의 성분에 따라 약 10 내지 80 mJ/cm2이다.
포토레지스트층 (104)을 노광한 후에, 노광후 베이킹(PEB)을 수행한다. PEB는, 예를 들어 핫플레이트 또는 오븐에서 수행할 수 있다. PEB 의 조건은, 예를 들어 특정 포토레지스트 조성물과 층 두께에 따라 달라질 것이다. PEB는 전형적으로 약 80 내지 150℃의 온도에서 약 30 내지 90초의 시간동안 수행한다. 극성-변경 및 비변경 영역(각각 노광 및 비노광 영역에 해당) 사이의 경계로 한정되는 잠상이 형성된다.
이후, 포토레지스트층 (104)을 포지티브 톤 현상제로 현상하여 층의 노광된 영역을 제거하고 비노광된 영역을 남겨서 도 1B에 나타낸 바와 같이 레지스트 패턴 (104')을 형성한다. 레지스트 패턴은 제한되지 않으며, 예를 들어 라인/공간 패턴 및/또는 접촉홀 패턴을 포함할 수 있다. 적합한 포지티브 톤 현상제는 예를 들어 수성 알칼리 현상제, 예를 들면, 4급 암모늄 하이드록사이드 용액, 예컨대, 테트라-알킬 암모늄 하이드록사이드 용액, 전형적으로 0.26 노르말농도(N)(2.38%) 테트라메틸암모늄 하이드록사이드(TMAH) 용액을 포함한다.
도 1C에 도시된 바와 같이, 포지티브 톤 현상 후 형성된 레지스트 패턴 (104')은 패턴의 측벽 영역의 용해도를 포지티브 톤 현상제와 상이한 제2 현상제에 대해서 용해성에서 불용성으로 변경하기 위한 수단을 포함하는 코팅 조성물 (110)로 처리된다. 용해도를 변경하기 위한 수단은 전형적으로 산 또는 산 발생제, 예를 들어, 열산 발생제 또는 광산 발생제, 또는 이들 임의의 조합이다. 산 또는 산 발생제의 경우 발생된 산은 포토레지스트 패턴의 매트릭스 폴리머 내 산 불안정성 그룹을 절단함으로써 포토레지스트 패턴의 측벽 부분에서 용해도를 변경시킬 수 있다. 용해도를 변경하기 위한 수단 외에도, 코팅 조성물은 전형적으로 매트릭스 폴리머 및 용매를 포함하고, 임의적인 추가 성분들을 포함할 수 있다. 매트릭스 폴리머는 포토레지스트 패턴 위에 코팅되는 조성물이 원하는 두께를 갖는 층으로 형성되도록 한다. 이것은 포토레지스트 패턴 표면과의 상호작용을 위해 충분한 양의 산이 존재하도록 돕는다.
코팅 조성물에 사용하기에 적합한 산은 무기산 및 유기산을 포함한다. 적합한 무기산은, 예를 들어, 질산, 불화수소산, 염산, 브롬화수소산 및 요오드화수소산과 같은 할로겐산, 황산, 아황산, 과염소산, 붕산 및 포스포러스산 및 인산과 같은 포스포러스산을 포함한다. 이들 무기산중에서, 황산이 바람직하다. 유기산은, 예를 들어, 카복실산 및 폴리카복실산, 예를 들면 포름산, 아세트산, 프로피온산 및 부티르산을 비롯한 알칸산, 디클로로아세트산, 트리클로로아세트산, 퍼플루오로아세트산, 퍼플루오로옥탄산, 디카복실산, 예컨대 옥살산, 말론산 및 숙신산, 하이드록시알칸산, 예컨대 시트르산, 디메틸인산 및 디메틸포스핀산과 같은 유기 포스포러스산, 메탄설폰산, 에탄설폰산, 1-펜탄설폰산, 1-헥산설폰산, 1-헵탄설폰산을 비롯한 알킬설폰산, 벤젠설폰산, 벤젠디설폰산, 톨루엔설폰산, 나프탈렌설폰산과 같은 방향족 설폰산 등의 설폰산을 포함한다. 코팅 조성물에 사용하기에 바람직한 산은 지방족 및 방향족 구조를 포함한다.
코팅 조성물에 사용하기에 적합한 산 발생제는 열산 발생제 (TAG), 광산 발생제 (PAG) 및 이들의 조합으로부터 선택되는 것을 포함한다. TAG는 코팅 조성물을 특정 TAG에 특성적인 온도 (또는 그를 초과하는 온도)로 가열하는 경우 산을 발생한다. PAG는 조성물을 특정 PAG에 대한 활성화 조사선, 예를 들어, 특정 파장 (예컨대, 365 nm, 248 nm, 193 nm 또는 EUV 파장 (예컨대, 13.5 nm)을 가지는 광 또는 전자빔 (E-빔) 조사선에 노광하는 경우 산을 발생한다. PAG가 코팅 조성물에 존재하는 경우, 노광 조사선을 사용할 때 포토레지스트 패턴에 나쁜 영향을 미치지 않도록 주의를 기울여아 한다. 예를 들어, 하부 포토레지스트 패턴이 포지티브 톤 물질로부터 형성되는 경우, 코팅 조성물 노광 조사선은 패턴의 레지스트 물질에 탈보호를 야기하지 않도록 선택되어야 한다. 열에 의한 산 발생이 활성화 조사선으로의 노광에 의한 것보다 더 간단한 방식으로 수행될 수 있기 때문에, 조성물은 전형적으로 PAG 없이 TAG를 포함한다. 바람직하게는, TAG 또는 PAG로부터 발생된 산은 설폰산과 같은 강산이며, 방향족 또는 비방향족일 수 있다. 발생된 산은 임의로 불소화된다. 예를 들어, 비방향족 구조에 대해 산의 알파 위치에 적어도 하나의 불소 치환체를 가지는 TAG 및 PAG가 사용될 수 있다.
적합한 TAG는 50℃ 초과, 예를 들어, 70℃ 초과, 90℃ 초과, 120℃ 초과 또는 150℃ 초과의 온도에서 활성화될 수 있다. 적합한 열산 발생제의 예는 니트로벤질 토실레이트, 예컨대 2-니트로벤질 토실레이트, 2,4-디니트로벤질 토실레이트, 2,6-디니트로벤질 토실레이트, 4-니트로벤질 토실레이트; 2-트리플루오로메틸-6-니트로벤질 4-클로로벤젠설포네이트, 2-트리플루오로메틸-6-니트로벤질 4-니트로 벤젠설포네이트와 같은 벤젠설포네이트; 페닐, 4-메톡시벤젠설포네이트와 같은 페놀 설포네이트 에스테르; 유기산의 알킬 암모늄 염, 예컨대 10-캠포설폰산, 트리플루오로메틸벤젠설폰산, 퍼플루오로부탄설폰산의 트리에틸암모늄 염; 및 특정 오늄염을 포함한다. 미국 특허 제 3,474,054, 4,200,729, 4.251,665 및 5,187,019호에 기술된 것을 포함하여 각종 방향족 (안트라센, 나프탈렌 또는 벤젠 유도체) 설폰산 아민 염이 TAG로서 사용될 수 있다. TAG의 예는 킹 인더스트리즈(King Industries, Norwalk, Conn. USA)에 의해 NACURE™, CDX™ 및 K-PURE™ 명으로 시판되는 것, 예를 들어, NACURE 5225, CDX-2168E, K-PURE™ 2678 및 K-PURE™ 2700을 포함한다. 이의 하나 이상의 TAG가 사용될 수 있다. 적합한 광산 발생제는 화학 증폭형 포토레지스트 분야에 공지되었으며, 예를 들어, 포토레지스트 조성물에 대해 상술된 것을 포함한다. 이의 하나 이상의 PAG가 사용될 수 있다.
용해도를 변경하는 수단은 코팅 조성물의 총 고체에 기초해 전형적으로 0.01 내지 20 wt%, 더욱 전형적으로 0.1 내지 10 wt% 또는 1 내지 5 wt%의 양으로 조성물중에 존재한다.
코팅 조성물의 매트릭스 폴리머는 현상 동안 완전히 제거하도록 제2 현상제 중에 우수한 용해도를 가져야 한다. 코팅 조성물의 매트릭스 폴리머는 전형적으로 100 Å/초 이상, 바람직하게 1000 Å/초 이상의 현상제 용해 속도를 나타낸다. 매트릭스 폴리머는 본원에서 설명하는 코팅 조성물의 용매뿐 아니라 제2 현상제에 용해된다. 매트릭스 폴리머는, 예를 들면, 폴리비닐 알콜, 폴리아크릴산, 폴리비닐 피롤리돈, 폴리비닐 아민, 폴리비닐 아세탈, 폴리스티렌, 폴리(메트)아크릴레이트 및 이들의 조합으로부터 선택될 수 있다. 바람직하게, 폴리머는 -OH, -COOH, -SO3H, SiOH, 하이드록실 스티렌, 하이드록실 나프탈렌, 설폰아미드, 헥사플루오로이소프로필 알콜, 무수물, 락톤, 에스테르, 에테르, 알릴아민, 피롤리돈 및 이들의 조합으로부터 선택되는 하나 이상의 작용기를 포함한다.
코팅 조성물 내에서 매트릭스 폴리머의 함량은, 예를 들면, 보다 두꺼운 층에는 폴리머 함량을 더욱 높이는 것과 같이, 층의 목표 두께에 따를 것이다. 매트릭스 폴리머는 전형적으로 조성물 내에서 코팅 조성물의 총 고체에 기초해 80 내지 99 wt%, 보다 바람직하게 90 내지 98 wt%의 양으로 존재한다. 폴리머의 중량 평균 분자량은 전형적으로 400,000 미만, 바람직하게 3,000 내지 50,000, 보다 바람직하게 3,000 내지 25,000이다.
코팅 조성물에 유용한 폴리머는 호모폴리머 또는 복수의 상이한 반복 단위, 예를 들면, 2, 3 또는 4개의 상이한 반복 단위를 갖는 코폴리머일 수 있다. 코팅 조성물은 전형적으로 단일 폴리머를 포함하지만, 임의로 하나 이상의 추가 폴리머를 포함할 수 있다. 코팅 조성물에 사용하기에 적합한 폴리머 및 모노머는 상업적으로 이용가능하고/하거나 당업자가 용이하게 제조할 수 있다.
코팅 조성물은 추가로 용매 또는 용매 혼합물을 포함한다. 코팅 조성물은 수성 용액의 형태를 취할 수 있다. 코팅 조성물을 제형화 및 캐스팅하는데 적합한 용매 물질은 코팅 조성물의 비-용매 성분에 대해 뛰어난 용해 특성을 나타내지만, 상호혼합이 최소화되도록 제1 포토레지스트 패턴을 눈에 띄게 용해시키지 않는다. 용매는 전형적으로 물, 유기 용매 및 이들의 혼합물로부터 선택된다. 코팅 조성물에 적합한 유기 용매는, 예를 들어 알킬 에스테르, 예컨대 n-부틸 프로피오네이트, n-펜틸 프로피오네이트, n-헥실 프로피오네이트 및 n-헵틸 프로피오네이트와 같은 알킬 프로피오네이트, 및 n-부틸 부티레이트, 이소부틸 부티레이트 및 이소부틸 이소부티레이트와 같은 알킬 부티레이트; 케톤, 예컨대 2,5-디메틸-4-헥사논 및 2,6-디메틸-4-헵타논; 지방족 탄화수소, 예컨대 n-헵탄, n-노난, n-옥탄, n-데칸, 2-메틸헵탄, 3-메틸헵탄, 3,3-디메틸헥산 및 2,3,4-트리메틸펜탄, 및 불소화 지방족 탄화수소, 예컨대 퍼플루오로헵탄; 알콜, 예컨대 선형, 분지형 또는 환형 C4-C9 일가 알콜, 예컨대 1-부탄올, 2-부탄올, 이소부틸 알콜, tert-부틸 알콜, 3-메틸-1-부탄올, 1-펜탄올, 2-펜탄올, 4-메틸-2-펜탄올, 1-헥산올, 1-헵탄올, 1-옥탄올, 2-헥산올, 2-헵탄올, 2-옥탄올, 3-헥산올, 3-헵탄올, 3-옥탄올 및 4-옥탄올; 2,2,3,3,4,4-헥사플루오로-1-부탄올, 2,2,3,3,4,4,5,5-옥타플루오로-1-펜탄올 및 2,2,3,3,4,4,5,5,6,6-데카플루오로-1-헥산올, 및 C5-C9 불소화 디올, 예컨대 2,2,3,3,4,4-헥사플루오로-1,5-펜탄디올, 2,2,3,3,4,4,5,5-옥타플루오로-1,6-헥산디올 및 2,2,3,3,4,4,5,5,6,6,7,7-도데카플루오로-1,8-옥탄디올; 에테르, 예컨대 이소펜틸 에테르 및 디프로필렌 글리콜 모노메틸 에테르; 및 이들 용매를 하나 이상 함유하는 혼합물을 포함한다. 이들 유기 용매중에서, 알콜, 에스테르, 에테르, 및 지방족 탄화수소가 바람직하다. 코팅 조성물의 용매 성분은 전형적으로 코팅 조성물에 기초해 90 내지 99 wt%의 양으로 존재한다.
코팅 조성물은 계면활성제를 더 포함할 수 있다. 전형적인 계면활성제는 양친매성 특성을 나타내는 것을 포함하는데 이는 그들이 동시에 친수성 및 소수성일 수 있음을 의미한다. 양친매성 계면활성제는 물에 강한 친화성을 가지는 친수성 헤드 그룹 또는 그룹들 및 유기친화성이며 물을 밀어내는 긴 소수성 꼬리를 가지고 있다. 적절한 계면활성제는 이온성(예를 들어 음이온성, 양이온성)이거나 비이온성이다. 계면활성제의 추가의 예로는 실리콘 계면활성제, 폴리(알킬렌 옥사이드) 계면활성제, 및 플루오로계 계면활성제를 포함한다. 적합한 비-이온성 계면활성제는 TRITON® X-114, X-100, X-45, X-15와 같은 옥틸 및 노닐 페놀 에톡실레이트 및 TERGITOLTM TMN-6 (The Dow Chemical Company, Midland, Michigan USA)과 같은 분지쇄 2차 알콜 에톡실레이트를 포함하나 이에 제한되지 않는다. 그밖의 다른 예시적인 계면활성제는 Glen Rock, N.J의 Manufacturers Confectioners Publishing Co에 의해 출판된 2000년 북미판 McCutcheon's Emulsifiers and Detergents에 기재된 알콜 (1차 및 2차) 에톡실레이트, 아민 에톡실레이트, 글루코사이드, 글루카민, 폴리에틸렌 글리콜, 폴리(에틸렌 글리콜-코-프로필렌 글리콜), 또는 다른 계면활성제를 포함한다. 그러한 계면활성제는 Air Products and Chemicals, Inc. (Allentown, PA)로부터 상업적으로 입수가능하고 SURFYNOL® 및 DYNOL®의 상품명으로 시판된다. 추가적인 적합한 계면활성제는 트리-블록 EO-PO-EO 공중합체 PLURONICTM 25R2, L121, L123, L31, L81, L101 및 P123 (BASF, Inc.)와 같은 다른 폴리머성 화합물을 포함한다.
표면 활성 첨가제가 유리하게는 CTO로부터 과적재층을 방지 또는 최소화하기 위해 코팅 조성물에 사용될 수 있는데, 과적재층은 원치 않는 산이 코팅 조성물로부터 레지스트 패턴의 상부 표면으로 확산되도록 초래할 수 있다. 적합한 표면 활성 첨가제는 제1 패턴의 처리동안 코팅 조성물로부터 형성된 층의 상부 표면으로 이동할 수 있다. 임의로, 표면 활성 열 염기 발생제와 같은 표면 활성 염기 발생제를 사용하여 과적재층에 존재하는 산을 중화시킬 수 있다.
추가의 임의적 첨가제로서, 코팅 조성물은 가교제를 더 포함할 수 있지만, 전형적으로는 이러한 물질을 포함하지 않는다. 계면활성제 및 다른 임의적 첨가제는 사용될 경우, 전형적으로 조성물중에 소량으로, 예컨대 코팅 조성물의 총 고체에 기초해 0.01 내지 10 wt%의 양으로 존재한다.
코팅 조성물은 공지의 방법에 따라 제조될 수 있다. 예를 들어, 조성물은 조성물의 고체 성분을 용매 성분에 용해시켜 제조할 수 있다. 코팅 조성물의 바람직한 총 고체 함량은 원하는 최종 층 두께와 같은 요소에 따라 달라질 것이다. 바람직하게는, 코팅 조성물의 두께는 레지스트 패턴의 두께 이하이거나, 또는 과적재층으로부터 레지스트 패턴의 상부 표면으로 확산되는 산의 양을 최소화하기 위해 레지스트 패턴의 것과 크게 차이나지는 않는다. 바람직하게는, 코팅 조성물의 고체 함량은 조성물의 총 중량에 기초해 0.1 내지 10 wt%, 더욱 바람직하게는 1 내지 5 wt%이다.
코팅 조성물층 (110)은 통상 스핀-코팅에 의해 기판에 적용된다. 코팅 용액의 고체 함량은 사용되는 특정 코팅 장비, 용액의 점도, 코팅툴의 속도 및 스피닝에 허용되는 시간에 기초하여, 원하는 필름 두께가 제공되도록 조절될 수 있다. 층 (110)의 전형적인 두께는 100 내지 1500 Å이다.
도 1D에 도시된 바와 같이, 기판은 이후 조성물 내 용매를 제거하고, 자유산 또는 열적으로 발생된 산이 제1 레지스트 패턴의 측벽 (112)으로 확산되고 레지스트 측벽 영역에서 극성-변화 반응이 일어나도록 베이킹된다. 베이킹은 핫플레이트 또는 오븐으로 수행되며, 통상 핫플레이트가 사용된다. 적합한 베이킹 온도는 50℃ 초과, 예컨대, 70℃ 초과, 90℃ 초과, 120℃ 초과 또는 150℃ 초과이며, 통상 70 내지 160℃의 온도에서 약 30 내지 90초의 시간으로 수행된다. 단일 베이킹 단계가 전형적이나, 복수 단계의 베이킹도 사용될 수 있다.
광산 발생제가 코팅 조성물에 사용되는 경우, 조성물층 (110)은 상술된 베이킹 전에 활성화 조사선에 노광되어 산을 발생한다. 이 경우 노광은 제1 노광에 사용된 것과 동일한 포토마스크를 이용한 패턴식 노광인 것이 바람직하다. 이렇게 함으로써, 제1 레지스트 패턴 (104')의 비-측벽 부분에서 산 발생이 방지되거나 최소화될 수 있다.
그 다음에, 포토레지스트 패턴은 네거티브 톤 현상제와 접촉되어 도 1E에 도시된 바와 같이, 용해도가 변경되지 않은 제1 포토레지스트 패턴 (104')의 부분들이 제거되고 다중-패턴을 형성하는 용해도가 변경된 측벽 (112)이 남게 된다. 현상제는 전형적으로 유기 현상제, 예를 들면 케톤, 에스테르, 에테르, 탄화수소 및 이들의 혼합물에서 선택되는 용매이다. 적합한 케톤 용매는, 예를 들면 아세톤, 2-헥사논, 5-메틸-2-헥사논, 2-헵타논, 4-헵타논, 1-옥타논, 2-옥타논, 1-노나논, 2-노나논, 디이소부틸 케톤, 사이클로헥사논, 메틸사이클로헥사논, 페닐아세톤, 메틸 에틸 케톤 및 메틸 이소부틸 케톤을 포함한다. 적합한 에스테르 용매는, 예를 들면 메틸 아세테이트, 부틸 아세테이트, 에틸 아세테이트, 이소프로필 아세테이트, 아밀 아세테이트, 프로필렌 글리콜 모노메틸 에테르 아세테이트, 에틸렌 글리콜 모노에틸 에테르 아세테이트, 디에틸렌 글리콜 모노부틸 에테르 아세테이트, 디에틸렌 글리콜 모노에틸 에테르 아세테이트, 에틸-3-에톡시프로피오네이트, 3-메톡시부틸 아세테이트, 3-메틸-3-메톡시부틸 아세테이트, 메틸 포르메이트, 에틸 포르메이트, 부틸 포르메이트, 프로필 포르메이트, 에틸 락테이트, 부틸 락테이트 및 프로필 락테이트를 포함한다. 적합한 에테르 용매는, 예를 들면 디옥산, 테트라하이드로푸란 및 글리콜 에테르 용매, 예를 들면 에틸렌 글리콜 모노메틸 에테르, 프로필렌 글리콜 모노메틸 에테르, 에틸렌 글리콜 모노에틸 에테르, 프로필렌 글리콜 모노에틸 에테르, 디에틸렌 글리콜 모노메틸 에테르, 트리에틸렌 글리콜 모노에틸 에테르 및 메톡시메틸 부탄올을 포함한다. 적합한 아미드 용매는, 예를 들면 N-메틸-2-피롤리돈, N,N-디메틸아세트아미드 및 N,N-디메틸포름아미드를 포함한다. 적합한 탄화수소 용매는, 예를 들면 방향족 탄화수소 용매, 예를 들면 톨루엔 및 크실렌을 포함한다. 또한 이 용매들의 혼합물, 또는 1 이상의 열거된 용매와 상기한 용매 이외의 용매 또는 물과의 혼합물을 사용할 수 있다. 다른 적합한 용매로는 포토레지스트 조성물에 사용되는 용매가 포함된다. 현상제는 바람직하는 2-헵타논 또는 부틸 아세테이트, 예컨대 n-부틸 아세테이트이다. 유기 용매는 전형적으로 현상제의 총 중량에 기초해 90 wt% 내지 100 wt%, 더욱 전형적으로 95 wt% 초과, 98wt% 초과, 99wt% 초과 또는 100 wt%의 합한 양으로 현상제중에 존재한다.
제2 현상제 물질은 임의적인 첨가제, 예를 들면 포토레지스트와 관련하여 상기한 바와 같은 계면활성제를 포함할 수 있다. 이러한 임의적인 첨가제는 전형적으로 소량 농도로 존재할 수 있으며, 예를 들면 현상제의 총 중량에 기초해 약 0.01 내지 5 wt%의 양이다.
현상제는 공지된 방법, 예를 들면 스핀 코팅 또는 퍼들(puddle) 코팅에 의해 기판에 적용될 수 있다. 현상 시간은 포토레지스트의 용해도가 변경되지 않은 영역을 제거하는데 효과적인 시간 동안이며, 5 내지 30초의 시간이 전형적이다. 현상은 전형적으로 실온에서 수행된다.
도 1F를 참조로, 에칭될 하나 이상의 층을 다중-패턴 (112)을 에칭 마스크로서 사용하여 에칭하여 패턴 (102')을 형성한다. 하부층 에칭을 위한 적합한 에칭 기술 및 화학은 당업계에 공지되어 있다. 반응성 이온 에칭과 같은 건식-에칭 과정이 전형적이다. 레지스트 측벽 다중-패턴 (112)이 이어서 공지의 기술, 예를 들어 산소 플라즈마 회분화(ashing)를 사용하여 기판으로부터 제거된다. 생성된 구조는 도 1G에 도시된 바와 같이 에칭된 피쳐 (102')의 패턴, 예컨대 라인/공간, 트렌치 및/또는 접촉홀 패턴이다.
도 1과 관련하여 예시된 방법들은 제1 현상제로서 포지티브 톤 현상제와 제2 현상제로서 네거티브 톤 현상제를 이용하였지만, 본 발명의 방법이 이러한 순서에 국한되는 것은 아니다. 본 발명의 추가의 양태에 따라, 포지티브 톤 현상제 및 네거티브 톤 현상제의 순서는, 네거티브 톤 현상제가 제1 현상제이고 포지티브 톤 현상제가 제2 현상제가 되도록 바뀔 수 있다. 이러한 현상 순서를 이용하는 본 발명에 따른 다중-패턴화 방법에 대한 예시적인 공정 흐름도가 도 2에 도시되었다. 도 1에 대한 설명이 일반적으로 다음을 제외하고 이 공정에 적용될 수 있다. 도 2A에 도시된 바와 같이 포토레지스트층 (104)의 노광 후, 포토레지스트층 (104)의 비노광된 영역은 도 2B에 도시된 바와 같이 네거티브 톤 현상제에 의해 제거되어 노광된 영역을 남김으로써 제1 레지스트 패턴 (104')을 형성한다. 제1 레지스트 패턴 (104')의 측벽 부분은, 예를 들어, 코팅 조성물에 가교제를 포함시켜 적용되는 포지티브 톤 현상제에 불용성으로 만들 수 있다. 가교제는 도 2D에 도시된 바와 같이 코팅 조성물 베이킹 동안 가교되는 제1 레지스트 패턴 (104')의 측벽 (112)으로 주입된다. 제1 레지스트 패턴 (104')의 비가교 부분은 도 2E에 도시된 바와 같이 포지티브 톤 현상제에 의해 제거되어 불용성 측벽 부분 (112)이 남게 됨으로써 다중-패턴을 형성한다.
도 3은 본 발명의 추가의 양태에 따른 다중-패턴화 공정 흐름도를 나타낸다. 이 공정은 제1 레지스트 패턴으로부터 레지스트 다중-패턴의 형성 후 패턴 축소 공정을 포함한다. 패턴 축소는 도 3F에 도시된 바와 같이 다중-패턴 (112) 위에 코팅 (114)의 형성으로 달성된다. 적합한 패턴 축소 기술 및 물질은 당업계에 공지되었다. 축소 코팅의 결과, 인접 패턴 간 공간이 감소된다. 이로서 직접 패턴화에 의해서는 쉽게 형성될 수 없는 매우 작은 치수의 접촉홀 및 트렌치와 같은 피쳐의 형성이 가능해 진다. 이 공정 흐름은 도 1에 대해 설명된 바와 같은 제1 포지티브 톤 현상 및 제2 네거티브 톤 현상 순서를 사용하여 예시되었지만, 이는 또한 도 2에 대해 설명된 역순에도 적용될 수 있다.
이하 비제한적인 실시예로 본 발명을 설명한다.
실시예
포토레지스트 조성물 제조
포토레지스트 조성물 1
PGMEA 중 25.4 g 폴리머 A 용액 (10%), 메틸-2-하이드록시이소부티레이트중 15.95 g PAG A 용액 (2%), 메틸-2-하이드록시이소부티레이트중 9.905 g PAG B 용액 (2%), 메틸-2-하이드록시이소부티레이트중 tert-부틸 (1,3-디하이드록시-2-(하이드록시메틸)프로판-2-일)카바메이트의 4.96 g 용액 (1%), PGMEA 중 0.414 g 첨가제 A (23.2%), 10.73 g PGMEA 및 32.67 g 메틸-2-하이드록시이소부티레이트를 5 시간동안 혼합하였다. 혼합물을 0.2 마이크론 나일론 필터로 여과하였다.
Figure pat00001
포토레지스트 조성물 2
PGMEA 중 5.57 g 폴리머 B 용액 (10%), PGMEA 중 5.57 g 폴리머 C 용액 (10%), 메틸-2-하이드록시이소부티레이트중 1.062 g PAG A 용액 (2%), 메틸-2-하이드록시이소부티레이트중 8.232 g PAG C 용액 (2%), 메틸-2-하이드록시이소부티레이트중 tert-부틸 (1,3-디하이드록시-2-(하이드록시메틸)프로판-2-일)카바메이트의 0.504 g 용액 (1%), PGMEA 중 0.163 g 첨가제 A (23.2%), 24.41 g PGMEA 및 54.491 g 메틸-2-하이드록시이소부티레이트를 5 시간동안 혼합하였다. 혼합물을 0.2 마이크론 나일론 필터로 여과하였다.
Figure pat00002
레지스트 패턴 코팅 조성물 제조
레지스트 패턴 코팅 조성물 1
메틸 이소부틸 카비놀중 n-부틸 메타크릴레이트/메타크릴산 (77/23 몰비) (23%), 메틸 이소부틸 카비놀중 2.16 g p-톨루엔설폰산 용액 (1%), 72.663 g 메틸 이소부틸 카비놀 및 19.716 g 이소아밀 에테르의 6.1081 g 코폴리머 용액을 모든 성분이 용해될 때까지 혼합하였다. 혼합물을 0.2 마이크론 나일론 필터로 여과하였다.
레지스트 패턴 코팅 조성물 2
메틸 이소부틸 카비놀중 n-부틸 메타크릴레이트/메타크릴산 (77/23 몰비) (23%), 메틸 이소부틸 카비놀중 1.513 g p-톨루엔설폰산 용액 (1%), 72.663 g 메틸 이소부틸 카비놀 및 19.716 g 이소아밀 에테르의 6.1081 g 코폴리머 용액을 모든 성분이 용해될 때까지 혼합하였다. 혼합물을 0.2 마이크론 나일론 필터로 여과하였다.
리소그래픽 공정
실시예 1
200 mm 실리콘 웨이퍼를 AR™40A 반사방지제 (Dow Electronic Materials)로 스핀-코팅하여 TEL Lithius (Tokyo Electron) 코팅 트랙 상에 제1 바닥 반사방지 코팅(BARC)을 형성하였다. 웨이퍼를 215℃에서 60초간 베이킹하여 800Å의 BARC 필름 두께를 얻었다. 포토레지스트 조성물 1 (Dow Electronic Materials)을 BARC-코팅 웨이퍼 상에 코팅하고, 90℃에서 60초간 소프트 베이킹하여 600Å의 레지스트 층 두께로 만들었다. 웨이퍼를 0.89/0.64의 외부/내부 시그마를 가지는 쌍극-35Y 하에, 105 nm 라인/180 nm 피치 라인/공간 패턴의 PSM 피쳐 크기를 갖는 포토마스크를 통해 193 nm에서 작동하는 ASML PAS 5500/1100 스캐너, 0.75 NA를 사용하여 노광하였다. 노광된 웨이퍼를 100℃에서 60초간 노광후 베이킹하고, TMAH 현상제(2.38 wt%)로 현상하여 레지스트 패턴을 형성하였다. 800 볼트(V)의 가속 전압, 8.0 피코암페어(pA)의 프로브 전류에서 동작하는 Hitachi 9380 CD-SEM을 사용하여 200 Kx 배율로 톱-다운(top-down) 주사전자현미경(SEM)으로 캡쳐한 이미지를 처리하여 CD를 결정하였다. CD 측정 결과 선폭에 대해 129 nm이었다.
레지스트-패턴 웨이퍼를 TEL Lithius 코팅 트랙 상의 레지스트 패턴 코팅 조성물 1로 코팅하고, 70℃에서 60초간 베이킹하여 350Å의 두께를 얻었다. 웨이퍼를 n-부틸 아세테이트로 현상하여 제1 레지스트 패턴으로부터 다중-패턴을 형성하였다. 800 볼트(V)의 가속 전압, 8.0 피코암페어(pA)의 프로브 전류에서 동작하는 Hitachi 9380 CD-SEM을 사용하여 200 Kx 배율로 톱-다운(top-down) 주사전자현미경(SEM)으로 캡쳐한 이미지를 처리하여 CD를 결정하였다. CD 측정 결과 다중 패턴에 대해 45 nm이었다.
실시예 2
300 mm 실리콘 웨이퍼를 AR™40A 반사방지제 (Dow Electronic Materials)로 스핀-코팅하여 TEL Lithius (Tokyo Electron) 코팅 트랙 상에 제1 바닥 반사방지 코팅(BARC)을 형성하였다. 웨이퍼를 215℃에서 60초간 베이킹하여 800Å의 BARC 필름 두께를 얻었다. 포토레지스트 조성물 1 (Dow Electronic Materials)을 BARC-코팅 웨이퍼 상에 코팅하고, 95℃에서 60초간 소프트 베이킹하여 600Å의 레지스트 층 두께로 만들었다. 웨이퍼를 0.98/0.78의 외부/내부 시그마를 가지는 쌍극-35Y 하에, 52 nm 공간/160 nm 피치 라인/공간 패턴의 PSM 피쳐 크기를 갖는 포토마스크를 통해 193 nm에서 작동하는 Nikon S610c 침지 스캐너, 1.30 NA를 사용하여 노광하였다. 노광된 웨이퍼를 95℃에서 60초간 노광후 베이킹하고, TMAH 현상제(2.38 wt%)로 현상하여 레지스트 패턴을 형성하였다. Hitachi CG4000 CD-SEM을 사용하여 톱-다운(top-down) 주사전자현미경(SEM)으로 캡쳐한 이미지를 처리하여 CD를 결정하였다. CD 측정 결과 공간에 대해 52.06 nm이었다. 레지스트-패턴화 웨이퍼를 TEL Lithius 코팅 트랙 상의 레지스트 패턴 코팅 조성물 2로 코팅하고, 70℃에서 60초간 베이킹하여 360Å 및 460Å의 두께를 얻었다. 웨이퍼를 n-부틸 아세테이트로 현상하여 제1 레지스트 패턴으로부터 다중-패턴을 형성하였다.

Claims (10)

  1. (a) 패턴화 될 하나 이상의 층을 포함하는 반도체 기판을 제공하는 단계;
    (b) 산 불안정성 그룹을 포함하는 매트릭스 폴리머; 광산 발생제; 및 용매를 포함하는 조성물로부터 형성된 포토레지스트층을 상기 패턴화 될 하나 이상의 층 위에 형성하는 단계;
    (c) 포토레지스트층을 활성화 조사선에 패턴식으로 노광하는 단계;
    (d) 노광된 포토레지스트층을 베이킹하는 단계;
    (e) 베이킹된 포토레지스트층을 제1 현상제와 접촉시켜 제1 레지스트 패턴을 형성하는 단계;
    (f) 제1 현상제와 상이한 제2 현상제에 대해서 용해성에서 불용성으로 제1 레지스트 패턴의 측벽 영역의 용해도를 변경하기 위한 수단을 포함하는 코팅 조성물로 제1 레지스트 패턴을 처리하는 단계;
    (g) 처리된 제1 레지스트 패턴을 제2 현상제와 접촉시켜 제1 레지스트 패턴의 부분들을 제거하고 용해도가 변경된 측벽 영역을 남김으로써 다중-패턴을 형성하는 단계;를 포함하며,
    상기 용해도를 변경하기 위한 코팅 조성물 수단이 산; 또는 열산 발생제, 광산 발생제 및 이들의 조합으로부터 선택되는 산 발생제; 또는 이들의 조합을 포함하는,
    다중 패턴의 형성 방법.
  2. 제1항에 있어서, 코팅 조성물이 제2 현상제에 용해되는 폴리머를 포함하는, 다중 패턴의 형성 방법.
  3. 제1항에 있어서, 코팅 조성물이 유기 용매를 포함하는, 다중 패턴의 형성 방법.
  4. 제1항에 있어서, 코팅 조성물이 추가로, 코팅 조성물로 제1 패턴을 처리하는 동안 조성물로부터 형성된 층의 상부 표면으로 이동하는 표면 활성 첨가제를 포함하는, 다중 패턴의 형성 방법.
  5. 제1항 내지 제4항 중 어느 한 항에 있어서, 제1 현상제가 수성 알칼리 현상제이고 제2 현상제가 유기 용매 현상제인, 다중 패턴의 형성 방법.
  6. 제1항 내지 제4항 중 어느 한 항에 있어서, 제1 현상제가 유기 용매 현상제이고 제2 현상제가 수성 알칼리 현상제인, 다중 패턴의 형성 방법.
  7. 제1항 내지 제4항 중 어느 한 항에 있어서, 다중-패턴의 형성 후, 다중-패턴의 폭을 증가시키기 위한 용매 및 폴리머를 포함하는 축소 조성물로 다중-패턴을 코팅하여 다중-패턴내 간격을 감소시키는 단계를 추가로 포함하는, 다중 패턴의 형성 방법.
  8. 제7항에 있어서, 축소 조성물의 폴리머가 질소-함유 그룹, 에폭시, 카복실산, 에스테르, 알콜, 또는 이들의 조합으로부터 선택되는 그룹을 포함하는, 다중 패턴의 형성 방법.
  9. 제7항에 있어서, 축소 조성물의 폴리머가, 다중-패턴의 표면상에 존재하는 카복실산 그룹과 결합을 형성하는 작용기를 포함하는, 다중 패턴의 형성 방법.
  10. 제7항에 있어서, 축소 조성물의 용매가 수성 용매 또는 유기 용매인, 다중 패턴의 형성 방법.
KR1020170112648A 2014-08-27 2017-09-04 다중 패턴의 형성 방법 KR102039572B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201462042786P 2014-08-27 2014-08-27
US62/042,786 2014-08-27

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020150118103A Division KR20160025464A (ko) 2014-08-27 2015-08-21 다중 패턴의 형성 방법

Publications (2)

Publication Number Publication Date
KR20170104136A true KR20170104136A (ko) 2017-09-14
KR102039572B1 KR102039572B1 (ko) 2019-11-01

Family

ID=55402336

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020150118103A KR20160025464A (ko) 2014-08-27 2015-08-21 다중 패턴의 형성 방법
KR1020170112648A KR102039572B1 (ko) 2014-08-27 2017-09-04 다중 패턴의 형성 방법

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020150118103A KR20160025464A (ko) 2014-08-27 2015-08-21 다중 패턴의 형성 방법

Country Status (5)

Country Link
US (1) US9753370B2 (ko)
JP (2) JP2016048373A (ko)
KR (2) KR20160025464A (ko)
CN (1) CN105388709B (ko)
TW (1) TWI584061B (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111247624A (zh) * 2017-10-20 2020-06-05 默克专利有限公司 微细图案的制造方法以及使用了其的显示器件的制造方法

Families Citing this family (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI681021B (zh) 2014-09-19 2020-01-01 日商日產化學工業股份有限公司 阻劑圖型被覆用塗佈液
JP6643833B2 (ja) * 2014-09-26 2020-02-12 東京応化工業株式会社 レジストパターン形成方法、レジストパターンスプリット剤、スプリットパターン改善化剤及びレジストパターンスプリット材料
US9443716B2 (en) * 2014-10-08 2016-09-13 Applied Materials, Inc. Precise critical dimension control using bilayer ALD
KR20160121059A (ko) * 2015-04-09 2016-10-19 에스케이하이닉스 주식회사 미세 패턴 형성 방법
JP2018124298A (ja) * 2015-05-29 2018-08-09 富士フイルム株式会社 パターン形成方法及び電子デバイスの製造方法
JP6540379B2 (ja) * 2015-08-27 2019-07-10 Jsr株式会社 パターン形成方法
JP6684583B2 (ja) * 2015-12-10 2020-04-22 東京応化工業株式会社 レジストパターン形成方法
JP6431472B2 (ja) * 2015-12-24 2018-11-28 東京エレクトロン株式会社 パターン形成方法
US9958776B2 (en) * 2015-12-28 2018-05-01 Shin-Etsu Chemical Co., Ltd. Resist composition and patterning process
US10056256B2 (en) * 2016-03-16 2018-08-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method of priming photoresist before application of a shrink material in a lithography process
US10866511B2 (en) 2016-12-15 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme ultraviolet photolithography method with developer composition
US10727055B2 (en) * 2017-02-10 2020-07-28 International Business Machines Corporation Method to increase the lithographic process window of extreme ultra violet negative tone development resists
US20190079408A1 (en) * 2017-09-08 2019-03-14 Globalfoundries Inc. Dual developing methods for lithography patterning
US10727045B2 (en) * 2017-09-29 2020-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method for manufacturing a semiconductor device
US11393694B2 (en) * 2018-11-13 2022-07-19 Tokyo Electron Limited Method for planarization of organic films
CN114585969A (zh) * 2019-09-19 2022-06-03 东京毅力科创株式会社 形成窄沟槽的方法
US20220005687A1 (en) * 2020-07-02 2022-01-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a semiconductor device and pattern formation method
KR102571010B1 (ko) * 2021-08-06 2023-08-25 중앙대학교 산학협력단 4d 프린팅을 이용한 마이크로 스트립 라인 및 그의 제조 방법
CN117916853A (zh) * 2021-08-25 2024-04-19 杰米纳蒂奥公司 多线蚀刻基底的生成
WO2023028236A1 (en) * 2021-08-25 2023-03-02 Geminatio, Inc. In-resist process for high density contact formation
KR20240046259A (ko) * 2021-08-25 2024-04-08 제미나티오, 인코포레이티드 보정 화학으로 향상된 필드 스티칭
TWI831344B (zh) * 2021-08-25 2024-02-01 美商杰米納帝歐股份有限公司 窄線切割遮蔽方法
CN113840493B (zh) * 2021-09-30 2023-07-14 Oppo广东移动通信有限公司 壳体、其制备方法及电子设备

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20060028100A (ko) * 2004-09-24 2006-03-29 주식회사 아이노스 이멀젼 리소그래피 공정에 사용되는 포토레지스트막코팅용 중합체, 비이온성 광산발생제 및 이를 포함하는포토레지스트막 코팅용 조성물
KR100737851B1 (ko) * 2006-07-07 2007-07-12 제일모직주식회사 미세패턴 형성용 수지 조성물 및 이를 이용한 미세패턴형성방법
JP2009053547A (ja) * 2007-08-28 2009-03-12 Tokyo Ohka Kogyo Co Ltd パターン形成方法及び被覆膜形成用材料
JP2011238795A (ja) * 2010-05-11 2011-11-24 Toshiba Corp パターン形成方法

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2138898B1 (en) 2007-04-13 2014-05-21 FUJIFILM Corporation Method for pattern formation, and use of resist composition in said method
JP5069494B2 (ja) 2007-05-01 2012-11-07 AzエレクトロニックマテリアルズIp株式会社 微細化パターン形成用水溶性樹脂組成物およびこれを用いた微細パターン形成方法
JP4427562B2 (ja) * 2007-06-11 2010-03-10 株式会社東芝 パターン形成方法
US20100055624A1 (en) 2008-08-26 2010-03-04 Tokyo Electron Limited Method of patterning a substrate using dual tone development
US20100183851A1 (en) 2009-01-21 2010-07-22 Yi Cao Photoresist Image-forming Process Using Double Patterning
CN101963755B (zh) * 2009-06-26 2012-12-19 罗门哈斯电子材料有限公司 自对准间隔物多重图形化方法
JP5515459B2 (ja) * 2009-07-06 2014-06-11 ソニー株式会社 半導体デバイスの製造方法
JP2012103679A (ja) * 2010-09-10 2012-05-31 Rohm & Haas Electronic Materials Llc フォトレジスト組成物およびフォトリソグラフィパターンを形成する方法
KR102028109B1 (ko) * 2011-12-23 2019-11-15 금호석유화학 주식회사 미세패턴 형성용 수용성 수지 조성물 및 이를 이용한 미세패턴의 형성방법
JP6108832B2 (ja) 2011-12-31 2017-04-05 ローム アンド ハース エレクトロニック マテリアルズ エルエルシーRohm and Haas Electronic Materials LLC フォトレジストパターントリミング方法
JP6155025B2 (ja) 2011-12-31 2017-06-28 ローム アンド ハース エレクトロニック マテリアルズ エルエルシーRohm and Haas Electronic Materials LLC フォトレジストパターントリミング方法
JP6340304B2 (ja) * 2013-11-29 2018-06-06 富士フイルム株式会社 パターン形成方法、及び電子デバイスの製造方法
JP6126570B2 (ja) * 2013-12-13 2017-05-10 富士フイルム株式会社 パターン形成方法、電子デバイスの製造方法

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20060028100A (ko) * 2004-09-24 2006-03-29 주식회사 아이노스 이멀젼 리소그래피 공정에 사용되는 포토레지스트막코팅용 중합체, 비이온성 광산발생제 및 이를 포함하는포토레지스트막 코팅용 조성물
KR100737851B1 (ko) * 2006-07-07 2007-07-12 제일모직주식회사 미세패턴 형성용 수지 조성물 및 이를 이용한 미세패턴형성방법
JP2009053547A (ja) * 2007-08-28 2009-03-12 Tokyo Ohka Kogyo Co Ltd パターン形成方法及び被覆膜形成用材料
JP2011238795A (ja) * 2010-05-11 2011-11-24 Toshiba Corp パターン形成方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111247624A (zh) * 2017-10-20 2020-06-05 默克专利有限公司 微细图案的制造方法以及使用了其的显示器件的制造方法

Also Published As

Publication number Publication date
KR102039572B1 (ko) 2019-11-01
TW201621459A (zh) 2016-06-16
JP2016048373A (ja) 2016-04-07
CN105388709A (zh) 2016-03-09
TWI584061B (zh) 2017-05-21
JP6286597B2 (ja) 2018-02-28
KR20160025464A (ko) 2016-03-08
CN105388709B (zh) 2017-11-21
US9753370B2 (en) 2017-09-05
JP2017161932A (ja) 2017-09-14
US20160062232A1 (en) 2016-03-03

Similar Documents

Publication Publication Date Title
KR102039572B1 (ko) 다중 패턴의 형성 방법
JP6525383B2 (ja) フォトレジスト上塗り組成物および電子デバイスを形成する方法
US9996008B2 (en) Photoresist pattern trimming methods
US9583344B2 (en) Photoresist pattern trimming methods
US10162266B2 (en) Photoresist pattern trimming methods
JP5698923B2 (ja) 自己整合型スペーサー多重パターニング方法
KR101698396B1 (ko) 전자 디바이스 형성방법
KR101746017B1 (ko) 전자 장치의 형성 방법
KR20190143441A (ko) 포토리소그래픽 방법
US9448486B2 (en) Photoresist pattern trimming compositions and methods
JP2014143415A (ja) イオン注入法
US20170255103A1 (en) Pattern trimming methods
US10684549B2 (en) Pattern-formation methods

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant