KR20170028869A - 튜닝가능한 온도 제어되는 기판 지지 어셈블리 - Google Patents

튜닝가능한 온도 제어되는 기판 지지 어셈블리 Download PDF

Info

Publication number
KR20170028869A
KR20170028869A KR1020167002620A KR20167002620A KR20170028869A KR 20170028869 A KR20170028869 A KR 20170028869A KR 1020167002620 A KR1020167002620 A KR 1020167002620A KR 20167002620 A KR20167002620 A KR 20167002620A KR 20170028869 A KR20170028869 A KR 20170028869A
Authority
KR
South Korea
Prior art keywords
spatially tunable
heater
heaters
controller
substrate support
Prior art date
Application number
KR1020167002620A
Other languages
English (en)
Other versions
KR101758087B1 (ko
Inventor
비제이 디. 파케
스티븐 이. 바바얀
콘스탄틴 마크라트체브
지퀴앙 구오
필립 알. 솜머
단 에이. 마롤
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Priority to KR1020177016376A priority Critical patent/KR102302723B1/ko
Publication of KR20170028869A publication Critical patent/KR20170028869A/ko
Application granted granted Critical
Publication of KR101758087B1 publication Critical patent/KR101758087B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05BELECTRIC HEATING; ELECTRIC LIGHT SOURCES NOT OTHERWISE PROVIDED FOR; CIRCUIT ARRANGEMENTS FOR ELECTRIC LIGHT SOURCES, IN GENERAL
    • H05B1/00Details of electric heating devices
    • H05B1/02Automatic switching arrangements specially adapted to apparatus ; Control of heating devices
    • H05B1/0227Applications
    • H05B1/023Industrial applications
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05BELECTRIC HEATING; ELECTRIC LIGHT SOURCES NOT OTHERWISE PROVIDED FOR; CIRCUIT ARRANGEMENTS FOR ELECTRIC LIGHT SOURCES, IN GENERAL
    • H05B1/00Details of electric heating devices
    • H05B1/02Automatic switching arrangements specially adapted to apparatus ; Control of heating devices
    • H05B1/0227Applications
    • H05B1/023Industrial applications
    • H05B1/0233Industrial applications for semiconductors manufacturing
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05BELECTRIC HEATING; ELECTRIC LIGHT SOURCES NOT OTHERWISE PROVIDED FOR; CIRCUIT ARRANGEMENTS FOR ELECTRIC LIGHT SOURCES, IN GENERAL
    • H05B3/00Ohmic-resistance heating
    • H05B3/02Details

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)
  • Control Of Resistance Heating (AREA)
  • Resistance Heating (AREA)

Abstract

본원에서 설명되는 구현들은, 가열 어셈블리와 정전 척 사이의 열 전달의 측방향 및 방위각방향 튜닝 양자 모두를 가능하게 하는 기판 지지 어셈블리를 제공한다. 기판 지지 어셈블리는, 기판 지지 표면 및 하부 표면을 갖는 바디, 바디에 배치된 하나 또는 그 초과의 메인 저항성 가열기들, 바디에 배치된 복수의 공간적으로 튜닝가능한 가열기들, 및 복수의 공간적으로 튜닝가능한 가열기들에 커플링된 공간적으로 튜닝가능한 가열기 제어기를 포함하며, 공간적으로 튜닝가능한 가열기 제어기는, 복수의 공간적으로 튜닝가능한 가열기들 중 하나의 공간적으로 튜닝가능한 가열기의 출력을, 복수의 공간적으로 튜닝가능한 가열기들 중 다른 공간적으로 튜닝가능한 가열기에 관하여, 독립적으로 제어하도록 구성된다.

Description

튜닝가능한 온도 제어되는 기판 지지 어셈블리{TUNABLE TEMPERATURE CONTROLLED SUBSTRATE SUPPORT ASSEMBLY}
[0001] 본원에서 설명되는 구현들은 일반적으로, 반도체 제조에 관한 것이고, 더 상세하게는, 온도 제어되는 기판 지지 어셈블리, 및 온도 제어되는 기판 지지 어셈블리를 사용하는 방법에 관한 것이다.
[0002] 디바이스 패턴들의 피처(feature) 사이즈가 더 작게 됨에 따라, 이러한 피처들의 임계 치수(critical dimension; CD) 요건들은, 안정적인 및 반복가능한 디바이스 성능을 위한 더 중요한 기준이 된다. 프로세싱 챔버 내에서 프로세싱되는 기판에 걸친 허용가능한 CD 변동은, 챔버 및 기판 온도, 유동 전도도(flow conductance), 및 RF 필드(field)들과 같은 챔버 비대칭들로 인해, 달성하기 어렵다.
[0003] 정전 척을 활용하는 프로세스들에서, 기판의 표면에 걸친 온도 제어의 균일성은, 기판 아래의 척의 비-균질한 구성(non-homogeneous construction)으로 인해, 한층 더 어렵다. 예컨대, 정전 척의 몇몇 영역들은 가스 홀들을 갖는 한편, 다른 영역들은, 가스 홀들로부터 측방향으로(laterally) 오프셋(offset)된 리프트 핀 홀들을 갖는다. 또 다른 영역들은 척킹 전극들을 갖는 한편, 다른 영역들은, 척킹 전극들로부터 측방향으로 오프셋된 가열기 전극들을 갖는다. 정전 척의 구조가 측방향 그리고 방위각방향(azimuthally) 양자 모두로 변화될 수 있기 때문에, 기판과 척 사이의 열 전달의 균일성은 복잡하게 되고, 획득하기 매우 어려워서, 척 표면에 걸쳐 국부적인(local) 고온(hot) 및 저온(cold) 스폿들을 초래하고, 이는 결과적으로, 기판의 표면을 따라 프로세싱 결과들의 불-균일성을 초래한다.
[0004] 기판과 척 사이의 열 전달의 측방향(lateral) 및 방위각방향(azimuthal) 균일성은, 정전 척이 탑재되는(mounted) 종래의 기판 지지부들에서 일반적으로 활용되는 열 전달 스킴(scheme)들에 의해 더 복잡하게 된다. 예컨대, 종래의 기판 지지부들은 전형적으로, 에지 대 중심 온도 제어만을 갖는다. 따라서, 정전 척 내의 국부적인 고온 및 저온 스폿들은, 종래의 기판 지지부들의 열 전달 피처들을 활용하면서, 보상될 수 없다.
[0005] 따라서, 개선된 기판 지지 어셈블리에 대한 필요성이 존재한다.
[0006] 본원에서 설명되는 구현들은, 가열 어셈블리와 정전 척 사이의 열 전달의 측방향 및 방위각방향 튜닝(tuning) 양자 모두를 가능하게 하는 기판 지지 어셈블리를 제공한다. 기판 지지 어셈블리는, 기판 지지 표면 및 하부 표면을 갖는 바디(body), 바디에 배치된 하나 또는 그 초과의 메인 저항성 가열기들, 바디에 배치된 복수의 공간적으로 튜닝가능한(tunable) 가열기들, 및 복수의 공간적으로 튜닝가능한 가열기들에 커플링된 가열기 튜닝 제어기(tuning heater controller)를 포함하며, 가열기 튜닝 제어기는, 복수의 공간적으로 튜닝가능한 가열기들 중 하나의 공간적으로 튜닝가능한 가열기에 대한 출력을, 복수의 공간적으로 튜닝가능한 가열기들 중 다른 공간적으로 튜닝가능한 가열기에 관하여, 독립적으로 제어하도록 구성된다.
[0007] 일 실시예에서, 기판 지지 어셈블리는, 슬롯들이 관통하여 형성된 냉각 베이스, 기판 지지 표면 및 하부 표면을 갖는 바디, 바디에 배치된 하나 또는 그 초과의 메인 저항성 가열기들, 바디에 배치된 복수의 공간적으로 튜닝가능한 가열기들, 및 복수의 공간적으로 튜닝가능한 가열기들에 커플링된 튜닝가능한 가열기 제어기를 포함하며, 가열기 튜닝 제어기는, 복수의 공간적으로 튜닝가능한 가열기들 중 하나의 공간적으로 튜닝가능한 가열기에 대한 출력을, 복수의 공간적으로 튜닝가능한 가열기들 중 다른 공간적으로 튜닝가능한 가열기에 관하여, 독립적으로 제어하도록 구성된다.
[0008] 또 다른 실시예에서, 워크피스(workpiece)의 온도를 제어하기 위한 방법이 제공된다. 방법은, 기판 지지부에 형성된 메인 저항성 가열기에 전력을 인가하는 단계; 복수의 공간적으로 튜닝가능한 가열기들에 전력을 제공하는 단계 ― 각각의 공간적으로 튜닝가능한 가열기로의 전력은, 가열기 튜닝 제어기에 의해, 개별적으로 제어됨 ―; 기판 지지부 상에서 워크피스를 프로세싱하는 단계; 및 프로세스 레시피에서의 변화들 또는 프로세스 조건들에 응답하여, 개별적인 공간적으로 튜닝가능한 가열기에 제공되는 전력을 변화시키는 단계를 포함한다.
[0009] 본 발명의 상기 열거된 특징들이 상세히 이해될 수 있는 방식으로, 앞서 간략히 요약된, 본 발명의 보다 구체적인 설명이 구현들을 참조로 하여 이루어질 수 있는데, 이러한 구현들의 일부는 첨부된 도면들에 예시되어 있다. 그러나, 첨부된 도면들은 본 발명의 단지 전형적인 구현들을 도시하는 것이므로 본 발명의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 유의되어야 하는데, 이는 본 발명이 다른 균등하게 유효한 구현들을 허용할 수 있기 때문이다.
[0010] 도 1은, 기판 지지 어셈블리의 일 실시예를 갖는 프로세싱 챔버의 개략적인 측단면도이다.
[0011] 도 2는, 기판 지지 어셈블리의 부분들을 열거하는 부분적인 개략적인 측단면도이다.
[0012] 도 3a 내지 도 3e는, 기판 지지 어셈블리 내의 공간적으로 튜닝가능한 가열기들 및 메인 저항성 가열기들을 위한 다양한 위치들을 예시하는 부분적인 개략적인 측면도들이다.
[0013] 도 4a는, 도 2의 단면선 A-A을 따라 취해진 단면도이다.
[0014] 도 4b 내지 도 4d는, 공간적으로 튜닝가능한 가열기들에 대한 대안적인 레이아웃들을 예시하는, 도 2의 단면선 A-A을 따라 취해진 단면도들이다.
[0015] 도 5는, 공간적으로 튜닝가능한 가열기들 및 메인 저항성 가열기들에 대한 와이어링 스키마(schema)에 대한 도식적인 도시이다.
[0016] 도 6은, 공간적으로 튜닝가능한 가열기들 및 메인 저항성 가열기들에 대한 교체적인 와이어링 스키마에 대한 도식적인 도시이다.
[0017] 도 7은, 도 6에서 도시된 와이어링 스키마에 대해 구성된 기판 지지 어셈블리의 바닥 투시도이다.
[0018] 도 8은, 도 6에서 도시된 와이어링 스키마에 대해 구성된 냉각 베이스에 대한 바닥 투시도이다.
[0019] 도 9는, 기판 지지 어셈블리를 활용하여 기판을 프로세싱하기 위한 방법의 일 실시예의 흐름도이다.
[0020] 도 10은, 정전 척을 제어기에 연결시키기 위한 메이팅(mating) 커넥터에 대한 단면도이다.
[0021] 이해를 용이하게 하기 위하여, 도면들에 대해 공통인 동일한 엘리먼트들을 지시하기 위해 가능한 경우에 동일한 참조 번호들이 사용되었다. 일 구현에서 개시된 엘리먼트들이, 구체적인 설명 없이 다른 구현들에 유익하게 포함될 수 있다는 것이 고려된다.
[0022] 본원에서 설명되는 구현들은, 기판 지지 어셈블리를 구성하는 정전 척의 온도의 측방향 및 방위각방향 튜닝 양자 모두를 가능하게 하고, 결국, 기판 지지 어셈블리 상에서 프로세싱되는 기판의 측방향 온도 프로파일의 측방향 및 방위각방향 튜닝 양자 모두를 허용하는 기판 지지 어셈블리를 제공한다. 더욱이, 기판 지지 어셈블리는 또한, 기판 상의 국부적인 고온 또는 저온 스폿들이 실질적으로 제거될 수 있게 한다. 기판 지지 어셈블리 상에서 프로세싱되는 기판의 측방향 온도 프로파일의 튜닝을 위한 방법들이 또한, 본원에서 설명된다. 기판 지지 어셈블리가 에칭 프로세싱 챔버에 있는 것으로 아래에서 설명되지만, 기판 지지 어셈블리는, 다른 타입들의 플라즈마 프로세싱 챔버들, 예컨대, 특히, 물리 기상 증착 챔버들, 화학 기상 증착 챔버들, 이온 주입 챔버들, 및 측방향 온도 프로파일의 방위각방향 튜닝이 바람직한 다른 시스템들에서 활용될 수 있다. 공간적으로 튜닝가능한 가열기들이 또한, 반도체 프로세싱에 대해 사용되지 않는 것들을 포함하는 다른 표면들의 온도를 제어하기 위해 활용될 수 있는 것이 또한 고려된다.
[0023] 하나 또는 그 초과의 실시예들에서, 기판 지지 어셈블리는, 온도, 유동 전도도, 전기장들, 플라즈마 밀도 등과 같은 챔버 불-균일성들을 보상하기 위해 기판 온도가 활용되게 허용함으로써, 에칭, 증착, 주입 등과 같은 진공 프로세스 동안의, 기판의 에지에서의 임계 치수(CD) 변동의 교정을 허용한다. 부가적으로, 몇몇 실시예들은, 기판에 걸친 온도 균일성을, 섭씨 약 ± 0.3 도 미만으로 제어하는 능력을 나타내었다.
[0024] 도 1은, 기판 지지 어셈블리(126)를 갖는 예시적인 에칭 프로세싱 챔버(100)의 개략적인 단면도이다. 위에서 논의된 바와 같이, 기판 지지 어셈블리(126)는, 다른 프로세싱 챔버, 예컨대, 특히, 플라즈마 처리 챔버들, 어닐링 챔버들, 물리 기상 증착 챔버들, 화학 기상 증착 챔버들, 및 이온 주입 챔버들, 뿐만 아니라, 기판과 같은 워크피스 또는 표면의 온도 프로파일을 제어하는 능력이 바람직한 다른 시스템들에서 활용될 수 있다. 표면에 걸친 다수의 불연속적인(discrete) 영역들에 걸친 온도의 독립적인 및 국부적인 제어는 유익하게, 온도 프로파일의 방위각방향 튜닝, 온도 프로파일의 중심 대 에지 튜닝, 및 고온(hot) 및 저온(cool) 스폿들과 같은 국부적인 온도 어스페러티들(asperities)의 감소를 가능하게 한다.
[0025] 프로세싱 챔버(100)는 접지된 챔버 바디(102)를 포함한다. 챔버 바디(102)는, 내부 볼륨(124)을 에워싸는, 벽들(104), 바닥(106), 및 덮개(108)를 포함한다. 기판 지지 어셈블리(126)는 내부 볼륨(124)에 배치되고, 프로세싱 동안에, 그 위에 기판(134)을 지지한다.
[0026] 프로세싱 챔버(100)의 벽들(104)은 개구(미도시)를 포함하고, 그 개구를 통해, 기판(134)이 내부 볼륨(124) 내외로 로봇식으로 이송될 수 있다. 펌핑 포트(110)가 챔버 바디(102)의 벽들(104) 또는 바닥(106) 중 하나에 형성되고, 펌핑 시스템(미도시)에 유체적으로 연결된다. 펌핑 시스템은, 프로세싱 부산물들을 제거하면서, 프로세싱 챔버(100)의 내부 볼륨(124) 내에서 진공 환경을 유지하기 위해 활용된다.
[0027] 가스 패널(112)은, 챔버 바디(102)의 벽들(104) 또는 덮개(108) 중 적어도 하나를 통해 형성된 하나 또는 그 초과의 유입구 포트들(114)을 통해, 프로세싱 챔버(100)의 내부 볼륨(124)에 프로세스 및/또는 다른 가스들을 제공한다. 가스 패널(112)에 의해 제공되는 프로세스 가스는, 기판 지지 어셈블리(126) 상에 배치된 기판(134)을 프로세싱하기 위해 활용되는 플라즈마(122)를 형성하기 위해, 내부 볼륨(124) 내에서 에너자이징된다(energized). 프로세스 가스들은, 챔버 바디(102) 외부에 위치된 플라즈마 애플리케이터(applicator)(120)로부터의, 프로세스 가스들에 유도성으로 커플링되는 RF 전력에 의해 에너자이징될 수 있다. 도 1에서 도시된 실시예에서, 플라즈마 애플리케이터(120)는, 정합 회로(118)를 통해 RF 전력 소스(116)에 커플링된 동축 코일들의 쌍이다.
[0028] 제어기(148)는, 기판(134)의 프로세싱 및 프로세싱 챔버(100)의 동작을 제어하기 위해, 프로세싱 챔버(100)에 커플링된다. 제어기(148)는, 다양한 서브프로세서들 및 서브제어기들을 제어하기 위해 산업 현장에서 사용될 수 있는 임의의 형태의 범용 데이터 프로세싱 시스템 중 하나일 수 있다. 일반적으로, 제어기(148)는, 다른 통상적인 컴포넌트들 중에서, 입력/출력(I/O) 회로(176) 및 메모리(174)와 통신하는 중앙 프로세싱 유닛(CPU)(172)을 포함한다. 제어기(148)의 CPU에 의해 실행되는 소프트웨어 커맨드들은, 프로세싱 챔버로 하여금, 예컨대, 내부 볼륨(124) 내로 에천트 가스 혼합물(즉, 프로세싱 가스)을 도입하게 하고, 플라즈마 애플리케이터(120)로부터의 RF 전력의 인가에 의해 프로세싱 가스로부터 플라즈마(122)를 형성하게 하고, 기판(134) 상의 재료의 층을 에칭하게 한다.
[0029] 기판 지지 어셈블리(126)는 일반적으로, 적어도 기판 지지부(132)를 포함한다. 기판 지지부(132)는, 진공 척, 정전 척, 서셉터(susceptor), 또는 다른 워크피스 지지 표면일 수 있다. 도 1의 실시예에서, 기판 지지부(132)는 정전 척이고, 이하에서 정전 척(132)으로서 설명될 것이다. 기판 지지 어셈블리(126)는 부가적으로, 가열기 어셈블리(170)를 포함할 수 있다. 기판 지지 어셈블리(126)는 또한, 냉각 베이스(130)를 포함할 수 있다. 교체적으로(alternately), 냉각 베이스는 기판 지지 어셈블리(126)로부터 분리될 수 있다. 기판 지지 어셈블리(126)는 지지 페데스탈(pedestal)(125)에 제거가능하게 커플링될 수 있다. 페데스탈 베이스(128) 및 설비 플레이트(180)를 포함할 수 있는 지지 페데스탈(125)이 챔버 바디(102)에 탑재된다. 기판 지지 어셈블리(126)는, 기판 지지 어셈블리(126)의 하나 또는 그 초과의 컴포넌트들의 개장을 허용하기 위해, 지지 페데스탈(125)로부터 주기적으로 제거될 수 있다.
[0030] 설비 플레이트(180)는, 복수의 리프팅 핀들을 상승시키고 하강시키도록 구성된 복수의 구동 메커니즘을 수용하도록 구성된다. 부가적으로, 설비 플레이트(180)는, 정전 척(132) 및 냉각 베이스(130)로부터의 복수의 유체 연결들을 수용하도록 구성된다. 설비 플레이트(180)는 또한, 정전 척(132) 및 가열기 어셈블리(170)로부터의 복수의 전기 연결들을 수용하도록 구성된다. 무수한 연결들이 기판 지지 어셈블리(126) 외부에서 또는 내부에서 연장(run)될 수 있는 한편, 설비 플레이트(180)는 각각의 말단으로의 연결들을 위한 인터페이스를 제공한다.
[0031] 정전 척(132)은 탑재 표면(131), 및 탑재 표면(131) 반대편의 워크피스 표면(133)을 갖는다. 정전 척(132)은 일반적으로, 유전체 바디(150)에 임베딩된(embedded) 척킹 전극(136)을 포함한다. 척킹 전극(136)은, 단극성 또는 양극성 전극, 또는 다른 적합한 배열로 구성될 수 있다. 척킹 전극(136)은, 유전체 바디(150)의 상부 표면에 기판(134)을 정전기로 고정시키기 위해 RF 또는 DC 전력을 제공하는 척킹력 소스(138)에 RF 필터(182)를 통해 커플링된다. RF 필터(182)는, 프로세싱 챔버(100) 내에서 플라즈마(122)를 형성하기 위해 활용되는 RF 전력이, 전기 장비를 손상시키거나, 또는 챔버 외부에 전기 위험요소(hazard)를 제공하는 것을 방지한다. 유전체 바디(150)는 세라믹 재료, 예컨대 AlN 또는 Al2O3로 제작될 수 있다. 교체적으로, 유전체 바디(150)는 폴리머, 예컨대 폴리이미드, 폴리에테르에테르케톤(polyetheretherketone), 폴리아릴에테르케톤(polyaryletherketone) 등으로 제작될 수 있다.
[0032] 정전 척(132)의 워크피스 표면(133)은, 정전 척(132)의 워크피스 표면(133)과 기판(134) 사이에 정의된 틈새 공간에 백사이드(backside) 열 전달 가스를 제공하기 위한 가스 통로들(미도시)을 포함할 수 있다. 정전 척(132)은 또한, 프로세싱 챔버(100) 내외로의 로봇식 이송을 용이하게 하기 위해, 정전 척(132)의 워크피스 표면(133) 위로 기판(134)을 상승시키기 위한 리프트 핀들을 수용하기 위한 리프트 핀 홀들을 포함할 수 있다(리프트 핀들 및 리프트 핀 홀들은 양자 모두 도시되지 않음).
[0033] 온도 제어되는 냉각 베이스(130)는 열 전달 유체 소스(144)에 커플링된다. 열 전달 유체 소스(144)는, 냉각 베이스(130)에 배치된 하나 또는 그 초과의 도관들(160)을 통해 순환되는, 액체, 가스, 또는 이들의 조합과 같은 열 전달 유체를 제공한다. 이웃하는 도관들(160)을 통해 유동하는 유체는, 냉각 베이스(130)의 상이한 영역들과 정전 척(132) 사이의 열 전달의 국부적인 제어를 가능하게 하기 위해 격리될 수 있고, 이는, 기판(134)의 측방향 온도 프로파일을 제어하는 것을 보조한다.
[0034] 유체 분배기(distributor)는, 온도 제어되는 냉각 베이스(130)와 열 전달 유체 소스(144)의 배출구 사이에 유체적으로 커플링될 수 있다. 유체 분배기는, 도관들(160)에 제공되는 열 전달 유체의 양을 제어하도록 동작한다. 유체 분배기는, 프로세싱 챔버(100)의 외부에, 기판 지지 어셈블리(126) 내에, 페데스탈 베이스(128) 내에, 또는 다른 적합한 위치에 배치될 수 있다.
[0035] 가열기 어셈블리(170)는, 바디(152)에 임베딩된, 하나 또는 그 초과의 메인 저항성 가열기들(154) 및/또는 복수의 공간적으로 튜닝가능한 가열기들(140)을 포함할 수 있다. 메인 저항성 가열기들(154)은, 챔버 프로세스들을 실시하기 위한 온도로 기판 지지 어셈블리(126)의 온도를 상승시키기 위해 제공될 수 있다. 공간적으로 튜닝가능한 가열기들(140)은, 메인 저항성 가열기들(154)에 대해 상보적이고, 메인 저항성 가열기들(154)에 의해 정의된 복수의 측방향으로 분리된 가열 구역들 중 임의의 하나 또는 그 초과 내의 복수의 불연속적인 위치들에서, 정전 척의 국부화된 온도를 조정하도록 구성된다. 공간적으로 튜닝가능한 가열기들(140)은, 기판 지지 어셈블리(126) 상에 배치된 기판의 온도 프로파일에 대해, 국부화된 조정들을 제공한다. 따라서, 메인 저항성 가열기들(154)은 전역적인 매크로 스케일(globalized macro scale)로 동작하는 한편, 공간적으로 튜닝가능한 가열기들(140)은 국부화된 마이크로 스케일(localized micro scale)로 동작한다.
[0036] 메인 저항성 가열기들(154)은 RF 필터(184)를 통해 메인 가열기 전력 소스(156)에 커플링된다. 전력 소스(156)는 메인 저항성 가열기들(154)에 900 와트 또는 그 초과의 전력을 제공할 수 있다. 제어기(148)는, 대략 미리 정의된 온도로 기판(134)을 가열하도록 일반적으로 세팅된 메인 가열기 전력 소스(156)의 동작을 제어할 수 있다. 일 실시예에서, 메인 저항성 가열기들(154)은 측방향으로 분리된 가열 구역들을 포함하고, 그 측방향으로 분리된 가열 구역들에서, 제어기(148)는, 메인 저항성 가열기들(154)의 하나의 구역이, 다른 구역들 중 하나 또는 그 초과에 위치된 메인 저항성 가열기들(154)에 비하여, 우선적으로 가열될 수 있게 한다. 예컨대, 메인 저항성 가열기들(154)은 복수의 분리된 가열 구역들로 동심적으로(concentrically) 배열될 수 있다.
[0037] 공간적으로 튜닝가능한 가열기들(140)은 RF 필터(186)를 통해 가열기 튜닝 전력 소스(tuning heater power source)(142)에 커플링된다. 가열기 튜닝 전력 소스(142)는 공간적으로 튜닝가능한 가열기들(140)에 10 와트 또는 그 미만의 전력을 제공할 수 있다. 일 실시예에서, 가열기 튜닝 전력 소스(142)에 의해 공급되는 전력은, 메인 저항성 가열기들의 전력 소스(156)에 의해 공급되는 전력보다, 하나의 자릿수(an order of magnitude)만큼 더 작다. 공간적으로 튜닝가능한 가열기들(140)은 부가적으로, 가열기 튜닝 제어기(202)에 커플링될 수 있다. 가열기 튜닝 제어기(202)는 기판 지지 어셈블리(126) 내에 또는 외부에 위치될 수 있다. 가열기 튜닝 제어기(202)는, 기판 지지 어셈블리(126)에 걸쳐 측방향으로 분배된 각각의 공간적으로 튜닝가능한 가열기들(140)에서 국부적으로 생성되는 열을 제어하기 위해, 가열기 튜닝 전력 소스(142)로부터, 공간적으로 튜닝가능한 가열기들(140) 각각, 또는 공간적으로 튜닝가능한 가열기들(140)의 그룹들에 제공되는 전력을 관리할 수 있다. 가열기 튜닝 제어기(202)는, 복수의 공간적으로 튜닝가능한 가열기들(140) 중 하나의 공간적으로 튜닝가능한 가열기의 출력을, 복수의 공간적으로 튜닝가능한 가열기들(140) 중 다른 공간적으로 튜닝가능한 가열기에 관하여, 독립적으로 제어하도록 구성된다. 광학 변환기(178)는, 프로세싱 챔버(100)에 대한 RF 에너지의 영향으로부터 제어기(148)를 디커플링(decouple)시키도록, 제어기(148)에 가열기 튜닝 제어기(202)를 커플링시킬 수 있다.
[0038] 일 실시예에서, 하나 또는 그 초과의 메인 저항성 가열기들(154) 및/또는 공간적으로 튜닝가능한 가열기들(140)은 정전 척(132)에 형성될 수 있다. 기판 지지 어셈블리(126)는 가열기 어셈블리(170) 없이 형성될 수 있고, 정전 척(132)이 냉각 베이스(130) 바로 위에 배치될 수 있다. 가열기 튜닝 제어기(202)가 냉각 베이스 근처에 배치될 수 있고, 개별적인 공간적으로 튜닝가능한 가열기들(140)을 선택적으로 제어할 수 있다.
[0039] 정전 척(132)은, 메인 가열기 전력 소스(156)에 의해 메인 저항성 가열기들(154)에 인가되는 전력을 제어하기 위해, 냉각 베이스(130)의 동작들을 제어하기 위해, 그리고 가열기 튜닝 전력 소스(142)에 의해 공간적으로 튜닝가능한 가열기들(140)에 인가되는 전력을 제어하기 위해, 제어기(148)에 온도 피드백 정보를 제공하기 위한 하나 또는 그 초과의 온도 센서들(미도시)을 포함할 수 있다.
[0040] 프로세싱 챔버(100)에서의 기판(134)의 표면에 대한 온도는, 펌프에 의한 프로세스 가스들의 진공배기(evacuation), 슬릿 밸브 도어, 플라즈마(122), 및 다른 인자들에 의해 영향을 받을 수 있다. 냉각 베이스(130), 하나 또는 그 초과의 메인 저항성 가열기들(154), 및 공간적으로 튜닝가능한 가열기들(140)은 모두, 기판(134)의 표면 온도를 제어하는 것을 돕는다.
[0041] 메인 저항성 가열기들(154)의 2개 구역 구성에서, 메인 저항성 가열기들(154)은, 구역들 간의 섭씨 약 +/- 10 도의 변동으로, 프로세싱에 대해 적합한 온도로 기판(134)을 가열하기 위해 사용될 수 있다. 메인 저항성 가열기들(154)에 대한 4개 구역 어셈블리에서, 메인 저항성 가열기들(154)은, 특정한 구역 내의 섭씨 약 +/- 1.5 도의 변동으로, 프로세싱에 대해 적합한 온도로 기판(134)을 가열하기 위해 사용될 수 있다. 각각의 구역은, 프로세스 조건들 및 파라미터들에 따라, 인접한 구역들로부터, 섭씨 약 0 도 내지 섭씨 약 20 도만큼 벗어날 수 있다. 그러나, 기판에 걸친 임계 치수들에서의 변동들을 최소화하기 위한 요건이, 기판 표면의 표면의 결정된 프로세스 온도에서의 수용가능한 변동을 감소시켰다. 기판(134)에 대한 표면 온도의 1/2 도(half a degree)의 변동은, 기판(134)에서의 구조들의 형성에서 나노미터만큼의 차이를 야기할 수 있다. 공간적으로 튜닝가능한 가열기들(140)은, 메인 저항성 가열기들(154)에 의해 생성되는, 기판(134)의 표면의 온도 프로파일을, 온도 프로파일에서의 변동들을 섭씨 약 +/- 0.3 도로 감소시킴으로써, 개선한다. 온도 프로파일은, 원하는 결과들을 획득하기 위해, 공간적으로 튜닝가능한 가열기들(140)의 사용을 통해, 기판(134)의 영역들에 걸쳐, 미리 결정된 방식으로 정확하게 변화되도록 이루어질 수 있거나, 또는 균일하게 이루어질 수 있다.
[0042] 도 2는, 기판 지지 어셈블리(126)의 부분들을 예시하는 부분적인 개략적인 단면도이다. 정전 척(132), 냉각 베이스(130), 가열기 어셈블리(170), 및 설비 플레이트(180)의 부분들이 도 2에 포함된다.
[0043] 가열기 어셈블리(170)의 바디(152)는 폴리머, 예컨대 폴리이미드로 제작될 수 있다. 바디(152)는 일반적으로, 평면 형태가 원통형일 수 있지만, 또한, 다른 기하 형상들로 형성될 수 있다. 바디(152)는 상부 표면(270) 및 하부 표면(272)을 갖는다. 상부 표면(270)은 정전 척(132)을 향하는 한편, 하부 표면(272)은 냉각 베이스(130)를 향한다.
[0044] 가열기 어셈블리(170)의 바디(152)는, 2개 또는 그 초과의 유전체 층들(도 2에서 3개의 유전체 층들(260, 262, 264)로서 도시됨)로부터, 그리고 단일 바디(152)를 형성하기 위해 압력 하에서 층들(260, 262, 264)을 가열하여, 형성될 수 있다. 예컨대, 바디(152)는, 가열기 어셈블리(170)의 단일 바디(152)를 형성하기 위해 압력 하에서 가열되는, 메인 및 공간적으로 튜닝가능한 가열기들(154, 140)을 분리시키는 폴리이미드 층들(260, 262, 264)로 형성될 수 있다. 공간적으로 튜닝가능한 가열기들(140)은, 바디(152)를 형성하기 전에, 제 1, 제 2, 또는 제 3 층들(260, 262, 264)에, 이러한 층들 상에, 또는 이러한 층들 사이에 배치될 수 있다. 부가적으로, 메인 저항성 가열기들(154)은, 어셈블리 전에, 제 1, 제 2, 또는 제 3 층들(260, 262, 264)에, 이러한 층들 상에, 또는 이러한 층들 사이에 배치될 수 있고, 층들(260, 262, 265) 중 적어도 하나는 가열기들(154, 140)을 분리시키고, 전기적으로 절연시킨다. 이러한 방식으로, 공간적으로 튜닝가능한 가열기들(140) 및 메인 저항성 가열기들(154)은, 가열기 어셈블리(170)의 일체형(integral) 부분이 된다.
[0045] 메인 저항성 가열기들(154) 및 공간적으로 튜닝가능한 가열기들(140)의 위치들에 대한 교체적인 구성들은, 가열기들(154, 140) 중 하나 또는 양자 모두를 정전 척(132)에 또는 정전 척(132) 아래에 배치할 수 있다. 도 3a 내지 도 3e는, 공간적으로 튜닝가능한 가열기들(140) 및 메인 저항성 가열기들(154)에 대한 다양한 위치들을 열거하지만, 모든 실시예들에 대해 제한적이지 않은, 기판 지지 어셈블리(126)의 부분적인 개략도들이다.
[0046] 도 3a에서 도시된 실시예에서, 기판 지지 어셈블리(126)는 가열기 어셈블리(170)를 갖지 않고, 공간적으로 튜닝가능한 가열기들(140) 및 메인 저항성 가열기들(154)은, 정전 척(132)에, 예컨대, 척킹 전극(136) 아래에 배치된다. 공간적으로 튜닝가능한 가열기들(140)이 메인 저항성 가열기들(154) 아래에 도시되어 있지만, 대안적으로, 공간적으로 튜닝가능한 가열기들(140)은 메인 저항성 가열기들(154) 위에 위치될 수 있다. 도 3b에서 도시된 실시예에서, 기판 지지 어셈블리(126)를 위한 가열기 어셈블리(170)가 공간적으로 튜닝가능한 가열기들(140)을 포함하는 한편, 메인 저항성 가열기들(154)은, 정전 척(132)에, 예컨대, 척킹 전극(136) 아래에 배치된다. 대안적으로, 공간적으로 튜닝가능한 가열기들(140)이 정전 척(132)에 배치될 수 있는 한편, 메인 저항성 가열기들(154)은 가열기 어셈블리(170)에 배치된다. 도 3c에서 도시된 실시예에서, 기판 지지 어셈블리(126)를 위한 가열기 어셈블리(170)는, 그 가열기 어셈블리(170)에 배치된 메인 저항성 가열기들(154)을 갖는다. 공간적으로 튜닝가능한 가열기들(140)은, 정전 척(132)에, 예컨대, 척킹 전극(136) 아래에 배치된다. 도 3d에서 도시된 실시예에서, 기판 지지 어셈블리(126)를 위한 가열기 어셈블리(170)는, 그 가열기 어셈블리(170)에 배치된 공간적으로 튜닝가능한 가열기들(140)을 갖는 한편, 메인 저항성 가열기들(154)은, 가열기 어셈블리(170) 또는 정전 척(132) 중 하나 상에 배치된다. 가열기 어셈블리(170)는, 냉각 베이스(130)로부터, 공간적으로 튜닝가능한 가열기들(140)을 격리시킨다. 도 3e에서 도시된 실시예에서, 기판 지지 어셈블리(126)의 가열기 어셈블리(170)는, 그 가열기 어셈블리(170)에 배치된 메인 저항성 가열기들(154)을 갖는다. 공간적으로 튜닝가능한 가열기들(140)은, 가열기 어셈블리(170)에, 또는 가열기 어셈블리(170) 상에, 예컨대, 정전 척(132) 아래에 배치된다. 공간적으로 튜닝가능한 가열기들(140) 및 메인 저항성 가열기들(154)이 다른 배향들로 배열될 수 있는 것이 고려된다. 예컨대, 기판 지지 어셈블리(126)는, 기판(134)을 가열하기 위한 복수의 공간적으로 튜닝가능한 가열기들(140)만을 가질 수 있다. 일 실시예에서, 공간적으로 튜닝가능한 가열기들(140) 및 메인 저항성 가열기들(154)은, 기판 지지 어셈블리(126) 내에서 서로의 바로 아래에 배치된다. 공간적으로 튜닝가능한 가열기들(140)은, 기판 지지 어셈블리(126)에 의해 지지되는 기판(134)의 온도 프로파일에 대해 미세 튜닝 제어를 제공한다.
[0047] 도 2로 다시 돌아가면, 공간적으로 튜닝가능한 가열기들(140)은, 정전 척(132) 또는 가열기 어셈블리(170)의 바디(152) 상에 또는 그 내부에 형성 또는 배치될 수 있다. 공간적으로 튜닝가능한 가열기들(140)은, 도금, 잉크 제트 프린팅, 스크린 프린팅(screen printing), 물리 기상 증착, 스탬핑(stamping), 와이어 메시(와이어 mesh), 패턴 폴리이미드 플렉스 회로(pattern polyimide flex circuit), 또는 다른 적합한 방식에 의해 형성될 수 있다. 공간적으로 튜닝가능한 가열기들(140)로부터 정전 척(132) 또는 가열기 어셈블리(170)의 외부 표면으로의 연결들을 제공하기 위해, 정전 척(132) 또는 가열기 어셈블리(170)에 비아들이 형성될 수 있다. 예컨대, 정전 척(132)의 바디(150)는, 그 바디(150)에서, 바디(150)의 탑재 표면(131)과 공간적으로 튜닝가능한 가열기들(140) 사이에 형성된 비아들을 갖는다. 교체적으로, 가열기 어셈블리(170)의 바디(152)는, 그 바디(152)에서, 냉각 베이스(130)에 인접한, 바디(152)의 표면과 공간적으로 튜닝가능한 가열기들(140) 사이에 형성된 비아들을 갖는다. 이러한 방식으로, 기판 지지 어셈블리(126)의 제작이 간략화된다. 일 실시예에서, 공간적으로 튜닝가능한 가열기들(140)은, 가열기 어셈블리(170)를 형성하는 동안에, 가열기 어셈블리(170) 내에 배치된다. 다른 실시예에서, 공간적으로 튜닝가능한 가열기들(140)은, 정전 척(132)의 탑재 표면(131) 상에 바로 배치된다. 예컨대, 공간적으로 튜닝가능한 가열기들(140)은, 정전 척(132)의 탑재 표면(131)에 접착될 수 있는 시트 형태일 수 있거나, 또는 공간적으로 튜닝가능한 가열기들은 다른 수단에 의해 증착될 수 있다. 예컨대, 공간적으로 튜닝가능한 가열기들(140)은, 물리 기상 증착, 화학 기상 증착, 스크린 프린팅, 또는 다른 적합한 방법들에 의해, 탑재 표면(131) 상에 증착될 수 있다. 위에서 도시된 바와 같이, 메인 저항성 가열기들(154)은 가열기 어셈블리(170) 또는 정전 척(132)에 있을 수 있다.
[0048] 메인 저항성 가열기들(154)은, 정전 척(132) 또는 가열기 어셈블리(170)의 바디(152) 상에 또는 그 내부에 형성 또는 배치될 수 있다. 메인 저항성 가열기들(154)은, 도금, 잉크 제트 프린팅, 스크린 프린팅, 물리 기상 증착, 스탬핑, 와이어 메시, 또는 다른 적합한 방식에 의해 형성될 수 있다. 이러한 방식으로, 기판 지지 어셈블리(126)의 제작이 간략화된다. 일 실시예에서, 메인 저항성 가열기들(154)은, 가열기 어셈블리(170)를 형성하는 동안에, 가열기 어셈블리(170) 내에 배치된다. 다른 실시예에서, 메인 저항성 가열기들(154)은, 정전 척(132)의 탑재 표면(131) 상에 바로 배치된다. 예컨대, 메인 저항성 가열기들(154)은, 정전 척(132)의 탑재 표면(131)에 접착될 수 있는 시트 형태일 수 있거나, 또는 메인 저항성 가열기들(154)은 다른 수단에 의해 증착될 수 있다. 예컨대, 메인 저항성 가열기들(154)은, 물리 기상 증착, 화학 기상 증착, 스크린 프린팅, 또는 다른 적합한 방법들에 의해, 탑재 표면(131) 상에 증착될 수 있다. 위에서 도시된 바와 같이, 공간적으로 튜닝가능한 가열기들(140)은 가열기 어셈블리(170) 또는 정전 척(132)에 있을 수 있다.
[0049] 몇몇 실시예들에서, 메인 저항성 가열기들(154)은, 공간적으로 튜닝가능한 가열기들(140)과 유사하게 제작될 수 있고, 그러한 실시예들에서, 부가적인 공간적으로 튜닝가능한 가열기들(140)의 이익 없이, 선택적으로 활용될 수 있다. 즉, 기판 지지 어셈블리(126)의 메인 저항성 가열기들(154) 그 자체가, 공간적으로 튜닝가능할 수 있고, 즉, 복수의 불연속적인 저항성 가열 엘리먼트들로 세그먼팅될(segmented) 수 있다. 작은 저항성 가열기들의 형태로 메인 저항성 가열기들(154)을 세그먼팅하는 것은, 기판(134)의 표면 상의 고온 및 저온 스폿들의 국부적인 제어를 허용한다. 공간적으로 튜닝가능한 가열기들(140)의 부가적인 층은, 원하는 레벨의 온도 제어에 따라, 선택적이다.
[0050] 가열기 어셈블리(170)는, 결합제(bonding agent)(244)를 활용하여, 정전 척(132)의 탑재 표면(131)에 커플링될 수 있다. 결합제(244)는, 접착제(adhesive), 예컨대, 아크릴-계 접착제, 에폭시, 실리콘 계 접착제, 네오프렌-계 접착제, 또는 다른 적합한 접착제일 수 있다. 일 실시예에서, 결합제(244)는 에폭시이다. 결합제(244)는, 0.01 내지 200 W/mK의 범위에서, 그리고 일 예시적인 실시예에서는, 0.1 내지 10 W/mK의 범위에서 선택되는 열 전도율(thermal conductivity)의 계수를 가질 수 있다. 결합제(244)를 포함하는 접착제 재료들은 부가적으로, 적어도 하나의 열 전도성 세라믹 충전제, 예컨대, 알루미늄 산화물(Al2O3), 알루미늄 질화물(AlN), 및 티타늄 다이보라이드(TiB2) 등을 포함할 수 있다.
[0051] 일 실시예에서, 가열기 어셈블리(170)는, 결합제(242)를 활용하여, 냉각 베이스(130)에 커플링된다. 결합제(242)는 결합제(244)와 유사할 수 있고, 접착제, 예컨대, 아크릴-계 접착제, 에폭시, 네오프렌-계 접착제, 또는 다른 적합한 접착제일 수 있다. 일 실시예에서, 결합제(242)는 에폭시이다. 결합제(242)는, 0.01 내지 200 W/mK의 범위에서, 일 예시적인 실시예에서는, 0.1 내지 10 W/mK의 범위에서 선택되는 열 전도율의 계수를 가질 수 있다. 결합제(242)를 포함하는 접착제 재료들은 부가적으로, 적어도 하나의 열 전도성 세라믹 충전제, 예컨대, 알루미늄 산화물(Al2O3), 알루미늄 질화물(AlN), 및 티타늄 다이보라이드(TiB2) 등을 포함할 수 있다.
[0052] 결합제들(244, 242)은, 정전 척(132), 냉각 베이스(130), 및 가열기 어셈블리(170) 중 하나 또는 양자를 개장하는 경우에 제거될 수 있다. 다른 실시예들에서, 가열기 어셈블리(170)는, 파스너(fastener)들 또는 클램프들(미도시)을 활용하여, 냉각 베이스(130) 및 정전 척(132)에 제거가능하게 커플링된다.
[0053] 가열기 어셈블리(170)는, 공간적으로 튜닝가능한 가열기들(140a, 140b, 140c)로서 예시적으로 도시된 복수의 공간적으로 튜닝가능한 가열기들(140)을 포함한다. 공간적으로 튜닝가능한 가열기들(140)은 일반적으로, 복수의 저항성 가열기들이 정전 척(132)과 가열기 어셈블리(170) 사이의 열 전달을 실시하는, 가열기 어셈블리(170) 내의 밀폐된 볼륨이다. 각각의 공간적으로 튜닝가능한 가열기(140)는, 가열기 어셈블리(170)에 걸쳐 측방향으로 배열될 수 있고, 따라서, 당해 셀(200)과 정렬된, 가열기 어셈블리(170)의 영역(및 메인 저항성 가열기(154)의 부분)에 부가적인 열을 국부적으로 제공하기 위한, 가열기 어셈블리(170) 내의 셀(200)을 정의할 수 있다. 가열기 어셈블리(170)에 형성된 공간적으로 튜닝가능한 가열기들(140)의 수는 변화될 수 있고, 메인 저항성 가열기들(154)의 수보다, 적어도 하나의 자릿수만큼 더 많은 공간적으로 튜닝가능한 가열기들(140)(및 셀들(200))이 존재하는 것이 고려된다. 가열기 어셈블리(170)가 4개의 메인 저항성 가열기들(154)을 갖는 일 실시예에서, 40개 초과의 공간적으로 튜닝가능한 가열기들(140)이 존재할 수 있다. 그러나, 300 mm 기판에 대해 사용하도록 구성된 기판 지지 어셈블리(126)의 주어진 실시예에서, 약 200개, 약 400개, 또는 한층 더 많은 공간적으로 튜닝가능한 가열기들(140)이 존재할 수 있는 것이 고려된다. 공간적으로 튜닝가능한 가열기들(140)의 예시적인 분배가, 도 4a 내지 도 4d에 관하여 아래에서 추가로 설명된다.
[0054] 셀들(200)은, 가열기 어셈블리(170)의 바디(152)를 구성하는 하나 또는 그 초과의 층들(260, 262, 264)을 통해 형성될 수 있다. 일 실시예에서, 셀들은 바디(152)의 하부 및 상부 표면(272, 270)에 대해 개방된다. 셀들은 측벽들(214)을 포함할 수 있다. 측벽들(214)은 열 초크(thermal choke)(216)로서 작용하는 재료(또는 갭)로 구성될 수 있다. 열 초크들(216)은 바디(152)의 상부 표면(270)에 형성된다. 열 초크들(216)은 인접한 셀들(200)을 분리시키고, 인접한 셀들(200) 사이의 전도를 감소시킨다. 따라서, 각각의 공간적으로 튜닝가능한 가열기들(140)에 제공되는 전력, 및 결과적으로, 셀(200)을 통하는 열 전달을 개별적으로 그리고 독립적으로 제어함으로써, 기판(134)의 특정한 포인트들이 가열 또는 냉각될 수 있게 하고, 그에 의해, 기판(134)의 표면의 진정으로 어드레싱가능한(addressable) 측방향 온도 프로파일 튜닝 및 제어를 가능하게 하는, 온도 제어에 대한 픽셀(pixel) 기초의 접근법이 실현될 수 있다.
[0055] 부가적인 열 초크(216)가, 바디(152)의 측방향 최외측 측벽(280)과 방사상 최외측 셀들(200) 사이에 형성될 수 있다. 바디(152)의 측방향 최외측 측벽(280)과 셀들(200) 사이에 위치된 이러한 최외측 열 초크(216)는, 프로세싱 챔버(100)의 내부 볼륨(124)과, 측방향 최외측 측벽(280)에 인접한 셀들(200) 사이의 열 전달을 최소화하고, 그에 의해, 기판 지지 어셈블리(126)의 에지에 더 가까이에서 더 정밀한 온도 제어를 허용하고, 결과로서, 기판(134)의 외측 직경 에지에 대한 더 우수한 온도 제어를 허용한다.
[0056] 각각의 공간적으로 튜닝가능한 가열기(140)는 독립적으로, 가열기 튜닝 제어기(202)에 커플링될 수 있다. 가열기 튜닝 제어기(202)는 기판 지지 어셈블리(126)에 배치될 수 있다. 가열기 튜닝 제어기(202)는, 다른 셀들(200)에 관하여, 각각의 셀(200)에서의 가열기 어셈블리(170)에서의 공간적으로 튜닝가능한 가열기들(140)의 온도를 조절할 수 있거나, 또는 대안적으로, 셀들(200)의 다른 그룹에 관하여, 셀들(200)의 그룹에 걸친 가열기 어셈블리(170)에서의 공간적으로 튜닝가능한 가열기들(140)의 그룹의 온도를 조절할 수 있다. 가열기 튜닝 제어기(202)는, 개별적인 공간적으로 튜닝가능한 가열기들(140)에 대해 듀티 사이클을 제어할 수 있거나, 또는 온/오프 상태를 토글링할 수 있다. 교체적으로, 가열기 튜닝 제어기(202)는, 개별적인 공간적으로 튜닝가능한 가열기들(140)에 전달되는 전력의 양을 제어할 수 있다. 예컨대, 가열기 튜닝 제어기(202)는, 하나 또는 그 초과의 공간적으로 튜닝가능한 가열기들(140)에 10 와트의 전력을 제공할 수 있고, 다른 공간적으로 튜닝가능한 가열기들(140)에 9 와트의 전력을 제공할 수 있고, 또 다른 공간적으로 튜닝가능한 가열기들(140)에 10 와트의 전력을 제공할 수 있다.
[0057] 일 실시예에서, 각각의 셀(200)은, 예컨대, 열 초크(216)를 사용하여, 이웃하는 셀들(200)로부터 열적으로 격리될 수 있고, 이는, 더 정밀한 온도 제어를 가능하게 한다. 다른 실시예에서, 각각의 셀(200)은 인접한 셀에 열적으로 조이닝되어(joined), 가열기 어셈블리(170)의 상부 표면(270)을 따라, 유사한(즉, 평활한(smooth) 또는 블렌딩된(blended)) 온도 프로파일을 생성할 수 있다. 예컨대, 알루미늄 포일과 같은 금속 층이, 공간적으로 튜닝가능한 가열기들(140)과 메인 저항성 가열기들(154) 사이의 열 스프레더(spreader)로서 사용될 수 있다.
[0058] 메인 저항성 가열기들(154)에 의해 생성된 온도 프로파일을 교정하거나 또는 평활화하기 위한, 독립적으로 제어가능한 공간적으로 튜닝가능한 가열기들(140)의 사용은, 매우 작은 허용오차들로 기판에 걸친 국부적인 온도 균일성의 제어를 가능하게 하고, 그에 의해, 기판(134)을 프로세싱하는 경우에, 정밀한 프로세스 및 CD 제어를 가능하게 한다. 부가적으로, 메인 저항성 가열기들(154)에 비한 공간적으로 튜닝가능한 가열기들(140)의 작은 사이즈 및 높은 밀도는, 이웃하는 영역들의 온도에 실질적으로 영향을 미치지 않으면서, 기판 지지 어셈블리(126) 상의 특정한 위치들에서의 온도 제어를 가능하게 하고, 그에 의해, 스큐잉(skewing) 또는 다른 온도 비대칭들을 도입하지 않으면서, 국부적인 고온 및 저온 스폿들이 보상되게 허용한다. 복수의 공간적으로 튜닝가능한 가열기들(140)을 갖는 기판 지지 어셈블리(126)는, 기판 지지 어셈블리(126) 상에서 프로세싱되는 기판(134)의 온도 균일성을 섭씨 약 ± 0.3 도 미만으로 제어하는 능력을 나타내었다.
[0059] 기판 지지 어셈블리(126)의 몇몇 실시예들의 다른 이익은, RF 전력이 제어 회로를 통해 이동하는 것을 방지하는 능력이다. 예컨대, 가열기 튜닝 제어기(202)는 전기 전력 회로(210) 및 광학 제어기(220)를 포함할 수 있다. 전기 전력 회로(210)는 공간적으로 튜닝가능한 가열기들(140)에 커플링된다. 각각의 공간적으로 튜닝가능한 가열기(140)는, 전기 전력 회로(210)에 연결된 전력 리드들(커넥터들(250))의 쌍을 갖는다. 50개의 공간적으로 튜닝가능한 가열기들(140)을 갖는 예시적인 가열기 어셈블리(170)에서, 공간적으로 튜닝가능한 가열기들(140)을 제어하기 위해, 60개의 핫(hot) 및 1개의 공통 전력 리드(커넥터들(250))가 요구된다. 플라즈마를 형성하기 위해 프로세싱 챔버(100) 내로 공급되는 RF 에너지는 전력 리드들에 커플링된다. 도 1에서 도시된 RF 필터들(182, 184, 186)과 같은 필터들은, RF 에너지로부터, 메인 가열기 전력 소스(156)와 같은 전기 장비를 보호하기 위해 사용된다. 전기 전력 회로(210)에서 전력 리드들(커넥터들(250))을 종결시키고, 각각의 공간적으로 튜닝가능한 가열기(140)에 대해 광학 제어기(220)를 활용함으로써, 전력 소스(156)와 전기 전력 회로(210) 사이에 단일 RF 필터(184)만이 요구된다. 전용 RF 필터를 갖는 각각의 가열기 대신에, 공간적으로 튜닝가능한 가열기들은 하나의 RF 필터를 사용하는 것이 가능하고, 이는, 요구되는 RF 필터들의 수를 상당히 감소시킨다. 전용 RF 필터들을 위한 공간은 매우 제한되고, 기판 지지 어셈블리 내에서 활용되는 가열기들의 수가 또한 제한된다. 따라서, 메인 가열기 구역들의 수가 제한되지 않고, 공간적으로 튜닝가능한 가열기들을 구현하는 것이 가능하게 된다. 따라서, 광학 제어기(220)와 함께 전기 전력 회로(210)를 사용하는 것은, 더 많은 가열기들을 허용하고, 결과적으로, 우수한 측방향 온도 제어를 허용한다.
[0060] 전기 전력 회로(210)는 복수의 커넥터들(250)로의 전력을 스위칭할 수 있거나 또는 사이클링(cycle)시킬 수 있다. 전기 전력 회로(210)는, 하나 또는 그 초과의 공간적으로 튜닝가능한 가열기들(140)을 활성화시키기 위해, 커넥터들(250) 각각에 전력을 제공한다. 전기 전력 소스가 궁극적으로, 복수의 공간적으로 튜닝가능한 가열기들(140)에 전력을 공급하지만, 전기 전력 회로(210)는 단일 전력 소스, 즉 가열기 튜닝 전력 소스(142)를 갖고, 따라서, 단지 단일 필터(184)만을 요구한다. 유리하게, 다수의 가열기들 및 가열기 구역들의 사용을 가능하게 하면서, 부가적인 필터들을 위한 공간 및 비용이 완화된다.
[0061] 광학 제어기(220)는, 커넥터들(250) 그리고 따라서 공간적으로 튜닝가능한 가열기들(140)에 공급되는 전력을 제어하기 위해, 광섬유(fiber optic) 케이블과 같은 광섬유 인터페이스(226)에 의해, 전기 전력 회로(210)에 커플링될 수 있다. 광학 제어기(220)는 광학 도파관(228)을 통해 광학 변환기(178)에 커플링될 수 있다. 광학 변환기(178)는, 공간적으로 튜닝가능한 가열기들(140)의 기능을 제어하는 신호들을 제공하기 위해 제어기(148)에 커플링된다. 광섬유 인터페이스(226) 및 광학 도파관(228)은 전자기 간섭 또는 무선 주파수(RF) 에너지를 겪지 않는다. 따라서, 가열기 튜닝 제어기(202)로부터의 RF 에너지 전송으로부터 제어기(148)를 보호하기 위한 RF 필터가 불필요하고, 그에 의해, 다른 유틸리티들을 라우팅하기 위한, 기판 지지 어셈블리(126)에서의 더 많은 공간을 허용한다.
[0062] 광학 제어기(220)는, 각각의 공간적으로 튜닝가능한 가열기(140), 또는 공간적으로 튜닝가능한 가열기들(140)의 그룹들/영역들을 조절하기 위해, 전기 전력 회로(210)에 커맨드들 또는 명령을 전송할 수 있다. 각각의 공간적으로 튜닝가능한 가열기(140)는, 포지티브(positive) 리드와 네거티브(negative) 리드, 즉, 전기 전력 회로(210)에 부착된 커넥터들(250)의 조합을 사용하여 활성화될 수 있다. 전력은, 전기 전력 회로(210)로부터 포지티브 리드를 통해 공간적으로 튜닝가능한 가열기(140)로 유동할 수 있고, 네거티브 리드를 통해 전기 전력 회로(210)로 리터닝할 수 있다. 일 실시예에서, 네거티브 리드들은 공간적으로 튜닝가능한 가열기들(140) 사이에서 공유된다. 따라서, 공간적으로 튜닝가능한 가열기들(140)은 각각, 공통 네거티브 리드를 공유하면서, 개별적인 전용 포지티브 리드를 가질 것이다. 이러한 배열에서, 전기 전력 회로(210)로부터 복수의 공간적으로 튜닝가능한 가열기들(140)로의 커넥터들(250)의 수는, 공간적으로 튜닝가능한 가열기들(140)의 수보다 하나 더 많다. 예컨대, 기판 지지 어셈블리(126)가 백(100) 개의 공간적으로 튜닝가능한 가열기들(140)을 갖는 경우에, 전기 전력 회로(210)와 공간적으로 튜닝가능한 가열기들(140) 사이에 100개의 포지티브 리드들 및 1개의 네거티브 리드, 총 101개의 커넥터들(250)이 존재할 것이다. 다른 실시예에서, 각각의 공간적으로 튜닝가능한 가열기(140)는, 전기 전력 회로(210)에 공간적으로 튜닝가능한 가열기(140)를 연결시키는 별개의 네거티브 리드를 갖는다. 이러한 배열에서, 전기 전력 회로(210)로부터 공간적으로 튜닝가능한 가열기들(140)로의 커넥터들(250)의 수는, 공간적으로 튜닝가능한 가열기들(140)의 수의 두배이다. 예컨대, 기판 지지 어셈블리(126)가 백(100) 개의 공간적으로 튜닝가능한 가열기들(140)을 갖는 경우에, 전기 전력 회로(210)와 공간적으로 튜닝가능한 가열기들(140) 사이에 100개의 포지티브 리드들 및 100개의 네거티브 리드들, 총 200개의 커넥터들(250)이 존재할 것이다.
광학 제어기(220)는, 각각의 공간적으로 튜닝가능한 가열기(140)에서의 온도를 측정함으로써, 프로그래밍 및 캘리브레이팅될(calibrated) 수 있다. 광학 제어기(220)는, 개별적인 공간적으로 튜닝가능한 가열기들(140)에 대한 전력 파라미터들을 조정함으로써, 온도를 제어할 수 있다. 일 실시예에서, 온도는, 공간적으로 튜닝가능한 가열기들(140)에 대한 증분적인(증분al) 전력 증가들로 조절될 수 있다. 예컨대, 온도 상승은, 공간적으로 튜닝가능한 가열기(140)에 공급되는 전력에서의 퍼센티지 증가, 예컨대 9 % 증가로 획득될 수 있다. 다른 실시예에서, 온도는, 공간적으로 튜닝가능한 가열기(140)의 온 및 오프를 사이클링시킴으로써 조절될 수 있다. 또 다른 실시예에서, 온도는, 각각의 공간적으로 튜닝가능한 가열기(140)로의 전력을 증분적으로 조정하는 것과 사이클링의 조합에 의해 조절될 수 있다. 이러한 방법을 사용하여, 온도 맵이 획득될 수 있다. 맵은, 각각의 공간적으로 튜닝가능한 가열기(140)에 대해 전력 분배 커브와 CD 또는 온도를 상관시킬 수 있다. 따라서, 공간적으로 튜닝가능한 가열기(140)는, 개별적인 공간적으로 튜닝가능한 가열기들(140)에 대한 전력 세팅들을 조절하는 프로그램에 기초하여, 기판 상의 온도 프로파일을 생성하기 위해 사용될 수 있다. 로직은, 광학 제어기(220)에 직접적으로 배치될 수 있거나, 또는 제어기(148)와 같은 외부에 연결된 제어기에 배치될 수 있다.
[0063] 이제, 공간적으로 튜닝가능한 가열기들(140)의 배열이 도 4a 내지 도 4d에 관하여 논의될 것이다. 도 4a는, 일 실시예에 따른, 단면선 A-A를 따르는 도 2의 단면도이다. 도 4b 내지 도 4d는, 교체적인 실시예들에 따른, 도 2의 동일한 단면선 A-A를 따르는 단면도들이다.
[0064] 이제 도 4a를 참조하면, 복수의 공간적으로 튜닝가능한 가열기들(140)이, 가열기 어셈블리(170)의 바디(152)를 통해, 횡단면선 A-A의 평면을 따라 배치된다. 각각의 이웃하는 셀(200) 사이에 열 초크(216)가 배치되고, 각각의 셀(200)은 공간적으로 튜닝가능한 가열기들(140) 중 적어도 하나와 연관된다. 부가적으로, 열 초크(216)는 기판 지지 어셈블리(126)의 외측 표면(426)을 따라 배치된다. 도시된 셀들(200)의 수는 단지 예시를 위한 것일 뿐이고, 임의의 수의 실시예들이, 실질적으로 더 많은(또는 더 적은) 셀들(200)을 가질 수 있다. 공간적으로 튜닝가능한 가열기들(140)의 수는, 메인 저항성 가열기들(154)의 수보다 적어도 하나의 자릿수만큼 더 많을 수 있다. 기판 지지 어셈블리(126)에 걸쳐 위치된 공간적으로 튜닝가능한 가열기들(140)의 수는 쉽게, 수백개를 초과할 수 있다.
[0065] 각각의 공간적으로 튜닝가능한 가열기(140)는, 단자들(406, 408)에서 끝나는 레지스터(404)를 갖는다. 전류가, 406으로 표지된 단자와 같은 하나의 단자에 진입하고, 408로 표지된 단자와 같은 다른 단자에서 빠져나감에 따라, 전류는 레지스터(404)의 와이어에 걸쳐 이동하고, 열을 생성한다. 공간적으로 튜닝가능한 가열기(140)는, 기판 지지 어셈블리(126)의 외측 표면(426)을 따르는 적절한 온도 상승을 제공하기 위하 설계 전력 밀도를 가질 수 있다. 레지스터(404)에 의해 방출된 열의 양은, 레지스터(404)를 통과하는 전류의 제곱(square)에 비례한다. 전력 설계 밀도는, 약 1 와트/셀 내지 약 100 와트/셀, 예컨대 10 와트/셀일 수 있다.
[0066] 레지스터(404)는, 니크롬, 레늄, 텅스텐, 백금, 탄탈럼, 또는 다른 적합한 재료들의 막으로 형성될 수 있다. 레지스터(404)는 전기 저항률(ρ)을 가질 수 있다. 낮은 ρ는 레지스터(404)에 걸친 전기 전하의 이동을 쉽게 허용하는 재료를 표시한다. 저항(R)은, 와이어의 ρ 곱하기 길이(l) 나누기 단면적(A)에 따르거나, 또는 간단히 R = ρ·l/A이다. 백금은 20 ℃에서 약 1.06×10-7(Ω·m)의 ρ를 갖는다. 텅스텐은 20 ℃에서 약 6.60×10-8(Ω·m)의 ρ를 갖는다. 니크롬은 20 ℃에서 약 1.1×10-8 내지 약 1.5×10-8(Ω·m)의 ρ를 갖는다. 3개의 전술된 재료들 중에서, 니크롬으로 구성된 레지스터(404)가, 전기 전하가 더 쉽게 이동하게 허용하고, 따라서, 더 많은 열을 생성한다. 그러나, 텅스텐에 대한 전기 특성들은, 특정한 온도 범위들에서의 저항성 가열기로서의 재료로 구별될 수 있다.
[0067] 레지스터(404)는, 전류가 레지스터(404)를 따라 통과되는 경우에, 열을 효율적으로 제공하도록 구성된, 와이어 두께(474) 및 막 두께(미도시)를 가질 수 있다. 레지스터(404)에 대한 와이어 두께(472)에서의 증가는, 레지스터(404)의 저항(R)에서의 감소를 야기할 수 있다. 와이어 두께(472)는, 텅스턴 와이어의 경우에, 약 0.05 mm 내지 약 0.5 mm의 범위에 있을 수 있고, 니크롬 와이어의 경우에, 약 0.5 mm 내지 약 1 mm의 범위에 있을 수 있다.
[0068] 공식 R = ρ·l/A을 상기하면, 재료, 와이어의 길이, 및 와이어 두께는, 비용, 전력 소비, 및 각각의 공간적으로 튜닝가능한 가열기(140)에 의해 생성되는 열을 제어하기 위해, 레지스터(404)에 대해 선택될 수 있다는 것을 알 수 있다. 일 실시예에서, 레지스터(404)는, 10 와트의 전력에서의 약 90 옴의 저항, 및 약 0.08 mm의 와이어 두께(472)를 갖는 텅스텐으로 구성된다.
[0069] 공간적으로 튜닝가능한 가열기들(140)은, 기판 지지 어셈블리(126)의 표면을 따라 열 프로파일을 효율적으로 생성하기 위해, 패턴(490)으로 구성될 수 있다. 패턴(490)은, 리프트 핀들 또는 다른 기구, 유체 또는 전기 연결들을 위해 홀들(422)에 그리고 홀들(422) 주위에 여유를 제공하면서, 중간점(492)을 중심으로 대칭적일 수 있다. 각각의 공간적으로 튜닝가능한 가열기(140)는 가열기 튜닝 제어기(202)에 의해 제어될 수 있다. 가열기 튜닝 제어기(202)는, 가열기(440)를 정의하는 단일의 공간적으로 튜닝가능한 가열기(140); 또는 내측 웨지(462), 둘레 그룹(464), 파이 형상 영역(460), 또는 비-인접 구성들을 포함하는 다른 원하는 기하학적인 구성을 정의하도록 그룹핑된 복수의 공간적으로 튜닝가능한 가열기들(140)을 턴 온(turn on)시킬 수 있다. 이러한 방식으로, 기판 지지 어셈블리(126)의 표면을 따르는 독립적인 위치들에서 온도가 정확하게 제어될 수 있고, 그러한 독립적인 위치들은, 본 기술분야에 알려져 있는 바와 같은 동심 링으로 제한되지 않는다. 도시된 패턴이 더 작은 유닛들로 구성되어 있지만, 대안적으로, 패턴은, 더 큰 및/또는 더 작은 유닛들을 가질 수 있거나, 에지까지 연장될 수 있거나, 또는 다른 형태들을 가질 수 있다.
[0070] 도 4b는, 다른 실시예에 따른, 바디(152)를 통해 횡단면선 AA의 평면을 따라 배치된 복수의 공간적으로 튜닝가능한 가열기들(140)의 상면도이다. 열 초크들(216)은 선택적으로 존재할 수 있다. 공간적으로 튜닝가능한 가열기들(140)은 그리드(grid)의 형태로 배열되고, 따라서, 또한 그리드 패턴으로 배열된 온도 제어 셀들(200)의 어레이를 정의한다. 공간적으로 튜닝가능한 가열기들(140)의 그리드 패턴이, 행들 및 열들로 구성된 X/Y 그리드로서 도시되어 있지만, 대안적으로, 공간적으로 튜닝가능한 가열기들(140)의 그리드 패턴은, 육각형 유사 팩(hexagon close pack)과 같은 어떤 다른 균일하게 패킹된 형태를 가질 수 있다. 위에서 논의된 바와 같이, 공간적으로 튜닝가능한 가열기들(140)은 그룹들로 또는 단독으로 활성화될 수 있다는 것이 인식되어야 한다.
[0071] 도 4c는, 다른 실시예에 따른, 바디(152)를 통해 횡단면선 AA의 평면을 따라 배치된 복수의 공간적으로 튜닝가능한 가열기들(140)의 상면도이다. 도 4c는, 바디(152)에서 폴라 어레이(polar array)로 배열된 복수의 공간적으로 튜닝가능한 가열기들(140)을 예시한다. 선택적으로, 열 초크들(216) 중 하나 또는 그 초과가, 공간적으로 튜닝가능한 가열기들(140) 사이에 배치될 수 있다. 공간적으로 튜닝가능한 가열기들(140)의 폴라 어레이 패턴은, 따라서 또한 폴라 어레이로 배열된 이웃하는 셀들(200)을 정의한다. 선택적으로, 열 초크들(216)은, 인접한 셀들(200)을 이웃하는 셀들(200)로부터 격리시키기 위해 활용될 수 있다.
[0072] 도 4d는, 다른 실시예에 따른, 바디(152)를 통해 횡단면선 A-A의 평면을 따라 배치된 복수의 공간적으로 튜닝가능한 가열기들(140)의 상면도이다. 도 4d는, 바디(152)에서 동심 채널들로 배열된 복수의 공간적으로 튜닝가능한 가열기들(140)을 예시한다. 공간적으로 튜닝가능한 가열기들(140)의 동심 채널 패턴은, 열 초크들(216)에 의해, 선택적으로 분리될 수 있다. 공간적으로 튜닝가능한 가열기들(140) 및 셀들(200)이 다른 배향들로 배열될 수 있는 것이 고려된다.
[0073] 공간적으로 튜닝가능한 가열기들(140)의 수 및 밀도는, 기판(134)을 프로세싱하는 경우에, 정밀한 프로세스 및 CD 제어를 가능하게 하는, 기판에 걸친 온도 균일성을 매우 작은 허용오차들로 제어하는 능력에 기여한다. 부가적으로, 다른 공간적으로 튜닝가능한 가열기들(140)에 관한 하나의 공간적으로 튜닝가능한 가열기(140)의 개별적인 제어는, 이웃하는 영역들의 온도에 실질적으로 영향을 미치지 않으면서, 기판 지지 어셈블리(126)에서의 특정한 위치들에서의 온도 제어를 가능하게 하고, 그에 의해, 스큐잉 또는 다른 온도 비대칭들을 도입하지 않으면서, 국부적인 고온 및 저온 스폿들이 보상되게 허용한다. 공간적으로 튜닝가능한 가열기들(140)은, 섭씨 약 0.1 도의 증분들로 온도 상승을 제어하는 능력을 가지면서, 섭씨 약 0.0 도 내지 섭씨 약 10.0 도의 개별적인 온도 범위를 가질 수 있다. 일 실시예에서, 메인 저항성 가열기들(154)과 함께, 기판 지지 어셈블리(126)에서의 복수의 공간적으로 튜닝가능한 가열기들(140)은, 기판 지지 어셈블리(126) 상에서 프로세싱되는 기판(134)의 온도 균일성을, 섭씨 약 ± 0.3 미만으로 제어하는 능력을 나타내었다. 따라서, 공간적으로 튜닝가능한 가열기들(140)은, 기판 지지 어셈블리(126) 상에서 프로세싱되는 기판(134)의 측방향 온도 프로파일의 측방향 및 방위각방향 양자 모두의 튜닝을 허용한다.
[0074] 도 5로 넘어가면, 메인 저항성 가열기들(154) 및 공간적으로 튜닝가능한 가열기들(140)에 대한 와이어링 스키마에 대해, 도식적인 도시가 제공된다. 와이어링 스키마는, 공간적으로 튜닝가능한 가열기들(140)에 대해, 멀티플렉스(multiplex) 제어가 아닌 개별적인 제어를 제공한다. 개별적인 제어는, 임의의 하나의 공간적으로 튜닝가능한 가열기(140), 또는 공간적으로 튜닝가능한 가열기들(140) 중의 선택이, 임의의 다른 공간적으로 튜닝가능한 가열기(140), 또는 공간적으로 튜닝가능한 가열기들(140) 중의 선택과 동시에 활성될 수 있는 것을 제공한다. 와이어링 스키마는, 복수의 공간적으로 튜닝가능한 가열기들 중 하나에 대한 출력의, 복수의 공간적으로 튜닝가능한 가열기들 중 다른 하나에 관한 독립적인 제어를 허용한다. 따라서, 공간적으로 튜닝가능한 가열기들(140)은, 다른 공간적으로 튜닝가능한 가열기(140), 또는 공간적으로 튜닝가능한 가열기들(140) 중의 선택으로의 전력을 허용하도록, 온 및 오프 상태 사이에서 사이클링되는 전력을 갖지 않는다. 공간적으로 튜닝가능한 가열기들에서의 전력의 사이클링을 이용하지 않는 이러한 배열은 유리하게, 원하는 온도 프로파일을 달성하기 위한, 공간적으로 튜닝가능한 가열기들(140)에서의 신속한 응답 시간을 허용한다.
[0075] 메인 저항성 가열기들(154) 및 공간적으로 튜닝가능한 가열기들(140)은 제어 보드(502)에 부착될 수 있다. 제어 보드(502)는, 단일 RF 필터(510)를 통해, 전력 소스(578)에 부착된다. 각각의 가열기(154, 140)가 단일 RF 필터(510)를 공유하고, 그 자체 고유의 RF 필터를 갖지 않기 때문에, 기판 지지 어셈블리(126)에서의 공간이 보존되고, 부가적으로, 부가적인 필터들과 연관된 비용들이 유리하게 완화된다. 제어 보드(502)는 도 1 및 도 2에서 도시된 제어기(202)와 유사하고, 전기 제어기(210) 및 광학 제어기(220)의 유사한 버전을 갖는다. 제어 보드(502)는 기판 지지 어셈블리(126) 내부에 또는 외부에 있을 수 있다. 일 실시예에서, 제어 보드(502)는 설비 플레이트(180)와 냉각 베이스(130) 사이에 형성된다.
[0076] 공간적으로 튜닝가능한 가열기들(140(1 내지 n))은 비유적으로(figuratively) 도시된 것이고, 공간적으로 튜닝가능한 가열기(1401)가 공통 구역에서의 공간적으로 튜닝가능한 가열기들의 큰 그룹을 표현할 수 있는 것으로, 또는 대안적으로, 기판 지지 어셈블리(126)에 걸쳐 배치된 모든 공간적으로 튜닝가능한 가열기들(140)로 이해되어야 한다. 메인 가열기들(154)보다 하나의 자릿수만큼 더 많은 공간적으로 튜닝가능한 가열기들(140)이 존재하고, 따라서, 전기 제어기(210) 및 광학 제어기(220)에 대한 하나의 자릿수만큼 더 많은 연결들이 존재한다.
[0077] 전기 제어기(210)는, 냉각 베이스(130)를 통해 형성된 하나 또는 그 초과의 홀들 또는 슬롯들(520)을 통해, 공간적으로 튜닝가능한 가열기들(140)로부터의 복수의 커넥터들(512)을 수용한다. 커넥터들(512)은, 전기 제어기(210)와 공간적으로 튜닝가능한 가열기들(140) 사이에서 통신하는데 적합한 다수의 연결들을 포함할 수 있다. 커넥터들(512)은, 케이블, 개별적인 와이어들, 플랫 가요성 케이블, 예컨대 리본, 메이팅 커넥터, 또는 전기 제어기(210)와 공간적으로 튜닝가능한 가열기들(140) 사이에서 신호들을 송신하기 위한 다른 적합한 방법들/수단일 수 있다. 일 실시예에서, 커넥터들(512)은 리본 케이블들이다. 커넥터들(512)은, 전력 리본(512)이라는 용어를 사용하여 논의될 것이다.
[0078] 전력 리본(512)은, 하나의 단부에서, ESC(132)에서의 공간적으로 튜닝가능한 가열기들(140)에 연결될 수 있고, 다른 단부에서, 전기 제어기(210)에 연결될 수 있다. 전력 리본(512)은, 직접적인(direct) 와이어링, 소켓, 또는 적합한 리셉터클(receptacle)을 통해, 전기 제어기에 연결될 수 있다. 일 실시예에서, 전기 제어기(210)는 고 밀도의 연결들에 대해 구성된 소켓을 갖는다. 전력 리본들(512)은, 공간적으로 튜닝가능한 가열기들(140)로부터 전기 제어기(210)로의, 50개 또는 그 초과의 연결들과 같은 다수의 연결들을 제공하기 위해, 고 밀도 커넥터들을 사용할 수 있다. 전기 제어기(210)는, 종래의 플린팅된 회로 보드들보다 더 큰, 단위 면적당 와이어링 밀도를 갖는 고 밀도 상호연결(HDI)을 가질 수 있다. HDI는 전력 리본(512)의 고 밀도 커넥터와 인터페이싱할 수 있다. 커넥터는 유리하게, 고 밀도의 연결들, 및 기판 지지 어셈블리(126)의 용이한 어셈블리 및 디스어셈블리(disassembly)를 허용한다. 예컨대, ESC(132)는 유지보수, 리서페이싱(resurfacing), 또는 교체를 요구할 수 있고, 커넥터들은, 유지보수를 위해 ESC(132)를 제거하고, ESC(132)를 기판 지지 어셈블리(126)에 신속하게 다시 재연결시키는 신속하고 용이한 수단을 제공한다.
[0079] 전기 제어기(210)는 부가적으로, 냉각 베이스(130)를 통해 형성된 슬롯(520)을 통해 메인 저항성 가열기들(154)로부터의 복수의 전력 리본들(522)을 수용할 수 있다. 전력 리본들(512, 522)은, 각각의 공간적으로 튜닝가능한 가열기(140) 및 메인 저항성 가열기(154)에 대한 다수의 전력 리드들을 도식적으로 도시한다. 예컨대, 전력 리본(512)은, 각각의 공간적으로 튜닝가능한 가열기(140)에 대한 복수의 별개의 포지티브 및 네거티브 전력 리드들을 포함한다. 마찬가지로, 전력 리본(522)은, 각각의 메인 저항성 가열기(154)에 대한 별개의 포지티브 및 네거티브 전력 리드들을 포함한다. 일 실시예에서, 각각의 전력 리드는, 광학 제어기(220)에 의해 관리되는 스위치(560)를 갖는다. 스위치(560)는, 전기 제어기(210)에, 제어 보드(502) 상에, 또는 다른 적합한 위치에 존재할 수 있다. 단일의 리본, 또는 심지어 3개 또는 그 초과의 동등하게 이격된 리본들이, 공간적으로 튜닝가능한 가열기들(140) 및 메인 저항성 가열기(154)에 대한 전력 리드들을 라우팅하기 위해 활용될 수 있는 것이 고려된다. 동등하게 이격된 리본들은, 필드 균일성, 및 따라서, 프로세싱 결과들의 균일성을 강화한다.
[0080] 광학 제어기(220)는 외부 제어기(도 1에서의 148)에 연결되고, 각각의 공간적으로 튜닝가능한 가열기(140)에 전력공급하기 위해 전기 제어기에 명령들을 제공하도록 구성된다. 광학 제어기(220)는, 공간적으로 튜닝가능한 가열기들(140)을 관리하기 위한 복수의 제어 리본들(540)을 수용한다. 일 실시예에서, 제어 리본들(540)은 제어 보드(502)에 임베딩되고, 광학 제어기(220)를 전기 제어기(210)에 연결시킨다. 예컨대, 제어 리본들(540)은 2개의 제어기들(210, 220)을 연결시키는 회로일 수 있다. 다른 실시예에서, 제어 리본은, 제어 보드(502) 외부의 케이블 또는 다른 적합한 연결을 통해, 광학 제어기(220)를 전기 제어기(210)에 부착시킬 수 있다. 또 다른 실시예에서, 제어 리본(540)은, 냉각 베이스를 통해 형성된 슬롯(520)을 통과할 수 있고, 각각의 공간적으로 튜닝가능한 가열기(140)를 개별적으로 관리할 수 있다.
[0081] 광학 제어기(220)는, 메인 저항성 가열기들(154)을 관리하기 위한 복수의 제어 리본들(550)을 선택적으로 수용할 수 있다. 대안적으로, 메인 저항성 가열기들은, 제 2 광학 제어기에 의해, 또는 외부 제어기에 의해 관리될 수 있다. 제어 리본(540)과 유사하게, 제어 리본(550)은 제어 보드(502)에 임베딩될 수 있거나, 또는 메인 저항성 가열기들(154)에 부착될 수 있다. 교체적으로, 메인 저항성 가열기들은 제어 리본(550)을 갖지 않을 수 있고, 전력의 세기 및 사이클링은 외부의 전력 소스(138)에서 관리될 수 있다.
[0082] 리본들(540, 550)은, 각각의 공간적으로 튜닝가능한 가열기(140) 및 메인 저항성 가열기(154)에 대한 다수의 제어 리드들을 도식적으로 나타낸다. 예컨대, 제어 리본(540)은, 복수의 공간적으로 튜닝가능한 가열기들(140)에 대한 별개의 포지티브 및 네거티브 제어 리드들을 포함한다. 광학 제어기(220)는, 프로그램, 온도 측정 디바이스, 외부 제어기, 사용자, 또는 다른 소스로부터 입력을 취할 수 있고, 어떤 공간적으로 튜닝가능한 가열기들(140) 및/또는 메인 저항성 가열기들(154)을 관리할지를 결정한다. 광학 제어기(220)가, 전기 제어기(210)와 같은 다른 디바이스들과 통신하기 위해 옵틱(optic)들을 사용하기 때문에, 광학 제어기는 RF 간섭을 받지 않고, 프로세싱 챔버 외부의 영역들로 RF 신호를 전파하지 않는다. 단일의 리본, 또는 심지어 3개 또는 그 초과의 리본들이, 제어 리드들을 라우팅하기 위해 활용될 수 있는 것이 고려된다.
[0083] 제어 리본들(540)은, 스위치(560)의 상태를 제어하기 위해 광학 제어기(220)에 의해 생성되는 신호들을 제공한다. 스위치(560)는 전계 효과 트랜지스터 또는 다른 적합한 전자 스위치일 수 있다. 교체적으로, 스위치(560)는, 전기 제어기(210)에서의 광학적으로 제어되는 회로 보드에 임베딩될 수 있다. 스위치(560)는, 에너자이징된(활성) 상태와 비-에너자이징된(de-energized)(비활성) 상태 사이의, 가열기들(154, 140)에 대한 간단한 사이클링을 제공할 수 있다.
[0084] 제어기(202)는, 다른 공간적으로 튜닝가능한 가열기들에 관하여 그리고 동시에, 하나 또는 그 초과의 선택된 공간적으로 튜닝가능한 가열기들(140)에 인가되는 전력의 듀티 사이클, 전압, 전류, 또는 지속기간 중 적어도 하나 또는 그 초과를 제어할 수 있다. 일 실시예에서, 제어기(202)는, 90 %의 전력이 스위치(5601)를 통과하게 허용하도록 스위치(5601)에 명령하기 위해, 제어 리본(5401)을 따라 신호를 제공한다. 전기 제어기(210)는 전력 리본(5121)을 따라 약 10 와트의 전력을 제공한다. 스위치(5601)는, 90 %의 공급된 전력이 스위치(5601)를 통해 공간적으로 튜닝가능한 가열기(1401)로 통과하게 허용하고, 공간적으로 튜닝가능한 가열기(1401)는 약 9 와트의 전력으로 가열한다.
[0085] 다른 실시예에서, 제어기(202)는, 100 퍼센트의 전력이 스위치(5602)를 통과하게 허용하도록 스위치(5602)에 명령하기 위해, 제어 리본(5502)을 따라 신호를 제공한다. 전기 제어기(210)는 전력 리본(5222)을 따라 약 100 와트의 전력을 제공한다. 스위치(5602)는, 100 퍼센트의 공급된 전력이 스위치(5602)를 통해 메인 저항성 가열기(1542)로 통과하게 허용하고, 메인 저항성 가열기(1542)는 약 100 와트의 전력으로 가열한다. 유사하게, 메인 저항성 가열기들(154(1-N))은 모두, 제어기(202)로부터 동작될 수 있다.
[0086] 또 다른 실시예에서, 가열기 튜닝 제어기(202)는, 전력이 스위치들(560)을 통과하게 허용하는 활성 상태에 있거나, 또는 전력이 스위치들(560)을 통과하는 것을 방지하는 비활성 상태에 있도록 스위치들(560)에 명령하기 위해, 제어 리본(540)을 따라 신호를 제공한다. 전기 제어기(210)는, 활성 상태에 있는 스위치(560)에 커플링된 각각의 개별적인 공간적으로 튜닝가능한 가열기(140)에, 전력 리본(512)을 따라 약 10 와트의 전력을 제공한다. 가열기 튜닝 제어기(202)는 독립적으로, 스위치(560)가 활성 상태로 유지되는 지속기간, 및 다른 스위치들(560)에 관한 각각의 스위치(560)의 듀티 사이클 중 적어도 하나를 제어하고, 이는 궁극적으로, 기판 지지 어셈블리(126), 및 기판 지지 어셈블리(126) 상에 위치된 기판의 온도 균일성을 제어한다. 메인 저항성 가열기들(154)로의 전력을 제어하는 스위치들(560)은 유사하게 제어될 수 있다.
[0087] 다른 실시예에서, 별개의 구역을 표현하는 각각의 메인 저항성 가열기(154(1-N))는 별개의 제어기(202)를 가질 수 있다. 이러한 실시예에서, 하나의 메인 저항성 가열기(154(1-N))와 구역이 공통인 공간적으로 튜닝가능한 가열기들(1-N)은, 공통 메인 저항성 가열기(154(1-N))와 제어기(202)를 공유할 수 있다. 예컨대, 4개의 구역들이 존재하였던 경우에, 4개의 메인 저항성 가열기들(154(1-4)) 및 4개의 동등하게 이격된 제어기들(202)이 존재하였을 것이다.
[0088] 다른 실시예들에서, 별개의 제어기들(202)은, 단일의 제어기에 의해 서비싱되는 공간적으로 튜닝가능한 가열기들(140)의 수를 분할하기 위해 활용될 수 있다. 예컨대, 각각의 제어 리본(540)은, 공간적으로 튜닝가능한 가열기들(140)의 세팅된 수를 개별적으로 관리하기 위한 별개의 광학 제어기(220)를 가질 수 있다. 공간적으로 튜닝가능한 가열기들(140)의 제어를 분할하는 것은, 냉각 베이스를 통해 형성된 슬롯들(520)을 통해 리본들을 라우팅하는데 요구되는 더 적은 공간 및 더 작은 제어기들을 허용한다.
[0089] 도 6으로 넘어가면, 메인 저항성 가열기들(154) 및 공간적으로 튜닝가능한 가열기들(140)에 대한 다른 와이어링 스키마에 대해, 도식적인 도시가 제공된다. 도 6에서 도시된 와이어링 스키마는, 공간적으로 튜닝가능한 가열기들(140)의 개별적인 제어를 제공한다. 공간적으로 튜닝가능한 가열기들(140)은 가열기 튜닝 제어기(202)에 부착된다. 제어 보드(502) 상의 전기 제어기(210)는 필터(184)를 통해 전력 소스(156)에 부착된다. 광학 제어기(220)는 외부 제어기(도 1에서의 148)에 연결되고, 각각의 공간적으로 튜닝가능한 가열기(140)에 전력공급하기 위해 전기 제어기에 명령들을 제공하도록 구성된다. 광학 제어기(220)는, 공간적으로 튜닝가능한 가열기들(140)을 관리하기 위해, 광섬유 인터페이스(226)를 통해, 전기 제어기(210)와 통신한다. 도 5의 와이어링 스키마와 유사하게, 도 6의 와이어링 스키마는, 다른 공간적으로 튜닝가능한 가열기들에 관한, 복수의 공간적으로 튜닝가능한 가열기들 중 하나의 출력의 독립적인 제어를 제공한다.
[0090] 메인 저항성 가열기들(154)은, 가열기 튜닝 제어기(202'), 가열기 튜닝 제어기(202), 또는 기판 지지 어셈블리(126) 외부의 다른 제어기에 선택적으로 부착될 수 있다. 가열기 튜닝 제어기(202')는 가열기 튜닝 제어기(202)와 실질적으로 유사할 수 있다. 메인 저항성 가열기들(154)의 제어는 공간적으로 튜닝가능한 가열기들(140)에 대해 설명된 것과 유사할 수 있다는 것이 인식되어야 한다. 교체적으로, 메인 저항성 가열기들(154)은, 도 1에서 도시된 바와 같이, 외부에서 관리될 수 있다.
[0091] 공간적으로 튜닝가능한 가열기들(140(1 내지 n))은 비유적으로 도시된 것이고, 공간적으로 튜닝가능한 가열기(1401)가 공통 구역에서의 공간적으로 튜닝가능한 가열기들의 큰 그룹을 표현할 수 있는 것으로, 또는 대안적으로, 기판 지지 어셈블리(126)에 걸쳐 배치된 모든 공간적으로 튜닝가능한 가열기들(140)로 이해되어야 한다. 각각의 공간적으로 튜닝가능한 가열기(140)는, 전기 제어기(210)로부터 공간적으로 튜닝가능한 가열기(140)에 전력을 송신하기 위한 커넥터(250)를 갖는다.
[0092] 전기 제어기(210)는, 냉각 베이스(130)를 통해 형성된 하나 또는 그 초과의 홀들 또는 슬롯들(520)을 통해, 공간적으로 튜닝가능한 가열기들(140)로부터의 복수의 전력 리본들(612)을 수용한다. 리본들(612)은, 각각의 공간적으로 튜닝가능한 가열기(140)에 대한 다수의 전력 리드들을 도식적으로 도시한다. 전력 리본(612)은, 공간적으로 튜닝가능한 가열기들(140)로의 전력에 대한 전기 경로를 제공한다. 일 실시예에서, 전력 리본(612)은, 각각의 공간적으로 튜닝가능한 가열기(140)에 대한 별개의 포지티브 전력 리드들을 포함한다. 전력 리본(612)은, 전력 리본(612)에 부착된 모든 공간적으로 튜닝가능한 가열기들(140)에 대해 공통인 단일의 네거티브 전력 리드를 선택적으로 가질 수 있다. 교체적으로, 전력 리본(612)은 네거티브 전력 리턴 경로를 갖지 않을 수 있고, 전기 전류에 대한 리턴 경로가, 별개의 케이블, 공통 버스, 또는 다른 적합한 수단을 통해 제공될 수 있다. 다른 실시예에서, 전력 리본(612)은, 각각의 공간적으로 튜닝가능한 가열기(140)에 대한 별개의 네거티브 전력 리드들을 포함한다. 전력 리본(612)은, 전력 리본(612)에 부착된 모든 공간적으로 튜닝가능한 가열기들(140)에 대해 공통인 단일의 포지티브 전력 리드를 선택적으로 가질 수 있다. 교체적으로, 전력 리본(612)은 포지티브 전력 공급 경로를 갖지 않을 수 있고, 전기 전류에 대한 전력 공급 경로가, 별개의 케이블, 공통 버스, 또는 다른 적합한 수단을 통해 제공될 수 있다.
[0093] 도 7로 잠시 넘어가면, 도 7은, 도 6에서 도시된 와이어링 스키마에 대해 구성된, 정전 척(132)의 바닥(794)의 투시도이다. 정전 척(132)은, 정전 척(132) 상에 배치된 기판에 척킹력을 공급하기 위한 복수의 전극들(742)을 가질 수 있다. 전력 리본들(612)은, 공간적으로 튜닝가능한 가열기들(140)이 내부에 형성된 정전 척(132)의 바닥(794)에 전기적으로 부착될 수 있다. 전력 리본들(612)은, 하나의 단부에서 커넥터(712)를 갖고, 다른 단부에서 콘택(contact)들(720)을 갖는, 플랫 가요성 케이블(flat flexible cable; FFC) 또는 가요성 프린팅된 회로(flexible printed circuit; FPC), 예컨대 폴리이미드 플랫 가요성 케이블일 수 있다. 커넥터(712)는 전기 제어기(210)에 연결된다. 커넥터(712)는, 개별적인 와이어들, 소켓 커넥터, 플러그, 고 밀도 커넥터, 예컨대, 플랫 가요성 케이블들 또는 가요성 프린팅된 회로들에 대해 사용되는 것들, 또는 다른 적합한 커넥터일 수 있다. 콘택들(720)은, 정전 척(132)에 형성된 전기 연결들, 즉, 비아들에 부착될 수 있다. 콘택들(720)은, 정전 척(132)에 납땜될 수 있거나, 글루잉될(glued) 수 있거나, 또는 다른 수단에 의해 부착될 수 있다. 교체적으로, 콘택들(720)은, 와이어링된 전력 리드들과 같이, 공간적으로 튜닝가능한 가열기들(140)에 직접적으로 연결하여 형성될 수 있다. 콘택들(720)은, 약 0.75 인치 미만의 직경의 원인, 정전 척(132)과 접촉하는 결합되는 면적을 가질 수 있다. 콘택들(720)이 정전 척(132)과 함께 갖는 이러한 최소의 면적은, 정전 척(132)으로부터 냉각 베이스(130)로의 열 전달을 감소시킨다. 콘택들(720)은 원형, 직사각형, 반-원형, 또는 임의의 다른 형상일 수 있다. 전력 리본(612)은 하나 초과의 콘택(720), 및 따라서, 백개 또는 그 초과의 리드들을 가질 수 있다. 따라서, 단일의 전력 리본(612)은, 공통 네거티브 리드를 공유하는 것과 같은, 전기 제어기(210)에 대한 와이어링 연결 구성에 따라, 다수의 공간적으로 튜닝가능한 가열기들(140)을 연결시키고, 개별적으로 제어하는 것이 가능할 수 있다. 일 실시예에서, 정전 척(132)은, 동등하게 이격되고, 정전 척(132) 상에 납땜된 6개의 전력 리본들(612)을 갖는다. 전력 리본들(612)은 각각, 25개의 납땜된 콘택들(720)을 가질 수 있다.
[0094] 교체적으로, 전력 리본들(612)은 핀/리셉터클 커넥터로 교체될 수 있다. 잠시 도 10으로 넘어가면, 도 10은, ESC(132)를 가열기 튜닝 제어기(202)에 연결시키는 메이팅 커넥터(1010)에 대한 단면도를 예시한다. 메이팅 커넥터(1010)는, ESC(132)와 가열기 튜닝 제어기(202) 사이에 연결을 제공하기 위해, 냉각 베이스(130)에서의 슬롯(520)을 통과하도록 크기설정될 수 있다. 메이팅 커넥터(1010)는 플랜지(1008)를 가질 수 있다. 플랜지(1008)는, 가열기 튜닝 제어기(202)와 냉각 베이스(130) 사이에 배치될 수 있다. 가열기 튜닝 제어기(202)와 냉각 베이스(130) 사이에 갭(1050)이 형성될 수 있다. 교체적으로, 가열기 튜닝 제어기(202)는, 컷아웃(cutout), 노치(notch), 홀, 공극, 또는 메이팅 커넥터(1010)가 통과하게 허용하고, 냉각 베이스(130)와 가열기 튜닝 제어기(202) 사이의 갭(1050)을 실질적으로 감소시키게 허용하는 다른 개구를 가질 수 있다.
[0095] 메이팅 커넥터(1010)는 제 1 단부(1002) 및 제 2 단부(1004)를 가질 수 있다. 제 1 단부(1002)는 ESC(132)와 인터페이싱할 수 있다. 제 2 단부(1004)는 가열기 튜닝 제어기(202)와 인터페이싱할 수 있다. 복수의 콘택 핀들(1012, 1014)은, 가열기 튜닝 제어기(202)와 ESC(132) 사이에 전기 연결을 제공하기 위해, 복수의 핀 리셉터클들(1020, 1022)과 인터페이싱한다. 핀들(1012, 1014)은 약 0.3 mm 또는 그 미만일 수 있다. 핀들(1012, 1014)은, 핀들(1012, 1014)을 수용하고, 전기 연속성을 제공하도록 구성된 대응하는 복수의 핀 리셉터클들(1020, 1022)을 갖는다. 핀들(1012, 1014) 또는 핀 리셉터클들(1020, 1022)은, 메이팅 커넥터(1010)의 제 1 및 제 2 단부(1002, 1004) 중 하나 또는 그 초과 상에 형성될 수 있고, 가열기 튜닝 제어기(202)와 ESC(132) 사이에서 인터페이싱할 수 있다.
[0096] 메이팅 커넥터(1010)는, ESC(132)와 가열기 튜닝 제어기(202) 사이에 직접적인 물리적인 전기 연결을 제공할 수 있다. 예컨대, 핀들(1014)을 수용하는 리셉터클들이 가열기 튜닝 제어기(202) 상에 형성될 수 있다. 따라서, 냉각 베이스(130)가 ESC(132) 바로 위에 배치될 수 있고, 메이팅 커넥터(1010)가 냉각 베이스(130)에서의 슬롯(520)을 통해 삽입될 수 있고, 가열기 튜닝 제어기(202)가 메이팅 커넥터(1010) 상에 배치될 수 있어서, 가열기 튜닝 제어기(202)와 ESC(132) 사이에 연결이 형성될 수 있다. 교체적으로, 메이팅 커넥터(1010)는, ESC(132)와 가열기 튜닝 제어기(202) 사이의 연결을 완성하기 위해, 케이블, 리본, 또는 플랫 커넥터를 활용할 수 있다.
[0097] 유리하게, 메이팅 커넥터(1010)는, 더 우수한 열적 균일성을 위해 냉각 베이스(130)의 열 전도도 또는 교란(disturbance)을 최소화하는, 냉각 베이스(130)에서의 작은 개방 공간을 대응하여 요구하는 작은 단면적을 가질 수 있다. 부가적으로, 메이팅 커넥터(1010)는 프로세싱 환경으로부터 연결들을 보호할 수 있고, 전기 연결들에 대한 수명을 연장시킬 수 있다.
[0098] 도 6으로 다시 돌아가면, 전기 제어기(210)는, 전기 제어기(210)에 형성된 복수의 스위치들(660)을 가질 수 있다. 각각의 스위치(660)는, 개별적인 공간적으로 튜닝가능한 가열기들(140)을 제어하기 위해, 전력 리본들(612) 중 하나로부터의 포지티브 전력 리드를 수용할 수 있다. 광학 제어기(220)는, 전기 제어기(210)로의 광섬유 인터페이스(226)를 통해 스위치들(660)을 관리한다. 스위치들(660)에 명령들을 제공하기 위해 광학 신호를 전기 신호로 변환시키기 위하여, 전기 제어기(210) 또는 가열기 튜닝 제어기(202)에 회로(640)가 임베딩될 수 있다.
[0099] 스위치들(660)은 전계 효과 트랜지스터 또는 다른 적합한 전자 스위치일 수 있다. 스위치(660)는, 에너자이징된(활성) 상태와 비-에너지아징된(비활성) 상태 사이의, 가열기들(154, 140)에 대한 간단한 사이클링을 제공할 수 있다. 교체적으로, 스위치(660)는, 공간적으로 튜닝가능한 가열기들(140)에 공급되는 전력의 양을 제어할 수 있는 다른 적합한 디바이스일 수 있다.
[00100] 스위치들(660)은, 기판 지지 어셈블리(126) 내부에, 예컨대, 정전 척(132), 냉각 베이스(130), 가열기 어셈블리(170), 및 설비 플레이트(180)에 형성될 수 있다. 교체적으로, 스위치들(660)은 기판 지지 어셈블리(126) 외부에, 또는 심지어 프로세싱 챔버(100) 외부에, 예컨대 제어기(148)에 형성될 수 있다.
[00101] 도 8로 넘어가면, 도 8은, 도 6에서 도시된 와이어링 스키마에 대해 구성된 냉각 베이스(130)의 바닥 투시도를 예시한다. 냉각 베이스(130)는, 바닥 표면(894), 복수의 냉각 통로들(도 8에서는 도시되지 않음), 및 통로들(842)을 가질 수 있다. 냉각 통로들은, 정전 척(132)의 온도를 조절하기 위해, 냉각 통로들을 통해 냉각 유체를 순환시키도록 구성될 수 있다. 통로들(842)은, 정전 척(132)에 전력을 공급하는 전극들(742)이 냉각 베이스(130)를 통과하게 허용하도록 구성될 수 있다. 통로들(842)은, 냉각 베이스(130)를 에너자이징하는 전극들(742)로부터의 보호를 제공하기 위해 전기적으로 절연될 수 있다. 부가적으로, 냉각 베이스는 하나 또는 그 초과의 슬롯들(520)을 가질 수 있다. 슬롯들(520)은, 리본(612)이, 정전 척(132)으로부터 냉각 베이스(130)를 내부적으로 통해 바닥 표면(894)으로 통과하게 허용하도록 구성될 수 있다.
[00102] 전기 제어기(210)는 냉각 베이스(130)의 바닥 표면(894) 상에 배치될 수 있다. 전기 제어기(210)는 RF 환경에서 탑재되고, 따라서, 전기 제어기(210)와의 통신은 광섬유들을 통해 수행될 수 있는 한편, 전기 제어기(210)로의 전력은 RF 필터를 통해 공급될 수 있다. 전기 제어기(210)는 전송(826) 및 수신(828) 광섬유 인터페이스(226)를 가질 수 있다. 광섬유 인터페이스(226)는 광학 제어기(220)로의 광학 연결을 제공한다. 광섬유 인터페이스(226)는 RF 및 다른 전기 간섭에 영향을 받지 않고, 따라서, 연결된 디바이스들/제어기, 예컨대 광학 제어기(220)를 보호하기 위한 필터를 요구하지 않는다.
[00103] 가열기 튜닝 제어기(202)는 복수의 소켓들(812)을 가질 수 있다. 소켓들(812)은, 리본들(612)의 단부에 부착된 커넥터들(712)과 연결하도록 구성될 수 있다. 소켓들은, 각각의 리본(612)에 대해 50개 또는 그 초과의 개별적인 연결들을 제공할 수 있다. 전기 제어기(210)는, 복수의 회로들(832, 834)이 위에 형성된 기판(830)으로 구성될 수 있다. 복수의 회로들(832, 834)은, 트랜지스터들, 레지스터들, 캐패시터들, 및 스위치들을 형성하고, 소켓들(812)에서의 개별적인 연결로의 전력의 유동을 제어하기 위한 다른 전기 피처들을 포함할 수 있다. 따라서, 전기 제어기(210)는, 리본들(612)에 부착된 소켓들(812)에서의 개별적인 연결들을 통해 인가되는 전력의 듀티 사이클, 전압, 전류, 또는 지속기간 중 적어도 하나 또는 그 초과를 제어함으로써, 개별적인 공간적으로 튜닝가능한 가열기들(140)을 관리할 수 있다.
[00104] 일 실시예에서, 스위치들(660)이 전기 제어기(210) 상에 형성된다. 커넥터들(712)을 갖는 리본들(612)은, 정전 척(132)에서의 공간적으로 튜닝가능한 가열기들(140)을 전기 제어기(210)에 연결시키기 위해, 냉각 베이스(130)에서의 슬롯들(520)을 통과한다. 커넥터들(712)은 리본들(612)을 전기 제어기(210) 상의 소켓들(812)에 연결시킨다. 광학 제어기(220)는, 소켓(812)에서의 개별적인 연결들로의 전력을 제어하기 위해, 광섬유 인터페이스(226)를 통해 전기 제어기(210)에 광학 신호들을 제공한다. 광학 제어기(220)와 전기 제어기(210)의 조합은, 개별적인 공간적으로 튜닝가능한 가열기들(140) 중의 임의의 선택이, 동시에 전력공급되거나, 또는 온 및 오프로 사이클링되게 허용하여, 정전 척(132) 상에 배치된 기판 상에 원하는 온도 프로파일을 생성한다. 고 밀도 상호연결들의 사용은, 다수의 공간적으로 튜닝가능한 가열기들(140)의 독립적인 제어, 및 따라서, 온도 프로파일의 강화된 제어를 가능하게 한다. 유리하게, 공간적으로 튜닝가능한 가열기들(140)의 독립적인 제어는, 개별적인 공간적으로 튜닝가능한 가열기(140)에 대한 고 듀티 사이클, 및 더 큰 동적 온도 범위를 허용한다. 따라서, 공간적으로 튜닝가능한 가열기들(140)의 개별적인 제어는, 신속한 응답 시간과 함께, 단위 시간 당 더 많은 전력을 제공한다.
[00105] 도 9는, 특히, 위에서 설명된 기판 지지 어셈블리와 같은 기판 지지 어셈블리를 활용하여 기판을 프로세싱하기 위한 방법(900)의 일 실시예를 위한 흐름도이다. 방법(900)은, 기판 지지 어셈블리에 형성된 메인 저항성 가열기에 전력을 인가함으로써 블록(902)에서 시작된다. 메인 저항성 가열기는 단일 가열기일 수 있거나, 또는 구역들로 세그먼팅될 수 있다. 메인 저항성 가열기 구역들은 독립적으로 제어가능할 수 있다.
[00106] 블록(904)에서, 기판 지지 어셈블리에 대하여 분배된 복수의 개별적인 공간적으로 튜닝가능한 가열기들에 전력이 제공된다. 가열기 튜닝 제어기는 개별적으로, 각각의 공간적으로 튜닝가능한 가열기로의 전력을 제어한다. 공간적으로 튜닝가능한 가열기들 중 적어도 2개는 미리 결정된 상이한 양의 열을 생성한다. 다른 공간적으로 튜닝가능한 가열기에 관한, 하나의 공간적으로 튜닝가능한 가열기에 의해 생성된 열에서의 차이는, 다른 공간적으로 튜닝가능한 가열기에 관하여, 임의의 하나의 공간적으로 튜닝가능한 가열기에 인가되는 전력의 듀티 사이클, 전압, 전류, 지속기간 중 적어도 하나 또는 그 초과를 제어함으로써, 제어될 수 있다. 공간적으로 튜닝가능한 가열기들에 공급되는 전력은 또한, 개별적인 공간적으로 튜닝가능한 가열기들에 걸쳐 순차적으로 스캐닝될 수 있다.
[00107] 각각의 공간적으로 튜닝가능한 가열기에 대한 제어는, 공간적으로 튜닝가능한 가열기들 중의 임의의 선택이 특정한 온도 프로파일을 신속하게 생성하게 허용하면서, 정전 척(132)에서 동시에 수행될 수 있다. 개별적인 공간적으로 튜닝가능한 가열기들에 제공되는 전력의 제어는, 기판 지지 어셈블리에 배치된 가열기 튜닝 제어기와 광학 연결을 통해 인터페이싱하는 외부 제어기를 통해 제공될 수 있다. 따라서, 외부 제어기는, 가열기 튜닝 제어기로의 광학 연결에 의해, RF로부터 격리된다.
[00108] 블록(906)에서, 기판과 같은 워크피스가 기판 지지 어셈블리 상에서 프로세싱될 수 있다. 예컨대, 기판은, 예컨대 플라즈마 프로세스를 사용하여, 진공 챔버에서 프로세싱될 수 있다. 프로세싱 챔버 내에서 플라즈마의 존재 시에 선택적으로 수행될 수 있는 진공 프로세스는, 에칭, 화학 기상 증착, 물리 기상 증착, 이온 주입, 플라즈마 처리, 어닐링, 산화물 제거, 저감(abatement), 또는 다른 플라즈마 프로세스 중 하나일 수 있다. 다른 애플리케이션들의 경우에, 워크피스가, 다른 환경들, 예컨대, 대기 조건들에서, 온도 제어되는 표면 상에서 프로세싱될 수 있는 것이 고려된다.
[00109] 선택적으로, 블록(906)에서, 기판 지지 어셈블리 내에서 측방향으로 분배된 개별적인 공간적으로 튜닝가능한 가열기들에 제공되는 전력은, 프로세스 레시피에서의 변화 또는 프로세스 조건들에 응답하여, 변화될 수 있다. 예컨대, 공간적으로 튜닝가능한 가열기들 중 하나 또는 그 초과에 제공되는 전력은, 가열기 튜닝 제어기로부터의 커맨드들을 활용하여, 변화될 수 있다. 따라서, 가열기 튜닝 제어기는, 상이한 오버래핑(overlapping) 시간 간격들로, 다른 공간적으로 튜닝가능한 가열기를 사이클링시키고, 또 다른 공간적으로 튜닝가능한 가열기들을 사이클링시키면서, 하나의 공간적으로 튜닝가능한 가열기에 전력을 동시에 제공할 수 있다.
[00110] 전술한 바가 본 발명의 구현들에 관한 것이지만, 본 발명의 다른 그리고 추가적인 구현들이, 본 발명의 기본적인 범위로부터 벗어나지 않고 고안될 수 있고, 본 발명의 범위는 다음의 청구항들에 의해 결정된다.

Claims (15)

  1. 기판 지지 어셈블리로서,
    기판 지지 표면 및 하부 표면을 갖는 바디(body);
    상기 바디에 배치된 하나 또는 그 초과의 메인(main) 저항성 가열기들;
    상기 바디에 배치된 복수의 공간적으로 튜닝가능한(tunable) 가열기들; 및
    상기 복수의 공간적으로 튜닝가능한 가열기들에 커플링된 공간적으로 튜닝가능한 가열기 제어기
    를 포함하며,
    상기 공간적으로 튜닝가능한 가열기 제어기는, 상기 복수의 공간적으로 튜닝가능한 가열기들 중 하나의 공간적으로 튜닝가능한 가열기의 출력을, 상기 복수의 공간적으로 튜닝가능한 가열기들 중 다른 공간적으로 튜닝가능한 가열기에 관하여, 독립적으로 제어하도록 구성되는,
    기판 지지 어셈블리.
  2. 제 1 항에 있어서,
    상기 바디는, 상기 바디에서 상기 바디의 탑재(mounting) 표면과 상기 공간적으로 튜닝가능한 가열기들 사이에 형성된 비아(via)들을 갖는,
    기판 지지 어셈블리.
  3. 제 2 항에 있어서,
    상기 비아들에 부착되고, 상기 탑재 표면 상에 배치된 복수의 고 밀도 커넥터(connector)들을 더 포함하는,
    기판 지지 어셈블리.
  4. 제 3 항에 있어서,
    메이팅 커넥터는, 상기 공간적으로 튜닝가능한 가열기 제어기와 상기 바디의 탑재 표면에서의 고 밀도 커넥터들 사이에 전기 연결을 제공하는,
    기판 지지 어셈블리.
  5. 제 1 항에 있어서,
    상기 공간적으로 튜닝가능한 가열기 제어기는,
    전기 제어기 ― 상기 전기 제어기는, 각각의 공간적으로 튜닝가능한 가열기에 전력을 개별적으로 제공하도록 구성됨 ―; 및
    외부 제어기에 연결되고, 각각의 공간적으로 튜닝가능한 가열기에 전력공급하기 위해, 상기 전기 제어기에 명령들을 송신하도록 구성된 광학 제어기
    를 포함하는,
    기판 지지 어셈블리.
  6. 제 5 항에 있어서,
    상기 공간적으로 튜닝가능한 가열기 제어기는, 상기 공간적으로 튜닝가능한 가열기 제어기에 부착된 단일 RF 필터를 갖는,
    기판 지지 어셈블리.
  7. 제 5 항에 있어서,
    상기 공간적으로 튜닝가능한 가열기 제어기는, 냉각 베이스의 바닥 표면 아래에 배치되는,
    기판 지지 어셈블리.
  8. 기판 지지 어셈블리로서,
    냉각 베이스 ― 상기 냉각 베이스는, 상기 냉각 베이스를 통해 형성된 복수의 슬롯들을 가짐 ―;
    기판 지지 표면 및 하부 표면을 갖는 바디;
    상기 바디에 배치된 하나 또는 그 초과의 메인 저항성 가열기들;
    상기 바디에 배치된 복수의 공간적으로 튜닝가능한 가열기들; 및
    상기 공간적으로 튜닝가능한 가열기들에 커플링된 복수의 고 밀도 전도체들
    을 포함하며,
    상기 고 밀도 전도체들은, 상기 냉각 베이스를 통해 형성된 슬롯들을 통해 연장되는,
    기판 지지 어셈블리.
  9. 제 1 항 또는 제 8 항에 있어서,
    상기 바디는 정전 척인,
    기판 지지 어셈블리.
  10. 제 9 항에 있어서,
    상기 바디는 세라믹 재료로 형성되는,
    기판 지지 어셈블리.
  11. 제 8 항에 있어서,
    상기 복수의 고 밀도 전도체들에 의해, 상기 복수의 공간적으로 튜닝가능한 가열기들에 커플링된 공간적으로 튜닝가능한 가열기 제어기를 더 포함하며,
    상기 공간적으로 튜닝가능한 가열기 제어기는, 상기 복수의 공간적으로 튜닝가능한 가열기들 중 하나의 공간적으로 튜닝가능한 가열기의 출력을, 상기 복수의 공간적으로 튜닝가능한 가열기들 중 다른 공간적으로 튜닝가능한 가열기에 관하여, 독립적으로 제어하도록 구성되는,
    기판 지지 어셈블리.
  12. 제 11 항에 있어서,
    상기 공간적으로 튜닝가능한 가열기 제어기는,
    전기 제어기 ― 상기 전기 제어기는, 각각의 공간적으로 튜닝가능한 가열기에 전력을 개별적으로 제공하도록 구성됨 ―; 및
    외부 제어기에 연결되고, 각각의 공간적으로 튜닝가능한 가열기에 전력공급하기 위해, 상기 전기 제어기에 명령들을 송신하도록 구성된 광학 제어기
    를 포함하며,
    상기 공간적으로 튜닝가능한 가열기 제어기는, 상기 공간적으로 튜닝가능한 가열기 제어기에 부착된 단일 RF 필터를 갖는,
    기판 지지 어셈블리.
  13. 워크피스(workpiece)의 온도를 제어하기 위한 방법으로서,
    기판 지지부에 형성된 메인 저항성 가열기에 전력을 인가하는 단계;
    복수의 공간적으로 튜닝가능한 가열기들에 전력을 제공하는 단계 ― 각각의 공간적으로 튜닝가능한 가열기로의 전력은, 가열기 튜닝 제어기(tuning heater controller)에 의해, 개별적으로 제어됨 ―;
    상기 기판 지지부 상에서 상기 워크피스를 프로세싱하는 단계; 및
    프로세스 레시피에서의 변화들 또는 프로세스 조건들에 응답하여, 개별적인 공간적으로 튜닝가능한 가열기에 제공되는 전력을 변화시키는 단계
    를 포함하는,
    온도를 제어하기 위한 방법.
  14. 제 13 항에 있어서,
    상기 가열기 튜닝 제어기로의 광학 연결에 의해 RF로부터 격리된 외부 제어기를 이용하여, 각각의 공간적으로 튜닝가능한 가열기에 전력을 전송하기 위해, 상기 가열기 튜닝 제어기를 제어하는 단계
    를 더 포함하는,
    온도를 제어하기 위한 방법.
  15. 제 14 항에 있어서,
    상기 가열기 튜닝 제어기는, 상이한 오버래핑(overlapping) 시간 간격들로, 공간적으로 튜닝가능한 가열기들에 전력을 동시에 제공하는,
    온도를 제어하기 위한 방법.
KR1020167002620A 2014-07-23 2015-05-07 튜닝가능한 온도 제어되는 기판 지지 어셈블리 KR101758087B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020177016376A KR102302723B1 (ko) 2014-07-23 2015-05-07 튜닝가능한 온도 제어되는 기판 지지 어셈블리

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201462028260P 2014-07-23 2014-07-23
US62/028,260 2014-07-23
US201462028693P 2014-07-24 2014-07-24
US62/028,693 2014-07-24
PCT/US2015/029725 WO2016014138A1 (en) 2014-07-23 2015-05-07 Tunable temperature controlled substrate support assembly

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020177016376A Division KR102302723B1 (ko) 2014-07-23 2015-05-07 튜닝가능한 온도 제어되는 기판 지지 어셈블리

Publications (2)

Publication Number Publication Date
KR20170028869A true KR20170028869A (ko) 2017-03-14
KR101758087B1 KR101758087B1 (ko) 2017-07-14

Family

ID=55163491

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020167002620A KR101758087B1 (ko) 2014-07-23 2015-05-07 튜닝가능한 온도 제어되는 기판 지지 어셈블리
KR1020177016376A KR102302723B1 (ko) 2014-07-23 2015-05-07 튜닝가능한 온도 제어되는 기판 지지 어셈블리

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020177016376A KR102302723B1 (ko) 2014-07-23 2015-05-07 튜닝가능한 온도 제어되는 기판 지지 어셈블리

Country Status (6)

Country Link
US (3) US9472435B2 (ko)
JP (3) JP6335341B2 (ko)
KR (2) KR101758087B1 (ko)
CN (2) CN105474381B (ko)
TW (2) TWI594362B (ko)
WO (1) WO2016014138A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20220043042A (ko) * 2020-09-28 2022-04-05 토토 가부시키가이샤 정전 척 및 반도체 제조 장치

Families Citing this family (71)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SG188036A1 (en) 2011-08-18 2013-03-28 Asml Netherlands Bv Lithographic apparatus, support table for a lithographic apparatus and device manufacturing method
US9681497B2 (en) 2013-03-12 2017-06-13 Applied Materials, Inc. Multi zone heating and cooling ESC for plasma process chamber
WO2014164449A1 (en) 2013-03-13 2014-10-09 Applied Materials, Inc. Multi-zone heated esc with independent edge zones
TW201518538A (zh) 2013-11-11 2015-05-16 Applied Materials Inc 像素化冷卻溫度控制的基板支撐組件
US10460968B2 (en) 2013-12-02 2019-10-29 Applied Materials, Inc. Electrostatic chuck with variable pixelated magnetic field
US9520315B2 (en) 2013-12-31 2016-12-13 Applied Materials, Inc. Electrostatic chuck with internal flow adjustments for improved temperature distribution
US9622375B2 (en) 2013-12-31 2017-04-11 Applied Materials, Inc. Electrostatic chuck with external flow adjustments for improved temperature distribution
US11158526B2 (en) 2014-02-07 2021-10-26 Applied Materials, Inc. Temperature controlled substrate support assembly
US9472410B2 (en) 2014-03-05 2016-10-18 Applied Materials, Inc. Pixelated capacitance controlled ESC
CN106471609B (zh) 2014-07-02 2019-10-15 应用材料公司 用于使用嵌入光纤光学器件及环氧树脂光学散射器的基板温度控制的装置、系统与方法
WO2016014138A1 (en) 2014-07-23 2016-01-28 Applied Materials, Inc. Tunable temperature controlled substrate support assembly
US10475687B2 (en) * 2014-11-20 2019-11-12 Sumitomo Osaka Cement Co., Ltd. Electrostatic chuck device
US9999947B2 (en) * 2015-05-01 2018-06-19 Component Re-Engineering Company, Inc. Method for repairing heaters and chucks used in semiconductor processing
US10386821B2 (en) 2015-06-22 2019-08-20 Lam Research Corporation Systems and methods for calibrating scalar field contribution values for a limited number of sensors including a temperature value of an electrostatic chuck and estimating temperature distribution profiles based on calibrated values
US10381248B2 (en) 2015-06-22 2019-08-13 Lam Research Corporation Auto-correction of electrostatic chuck temperature non-uniformity
US10763142B2 (en) 2015-06-22 2020-09-01 Lam Research Corporation System and method for determining field non-uniformities of a wafer processing chamber using a wafer processing parameter
JP2017183685A (ja) * 2016-03-29 2017-10-05 日本碍子株式会社 金属配線接合構造及びその製法
US10668558B2 (en) 2016-03-29 2020-06-02 Ngk Insulators, Ltd. Metal wiring bonding structure and production method therefor
KR102062751B1 (ko) * 2016-03-29 2020-01-06 엔지케이 인슐레이터 엘티디 정전 척 히터
US10973088B2 (en) 2016-04-18 2021-04-06 Applied Materials, Inc. Optically heated substrate support assembly with removable optical fibers
JP6730084B2 (ja) * 2016-05-06 2020-07-29 日本特殊陶業株式会社 加熱部材及び静電チャック
JP6982126B2 (ja) * 2016-05-06 2021-12-17 日本特殊陶業株式会社 加熱部材及び静電チャック
US10770270B2 (en) * 2016-06-07 2020-09-08 Applied Materials, Inc. High power electrostatic chuck with aperture-reducing plug in a gas hole
JP6517754B2 (ja) * 2016-07-12 2019-05-22 日本碍子株式会社 配線基板接合体
CN106229284A (zh) * 2016-07-19 2016-12-14 武汉新芯集成电路制造有限公司 一种点阵式控温静电吸附盘
JP6397588B2 (ja) * 2016-07-19 2018-09-26 日本碍子株式会社 静電チャックヒータ
US10685861B2 (en) 2016-08-26 2020-06-16 Applied Materials, Inc. Direct optical heating of substrates through optical guide
JP6662742B2 (ja) * 2016-09-26 2020-03-11 株式会社フェローテックホールディングス 温調装置およびペルチェモジュール
JP2018063974A (ja) * 2016-10-11 2018-04-19 東京エレクトロン株式会社 温度制御装置、温度制御方法、および載置台
JP6798017B6 (ja) * 2016-10-17 2021-01-13 エーエスエムエル ネザーランズ ビー.ブイ. 基板にわたってパラメータ変動を修正する処理装置及び方法
US20180213608A1 (en) * 2017-01-20 2018-07-26 Applied Materials, Inc. Electrostatic chuck with radio frequency isolated heaters
WO2018143288A1 (ja) 2017-02-01 2018-08-09 日本特殊陶業株式会社 保持装置
KR102529412B1 (ko) * 2017-03-31 2023-05-04 램 리써치 코포레이션 플렉서블 웨이퍼 온도 제어부를 갖는 정전 척 (electrostatic chuck)
KR102435888B1 (ko) * 2017-07-04 2022-08-25 삼성전자주식회사 정전 척, 기판 처리 장치 및 그를 이용한 반도체 소자의 제조방법
US10049904B1 (en) * 2017-08-03 2018-08-14 Applied Materials, Inc. Method and system for moving a substrate
JP6955407B2 (ja) * 2017-09-13 2021-10-27 日本特殊陶業株式会社 保持装置
US10714372B2 (en) * 2017-09-20 2020-07-14 Applied Materials, Inc. System for coupling a voltage to portions of a substrate
US10306776B1 (en) * 2017-11-29 2019-05-28 Lam Research Corporation Substrate processing system printed-circuit control board assembly with one or more heater layers
WO2019157023A1 (en) * 2018-02-09 2019-08-15 Applied Materials, Inc. Semiconductor processing apparatus having improved temperature control
WO2019159862A1 (ja) 2018-02-16 2019-08-22 日本特殊陶業株式会社 保持装置
US10851458B2 (en) * 2018-03-27 2020-12-01 Lam Research Corporation Connector for substrate support with embedded temperature sensors
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
US11908715B2 (en) * 2018-07-05 2024-02-20 Lam Research Corporation Dynamic temperature control of substrate support in substrate processing system
KR20200008246A (ko) * 2018-07-16 2020-01-28 삼성전자주식회사 기판 본딩용 진공척, 이를 포함하는 기판 본딩 장치 및 이를 이용한 기판 본딩 방법
US11087962B2 (en) * 2018-07-20 2021-08-10 Lam Research Corporation Real-time control of temperature in a plasma chamber
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
CN111211029B (zh) * 2018-11-21 2023-09-01 中微半导体设备(上海)股份有限公司 一种多区控温等离子反应器
JP7451540B2 (ja) 2019-01-22 2024-03-18 アプライド マテリアルズ インコーポレイテッド パルス状電圧波形を制御するためのフィードバックループ
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
US11562913B2 (en) * 2019-04-25 2023-01-24 Watlow Electric Manufacturing Company Multi-zone azimuthal heater
KR20220079650A (ko) 2019-10-12 2022-06-13 어플라이드 머티어리얼스, 인코포레이티드 통합된 베벨 퍼지 기능 및 후면을 갖는 웨이퍼 가열기
CN113130279B (zh) * 2019-12-30 2023-09-29 中微半导体设备(上海)股份有限公司 下电极组件、等离子体处理装置及其工作方法
US11784080B2 (en) * 2020-03-10 2023-10-10 Applied Materials, Inc. High temperature micro-zone electrostatic chuck
JP2023520685A (ja) * 2020-03-31 2023-05-18 アプライド マテリアルズ インコーポレイテッド 高温マイクロゾーン静電チャック
KR102440417B1 (ko) * 2020-05-07 2022-09-13 주식회사 유진테크 다구역 온도 제어를 위한 히터 시스템 및 그 히터 시스템을 포함하는 기판 지지 어셈블리
KR20210144333A (ko) * 2020-05-22 2021-11-30 세메스 주식회사 정전 척과 그 제조 방법 및 기판 처리 장치
US11848176B2 (en) 2020-07-31 2023-12-19 Applied Materials, Inc. Plasma processing using pulsed-voltage and radio-frequency power
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11776788B2 (en) 2021-06-28 2023-10-03 Applied Materials, Inc. Pulsed voltage boost for substrate processing
JP7213592B1 (ja) 2021-08-19 2023-01-27 アダプティブ プラズマ テクノロジー コーポレーション 多重加熱領域構造の静電チャック
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
KR102655065B1 (ko) 2021-08-31 2024-04-09 세메스 주식회사 히팅 부재 및 기판 처리 장치
TWI796780B (zh) * 2021-09-07 2023-03-21 南韓商自適應等離子體技術公司 多個加熱區域結構的靜電卡盤
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications

Family Cites Families (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001102436A (ja) * 1999-05-07 2001-04-13 Applied Materials Inc 静電チャック及びその製造方法
US6490146B2 (en) 1999-05-07 2002-12-03 Applied Materials Inc. Electrostatic chuck bonded to base with a bond layer and method
US6740853B1 (en) 1999-09-29 2004-05-25 Tokyo Electron Limited Multi-zone resistance heater
CN1207939C (zh) * 1999-09-29 2005-06-22 东京电子株式会社 多区电阻加热器
US6538872B1 (en) 2001-11-05 2003-03-25 Applied Materials, Inc. Electrostatic chuck having heater and method
US8226769B2 (en) * 2006-04-27 2012-07-24 Applied Materials, Inc. Substrate support with electrostatic chuck having dual temperature zones
JP5069452B2 (ja) * 2006-04-27 2012-11-07 アプライド マテリアルズ インコーポレイテッド 二重温度帯を有する静電チャックをもつ基板支持体
US8440049B2 (en) * 2006-05-03 2013-05-14 Applied Materials, Inc. Apparatus for etching high aspect ratio features
US9275887B2 (en) 2006-07-20 2016-03-01 Applied Materials, Inc. Substrate processing with rapid temperature gradient control
JP2009054871A (ja) * 2007-08-28 2009-03-12 Tokyo Electron Ltd 載置台構造及び処理装置
JP4974873B2 (ja) * 2007-12-26 2012-07-11 新光電気工業株式会社 静電チャック及び基板温調固定装置
US20090274590A1 (en) * 2008-05-05 2009-11-05 Applied Materials, Inc. Plasma reactor electrostatic chuck having a coaxial rf feed and multizone ac heater power transmission through the coaxial feed
US20100018648A1 (en) * 2008-07-23 2010-01-28 Applied Marterials, Inc. Workpiece support for a plasma reactor with controlled apportionment of rf power to a process kit ring
CN101872733B (zh) * 2009-04-24 2012-06-27 中微半导体设备(上海)有限公司 感测和移除被加工半导体工艺件的残余电荷的系统和方法
US8637794B2 (en) 2009-10-21 2014-01-28 Lam Research Corporation Heating plate with planar heating zones for semiconductor processing
US8274017B2 (en) * 2009-12-18 2012-09-25 Applied Materials, Inc. Multifunctional heater/chiller pedestal for wide range wafer temperature control
US8546732B2 (en) 2010-11-10 2013-10-01 Lam Research Corporation Heating plate with planar heater zones for semiconductor processing
MX2014001617A (es) * 2011-08-09 2014-05-28 Samsung Electronics Co Ltd Metodo de codificacion de prediccion de video de multiples vistas y aparato para el mismo, y metodo de decodificacion de prediccion de video de multiples vistas y aparato para el mismo.
US9307578B2 (en) 2011-08-17 2016-04-05 Lam Research Corporation System and method for monitoring temperatures of and controlling multiplexed heater array
US9123755B2 (en) * 2011-08-30 2015-09-01 Watlow Electric Manufacturing Company System and method for controlling a thermal array
US8624168B2 (en) 2011-09-20 2014-01-07 Lam Research Corporation Heating plate with diode planar heater zones for semiconductor processing
US8461674B2 (en) 2011-09-21 2013-06-11 Lam Research Corporation Thermal plate with planar thermal zones for semiconductor processing
KR20130098707A (ko) * 2012-02-28 2013-09-05 삼성전자주식회사 정전 척 장치 및 그 제어방법
US9324589B2 (en) 2012-02-28 2016-04-26 Lam Research Corporation Multiplexed heater array using AC drive for semiconductor processing
US8937800B2 (en) 2012-04-24 2015-01-20 Applied Materials, Inc. Electrostatic chuck with advanced RF and temperature uniformity
US10049948B2 (en) * 2012-11-30 2018-08-14 Lam Research Corporation Power switching system for ESC with array of thermal control elements
US9681497B2 (en) 2013-03-12 2017-06-13 Applied Materials, Inc. Multi zone heating and cooling ESC for plasma process chamber
WO2014164449A1 (en) 2013-03-13 2014-10-09 Applied Materials, Inc. Multi-zone heated esc with independent edge zones
US9196514B2 (en) 2013-09-06 2015-11-24 Applied Materials, Inc. Electrostatic chuck with variable pixilated heating
JP6100672B2 (ja) 2013-10-25 2017-03-22 東京エレクトロン株式会社 温度制御機構、温度制御方法及び基板処理装置
TW201518538A (zh) 2013-11-11 2015-05-16 Applied Materials Inc 像素化冷卻溫度控制的基板支撐組件
US10460968B2 (en) 2013-12-02 2019-10-29 Applied Materials, Inc. Electrostatic chuck with variable pixelated magnetic field
US10217615B2 (en) 2013-12-16 2019-02-26 Lam Research Corporation Plasma processing apparatus and component thereof including an optical fiber for determining a temperature thereof
US9520315B2 (en) 2013-12-31 2016-12-13 Applied Materials, Inc. Electrostatic chuck with internal flow adjustments for improved temperature distribution
US9622375B2 (en) 2013-12-31 2017-04-11 Applied Materials, Inc. Electrostatic chuck with external flow adjustments for improved temperature distribution
US11158526B2 (en) 2014-02-07 2021-10-26 Applied Materials, Inc. Temperature controlled substrate support assembly
US9472410B2 (en) 2014-03-05 2016-10-18 Applied Materials, Inc. Pixelated capacitance controlled ESC
WO2016014138A1 (en) 2014-07-23 2016-01-28 Applied Materials, Inc. Tunable temperature controlled substrate support assembly
CN113675115A (zh) * 2015-05-22 2021-11-19 应用材料公司 方位可调整的多区域静电夹具
US10582570B2 (en) * 2016-01-22 2020-03-03 Applied Materials, Inc. Sensor system for multi-zone electrostatic chuck

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20220043042A (ko) * 2020-09-28 2022-04-05 토토 가부시키가이샤 정전 척 및 반도체 제조 장치

Also Published As

Publication number Publication date
US20160329231A1 (en) 2016-11-10
JP2017143290A (ja) 2017-08-17
CN105474381A (zh) 2016-04-06
JP6663381B2 (ja) 2020-03-11
CN106971964A (zh) 2017-07-21
JP2017530542A (ja) 2017-10-12
KR101758087B1 (ko) 2017-07-14
JP6335341B2 (ja) 2018-05-30
TW201721802A (zh) 2017-06-16
CN105474381B (zh) 2018-06-05
JP7030146B2 (ja) 2022-03-04
JP2020141133A (ja) 2020-09-03
TWI594362B (zh) 2017-08-01
US9472435B2 (en) 2016-10-18
KR102302723B1 (ko) 2021-09-14
WO2016014138A1 (en) 2016-01-28
TW201606925A (zh) 2016-02-16
US20160027678A1 (en) 2016-01-28
US10535544B2 (en) 2020-01-14
TWI618186B (zh) 2018-03-11
KR20170072955A (ko) 2017-06-27
US20200152500A1 (en) 2020-05-14

Similar Documents

Publication Publication Date Title
KR101758087B1 (ko) 튜닝가능한 온도 제어되는 기판 지지 어셈블리
JP7444842B2 (ja) ピクセル型温度制御式基板支持アセンブリ
US11265971B2 (en) Sensor system for multi-zone electrostatic chuck
JP2019505092A5 (ko)

Legal Events

Date Code Title Description
A201 Request for examination
A302 Request for accelerated examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant