KR20160114687A - 캐리어와 고분자 표면의 조절된 결합을 위한 방법 및 제품 - Google Patents

캐리어와 고분자 표면의 조절된 결합을 위한 방법 및 제품 Download PDF

Info

Publication number
KR20160114687A
KR20160114687A KR1020167023790A KR20167023790A KR20160114687A KR 20160114687 A KR20160114687 A KR 20160114687A KR 1020167023790 A KR1020167023790 A KR 1020167023790A KR 20167023790 A KR20167023790 A KR 20167023790A KR 20160114687 A KR20160114687 A KR 20160114687A
Authority
KR
South Korea
Prior art keywords
carrier
glass
bonding
plasma
layer
Prior art date
Application number
KR1020167023790A
Other languages
English (en)
Inventor
로버트 알란 벨만
로버트 조지 만리
프란틱 마줌더
크리스티 린 사이먼턴
Original Assignee
코닝 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 코닝 인코포레이티드 filed Critical 코닝 인코포레이티드
Publication of KR20160114687A publication Critical patent/KR20160114687A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K71/00Manufacture or treatment specially adapted for the organic devices covered by this subclass
    • H10K71/80Manufacture or treatment specially adapted for the organic devices covered by this subclass using temporary substrates
    • H01L51/003
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1214Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs
    • H01L27/1218Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs with a particular composition or structure of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1214Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs
    • H01L27/1259Multistep manufacturing methods
    • H01L27/1262Multistep manufacturing methods with a particular formation, treatment or coating of the substrate
    • H01L27/1266Multistep manufacturing methods with a particular formation, treatment or coating of the substrate the substrate on which the devices are formed not being the final device substrate, e.g. using a temporary substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78603Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the insulating substrate or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/04Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices
    • H01L51/0097
    • H01L51/052
    • H01L51/0545
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K10/00Organic devices specially adapted for rectifying, amplifying, oscillating or switching; Organic capacitors or resistors having potential barriers
    • H10K10/40Organic transistors
    • H10K10/46Field-effect transistors, e.g. organic thin-film transistors [OTFT]
    • H10K10/462Insulated gate field-effect transistors [IGFETs]
    • H10K10/466Lateral bottom-gate IGFETs comprising only a single gate
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K10/00Organic devices specially adapted for rectifying, amplifying, oscillating or switching; Organic capacitors or resistors having potential barriers
    • H10K10/40Organic transistors
    • H10K10/46Field-effect transistors, e.g. organic thin-film transistors [OTFT]
    • H10K10/462Insulated gate field-effect transistors [IGFETs]
    • H10K10/468Insulated gate field-effect transistors [IGFETs] characterised by the gate dielectrics
    • H10K10/471Insulated gate field-effect transistors [IGFETs] characterised by the gate dielectrics the gate dielectric comprising only organic materials
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K77/00Constructional details of devices covered by this subclass and not covered by groups H10K10/80, H10K30/80, H10K50/80 or H10K59/80
    • H10K77/10Substrates, e.g. flexible substrates
    • H10K77/111Flexible substrates
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02EREDUCTION OF GREENHOUSE GAS [GHG] EMISSIONS, RELATED TO ENERGY GENERATION, TRANSMISSION OR DISTRIBUTION
    • Y02E10/00Energy generation through renewable energy sources
    • Y02E10/50Photovoltaic [PV] energy
    • Y02E10/549Organic PV cells

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Electromagnetism (AREA)
  • Laminated Bodies (AREA)
  • Joining Of Glass To Other Materials (AREA)
  • Surface Treatment Of Glass (AREA)

Abstract

제1표면 에너지를 갖는 고분자 결합 표면을 갖는 기판, 제2표면 에너지를 갖는 유리 결합 표면을 갖는 캐리어, 및 상기 유리 결합 표면에 상기 고분자 결합 표면을 방출 가능하게 결합하는 플라즈마-중합된 표면 개질층. 상기 플라즈마 중합된 층은 결합 전에 유리 결합 표면의 표면 에너지를 감소시키도록 형성된다. 그리고 상기 기판은 120℃의 온도를 갖는 환경에서 1시간 진공 어닐링에 적용된 후에 상기 캐리어로부터 비-파괴적으로 탈결합될 수 있다.

Description

캐리어와 고분자 표면의 조절된 결합을 위한 방법 및 제품 {ARTICLES AND METHODS FOR CONTROLLED BONDING OF POLYMER SURFACES WITH CARRIERS}
본 출원은 2014년 1월 27일자에 출원된 미국 가 특허출원 제61/931,924호의 우선권을 주장하고, 이의 전체적인 내용은 참조로서 여기에 혼입된다.
본 개시는 캐리어 (carriers)상에 플렉시블 시트를 가공처리하는 방법 및 제품에 관한 것으로, 특히 유리 캐리어 상에 플렉시블 유리 시트를 가공처리하는 방법 및 제품에 관한 것이다.
플렉시블 기판은 롤-대-롤 공정을 사용하여 저렴한 장치의 가능성, 및 더 얇고, 더 가벼우며, 좀 더 플렉시블하고, 내구성 있는 디스플레이를 만들 잠재력을 제공한다. 그러나, 고품질의 디스플레이의 롤-대-롤 공정을 위해 요구된 기술, 장비, 및 공정은 아직 완전하게 개발되지 않았다. 패널 제조업자가 큰 유리의 시트를 가공처리하기 위한 공구세트에 이미 과도하게 투자하였기 때문에, 캐리어에 플렉시블 기판을 적층하는 단계 및 시트-대-시트 공정에 의해 디스플레이 장치를 만드는 단계는, 더 얇고, 더 가벼우며, 및 좀 더 플렉시블한 디스플레이의 가치 제안 (value proposition)을 발전시키기 위한 단기간 해법을 제공한다. 디스플레이는 고분자 시트, 예를 들어, 폴리에틸렌 나프탈레이트 (PEN)에 대해 실증되어 왔고, 여기서 장치 제작은 유리 캐리어에 적층된 PEN을 갖는 시트 대 시트 (sheet to sheet)이다. 상기 PEN의 상한 온도는 사용될 수 있는 장치 품질 및 공정을 제한한다. 부가적으로, 고분자 기판의 높은 투수성 (permeability)은 OLED 장치의 환경적 분해를 유도하고, 여기서 근 밀폐 패키지 (near hermetic package)는 요구된다. 박막 엔캡슐화 (encapsulation)는 이러한 제한을 극복하기 위한 가능성을 제공하지만, 아직 대용량에서 허용 가능한 수율을 제공하는 것이 입증되지는 않았다.
유사한 방식에서, 디스플레이 장치는 하나 이상의 얇은 유리 기판에 적층된 유리 캐리어를 사용하여 제작될 수 있다. 얇은 유리의 낮은 투수성 및 개선된 온도 및 화학적 저항성은 더 높은 성능의, 더 긴 수명의 플렉시블 디스플레이를 가능할 것으로 예상된다.
그러나, 열, 진공, 용매 및 산성 및 초음파의, 평판 디스플레이 (Flat Panel Display: FPD) 공정은 캐리어에 결합된 얇은 유리에 대한 강력한 결합을 요구한다. FPD 공정은 통상적으로 진공 침착 (vacuum deposition) (금속, 투명 전도성 산화물 및 산화물 반도체를 스퍼터링, 무정형 실리콘, 질화 실리콘, 및 이산화 실리콘의 화학 기상 침착 (CVD), 및 금속 및 절연체의 건식 에칭), (~300 - 400℃ CVD 침착, 600℃까지의 p-Si 결정화, 350 - 450℃의 산화물 반도체 어닐링, 650℃까지의 도펀트 어닐링, 및 ~200 - 350℃의 접촉 어닐링을 포함하는) 열 공정, 산 에칭 (금속 에칭, 산화물 반도체 에칭), 용매 노출 (포토레지스트를 스트리핑, 고분자 엔캡슐화의 침착), 및 초음파 노출 (용매에서 포토레지스트의 스트리핑 및 통상적으로 알칼리 용액에서 수성 세정)을 포함한다.
점착성 웨이퍼 결합은 마이크로기계 시스템 (Micromechanical Systems) (MEMS) 및 공정이 덜 혹독한 후미 단계에 대한 반도체 공정에서 광범위하게 사용되어 왔다. Brewer Science 및 Henkel에 의한 상업적인 접착제는 통상적으로 5 - 200 microns 두께인, 두꺼운 고분자 접착제 층이다. 이들 층의 큰 두께는 다량의 휘발성의, 포획된 용매, 및 흡수된 종들이 FPD 공정을 오염시킬 가능성을 생성한다. 이들 물질은 ~250℃ 이상에서 열적으로 분해되고 탈가싱된다. 상기 물질은 또한 후속 공정에서 탈가싱될 수 있는 가스, 용매 및 산에 대한 씽크 (sink)로서 작용하여 다운스트림 단계에서 오염을 유발할 수 있다.
발명의 명칭이 "Processing Flexible Glass with a Carrier"로 2012년 2월 8일자에 출원된 미국 가 특허출원 제61/596,727호 (이하 US '727)는, 그 안에서의 개념이 반 데르 발스 힘에 의해 초기에 캐리어에 얇은 시트, 예를 들어, 플렉시블 유리 시트를 결합시키는 단계, 그 다음, 그 위에, 장치 (예를 들어, 전자 또는 디스플레이 장치, 전자 또는 디스플레이 장치의 부품, 유기 발광 장치 (OLED) 물질, 광-전지 (photo-voltaic) (PV) 구조, 또는 박막 트랜지스터)를 형성하기 위한 얇은 시트/캐리어를 가공처리한 후에 얇은 시트의 일부를 제거하는 능력을 보유하면서 어떤 영역에 결합 강도를 증가시키는 단계를 포함하는 것을 개시한다. 얇은 유리의 적어도 일부는 장치 공정 유체가 얇은 시트 및 캐리어 사이에 유입하는 것을 방지되도록 캐리어에 결합되고, 이에 의해 다운스트림 공정이 오염될 기회가 감소, 즉, 얇은 시트 및 캐리어 사이에 결합된 밀봉 부분은 밀폐되고, 몇몇 바람직한 구체 예에서, 이러한 밀봉은 제품의 외부면을 감싸고, 이에 의해 밀봉된 제품의 어떤 영역의 안 또는 밖으로 액체 또는 가스 침범을 방지한다.
US '727은, 저온 (약 750℃까지일 수 있는 고상 결정화 공정과 비교하여 낮은 온도) 폴리실리콘 (LTPS) 장치 제작 공정에서, 600℃ 초과하는 온도, 진공 및 습식 에칭 환경이 사용될 수 있는 것을 개시하고 있다. 이들 조건은 사용될 수 있는 물질을 제한하고, 캐리어/얇은 시트에 대한 높은 수요를 일으킨다. 따라서, 원하는 것은 현존하는 제작의 기반 시설을 활용하고, 더 높은 공정 온도에서 얇은 유리 및 캐리어 사이에 결합 강도의 상실 또는 오염 없이, 얇은 유리, 즉, ≤ 0.3 mm의 두께를 갖는 유리의 가공처리를 가능하게 하며, 여기서 얇은 유리는 공정의 말단에서 캐리어로부터 쉽게 탈-결합하는 캐리어 접근법이다.
US '727에 개시된 접근법에 대한 하나의 상업적인 장점은, US '727에 기재된 바와 같이, 제조업자가, 예를 들어, PV, OLED, LCDs 및 패턴화된 박막 트랜지스터 (TFT) 전자장치에 대한 얇은 유리 시트의 장점을 얻으면서 공정 장비에서 현존하는 설비 투자를 활용할 수 있을 것이다. 부가적으로, 상기 접근법은: 결합을 용이하게 하기 위해 얇은 유리 시트 및 캐리어의 표면 준비 및 세정하는 단계; 결합 구역에서 얇은 시트 및 캐리어 사이에 결합을 강화하는 단계; 비-결합된 (또는 감소/저-강도 결합) 구역에서 캐리어로부터 얇은 시트의 이형성 (releasability)을 유지하는 단계; 및 캐리어로부터 추출을 용이하게 하기 위해 얇은 시트를 절단하는 단계를 포함하여 공정 유연성을 가능하게 한다.
유리-대-유리 결합 공정에서, 유리 표면은 모든 금속, 유기 및 미립자 잔여물을 제거하고, 및 대부분 실라놀 말단 표면을 남기기 위해 세정된다. 상기 유리 표면은 먼저 친밀한 접촉을 일으키고, 여기서 반 데르 발스 및/또는 수소-결합력은 이들을 서로 끌어당긴다. 열 및 선택적으로 압력으로, 표면 실라놀기는 농축되어 계면을 가로질르는 강한 공유 Si-O-Si 결합을 형성하여, 영구적으로 유리 조각을 융합시킨다. 금속, 유기 및 미립자 잔여물은 결합을 위해 요구된 친밀한 접촉을 방해하는 표면을 차폐하여 결합을 방해할 것이다. 높은 실라놀 표면 농도는 또한 강한 결합을 형성하기 위해 요구되는데, 이는 단위 면적당 결합의 수가 물을 농축하기 위해 반응하는 대립 표면상에 두 실라놀 종들의 개연성에 의해 결정되기 때문이다. Zhuravlel은 수화된 실리카 웰에 대해 n㎡ 당 하이드록시의 평균수가 4.6 내지 4.9로 보고하였다. Zhuravlel, L. T., The Surface Chemistry of Amorphous Silika, Zhuravlev Model, Colloids and Surfaces A: Physiochemical Engineering Aspects 173 (2000) 1-38. US '727에서, 비-결합 영역은 결합된 주변 내에 형성되고, 이러한 비-결합 구역을 형성하기 위해 기재된 주요 방식은 표면 거칠기를 증가시키는 것이다. 2㎚ Ra를 초과하는 평균 표면 거칠기는 결합 공정의 상승된 온도 동안 유리 대 유리 결합 형성을 방해할 수 있다. 발명의 명칭이 "Facilitated Processing for Controlling Bonding Between Sheet and Carrier"인, 동일 발명자에 의해 2012년 12월 13일자에 출원된, 미국 가 특허 출원 제61/736,880호 (이하 US '880)에서, 조절된 결합 구역은 캐리어 및 얇은 유리 시트 사이에서 반 데르 발스 및/또는 수소 결합을 조절하여 형성되지만, 공유 결합 구역도 또한 여전히 사용된다. 따라서, 비록 US '727 및 US '880에서 캐리어로 얇은 시트를 가공처리하는 방법 및 제품이 FPD 공정의 혹독한 환경을 견딜 수 있을지라도, 바람직한 몇몇 적용에서, 캐리어의 재사용은, 유리의 파단 강도와 비슷한, 접착력 ~1000-2000 mJ/㎡로 결합하는, 공유, 예를 들어, Si-O-Si에 의해 결합된 결합 영역에서 얇은 유리 및 유리 캐리어 사이에 강한 공유 결합에 의해 방해된다. 떼어내기 (Prying) 또는 벗겨내기 (peeling)는 캐리어로부터 얇은 유리의 공유적으로 결합된 일부를 분리시키는데 사용될 수 없고, 따라서, 전체 얇은 시트는 캐리어로부터 제거될 수 없다. 대신에, 그 위에 장치를 갖는 비-결합 구역은 선을 긋고 추출되어 캐리어 상에 얇은 유리 시트의 결합된 주변부를 남긴다.
전술된 것을 고려하면, (사용하려는 반도체 또는 디스플레이 제조 공정과 양립할 수 없는 탈가싱 없이) 고온 공정을 포함하는, FPD 공정의 혹독함을 견딜 수 있지만, 또 다른 얇은 시트를 가공처리하기 위해 캐리어의 재사용이 가능하도록 얇은 시트의 전체 구역이 캐리어로부터 (한 번에 모두 또는 부분적으로) 제거되는 것이 가능하게 하는 얇은 시트 - 캐리어 제품에 대한 요구가 있다.
본 명세서는 (LTPS 공정을 포함하는) FPD 공정을 견디기에 충분히 강하지만, 고온 공정 후에도, 캐리어로부터 시트의 탈결합을 허용하기에 충분히 약한 일시적 결합을 생성하기 위해 캐리어 및 얇은 시트 사이에 접착력을 조절하기 위한 방법을 기재한다. 이러한 조절된 결합은 재-사용 가능한 캐리어를 갖는 제품, 또는 선택적으로 캐리어 및 시트 사이에 조절된 결합 및 공유 결합의 패턴화된 지역을 갖는 제품을 생성하는데 활용될 수 있다. 좀 더 구체적으로, 본 개시는 얇은 시트 및 캐리어 사이에 실-온 반 데르 발스, 및/또는 수소, 결합 및 고온 공유 결합 모두를 조절하기 위해, 얇은 시트, 캐리어 또는 모두에 제공될 수 있는, (다양한 물질 및 연관된 표면 열 처리를 포함하는) 표면 개질층 (표면 개질층)을 제공한다. 더욱더 구체적으로는, 실-온 결합은 진공 공정, 습식 공정, 및/또는 초음파 세정 공정 동안 얇은 시트 및 캐리어를 서로 유지하는데 충분하도록 조절될 수 있다. 동시에, 고온 공유 결합은 고온 공정 동안 박리를 방지하기 위한 충분한 결합을 유지할 뿐만 아니라, 고온 공정 동안 얇은 시트 및 캐리어 사이에 영구적 결합을 방지하도록 조절될 수 있다. 선택적인 구체 예에서, 표면 개질층은 공유 결합 영역과 함께, 다양한 조절된 결합 구역 (여기서, 캐리어 및 시트는, 진공 공정, 습식 공정, 및/또는 초음파 세정 공정을 포함하는, 다양한 공정을 통해 충분하게 결합된 채로 유지된다)의 생성을 위해 사용될 수 있어, 예를 들어, 부가적인 장치 공정을 위해 더 작은 조각으로 제품을 다이싱 (dicing) 이후에도 캐리어 및 시트 사이에 기밀성을 유지하면서, 또 다른 공정 옵션을 제공한다. 더군다나, 어떤 표면 개질층은 캐리어 및 시트 사이에 결합의 조절을 제공하는 반면, 동시에, 예를 들어, 고온 및/또는 진공 공정을 포함하는, FPD (예를 들어, LTPS) 공정 환경에서의 가혹한 조건 동안 탈가싱 배출을 감소시킨다. 더욱이, 선택적인 구체 예에서, 어떤 표면 개질층은 고분자 결합 표면을 갖는 얇은 시트와 공유적으로 결합하기 위해 유리 결합 표면을 갖는 캐리어 상에서 사용될 수 있다. 상기 고분자 결합 표면은 전자 또는 다른 구조들이 형성되는 고분자 얇은 시트의 일부일 수 있거나, 또는 선택적으로, 상기 고분자 결합 표면은 전자 또는 다른 구조들이 형성된 유리층을 포함하는 복합 시트의 일부일 수 있다.
부가적인 특색 및 장점은 하기 상세한 설명에서 서술될 것이고, 부분적으로 하기 상세한 설명으로부터 기술분야의 당업자에게 명백할 것이며, 또는 하기 상세한 설명 및 첨부된 도면에 예시된 바와 같은 다양한 관점을 실행시켜 용이하게 인지될 것이다. 전술한 배경기술 및 하기 상세한 설명 모두는 단지 다양한 관점들의 대표 예이고, 청구된 바와 같은 본 발명의 본질 및 특징을 이해하기 위한 개요 또는 틀거리를 제공하도록 의도된 것으로 이해될 것이다.
수반되는 도면은 본 발명의 원리의 또 다른 이해를 제공하기 위해 포함되고, 본 명세서의 일부를 구성하고 혼입된다. 도면은 하나 이상의 구체 예를 예시하고, 상세한 설명과 함께 본 발명의 원리 및 작동을 예를 들어 설명하기 위해 제공된다. 본 명세서 및 도면에 개시된 다양한 특색은 어느 하나 및 모든 조합으로 사용될 수 있는 것으로 이해될 것이다. 비-제한 실시 예에 의해, 다양한 특색은 첨부된 청구항에서 서술된 바와 같이 서로 조합될 수 있다.
도 1은 캐리어가 얇은 시트에 결합되고 그들 사이에 표면 개질층을 갖는 제품의 개략적인 단면도이다.
도 2는 도 1의 제품의 분해 및 부분 절취도이다.
도 3은 온도의 함수에 따른 실리카 상에 표면 하이드록실 농도의 그래프이다.
도 4는 어닐링 온도의 함수에 따른 유리의 SC1-세정 시트의 표면 에너지의 그래프이다.
도 5는 필름이 만들어진 구성 물질 중 하나의 퍼센트의 함수에 따른 유리 시트 상에 침착된 얇은 불소중합체 필름의 표면 에너지의 그래프이다.
도 6은 결합 구역에 의해 캐리어에 결합된 얇은 시트의 개략적인 평면도이다.
도 7은 유리 시트의 스택 (stack)의 개략적인 측면도이다.
도 8은 도 7의 스택의 하나의 구체 예의 분해도이다.
도 9는 시험 설정의 개략도이다.
도 10은 다른 조건하에서 다양한 물질에 대한 (도 9의 시험 설정의 다른 부분의) 표면 에너지 대 시간의 그래프의 모음이다.
도 11은 다양한 물질에 대하여 온도에 대한 % 버블 면적의 변화의 그래프이다.
도 12는 다양한 물질에 대하여 온도에 대한 % 버블 면적의 변화의 또 다른 그래프이다.
도 13은 침착 동안 사용된 가스 중 하나의 퍼센트의 함수에 따른 유리의 시트 상에 침착된 불소중합체 필름의 표면 에너지의 그래프이다.
도 13a는 침착 동안 사용된 가스 중 하나의 퍼센트의 함수에 따른 유리의 시트 상에 침착된 불소중합체 필름의 표면 에너지의 그래프이다.
도 14는 표면 개질층에 대하여 침착 시간에 대한 표면 에너지의 그래프이다.
도 15는 표면 개질층에 대하여, 로그-로그 스케일 (log-log scale) 상에, 침착 시간에 대한 두께의 그래프이다.
도 16은 다른 표면 개질층에 대하여 처리 온도에 대한 표면 에너지의 그래프이다.
도 17은 표면 개질층 표면 커버리지 (surface coverage)의 그래프이다.
도 18은 유리 캐리어에 결합된 200 micron PEN 필름상에 제작된 유기 트랜지스터 (organic transistor)에 대한 성능의 요약이다.
하기 상세한 설명에서, 제한 없는 설명의 목적을 위하여, 특별한 상세를 기재하는 대표 구체 예는 본 발명의 다양한 원리의 전반적인 이해를 제공하기 위해 서술된다. 그러나, 본 발명이 여기에 개시된 특별한 상세를 벗어나는 다른 구체 예에서 예견될 수 있는, 본 개시의 이점을 갖는 것이 기술분야의 당업자에게 명백할 것이다. 더군다나, 공지의 장치, 방법 및 물질의 설명은 본 발명의 다양한 원리의 설명을 모호하게 한다면 생략될 수 있다. 마지막으로, 가능하다면, 동일한 참조 번호는 동일한 요소를 의미한다.
범위는 "약" 하나의 특정 값으로부터, 및/또는 "약" 다른 특정 값으로 여기에서 표현될 수 있다. 이러한 범위로 표현된 경우, 또 다른 구체 예들은 하나의 특정 값으로부터 및/또는 다른 특정 값까지를 포함한다. 유사하게, 선행사 "약"의 사용에 의해, 값이 대략으로 표현된 경우, 특정 값이 또 다른 구체 예를 형성하는 것으로 이해될 것이다. 상기 범위의 각 말단 점은 다른 말단 점과 관련하여, 그리고 상기 다른 말단 점에 독립적으로 모두 의미 있는 것으로 더욱 이해될 것이다.
여기에 사용된 바와 같은 방향 용어 - 예를 들어, 위, 아래, 우측, 좌측, 앞, 뒤, 상부, 하부 -는 오직 도시된 대로의 도면들을 참조하여 만들어진 것이고, 절대 방향을 의미하는 것으로 의도되지 않는다.
여기에 사용된 바와 같은, 용어들의 "단수"는, 특별한 언급이 없는 한, 적어도 하나 또는 하나 이상을 의미한다. 따라서, 예를 들어, "부품"에 대한 기준은, 특별한 언급이 없는 한, 둘 이상의 "부품"을 갖는 관점들을 포함한다.
US '727, 및 US '880 모두에서, 캐리어 상에서 얇은 유리 시트의 가공처리를 가능하게 하기 위한 해법은 제공되며, 이에 의해 얇은 유리 시트의 적어도 일부는 얇은 유리 시트 상에 가공처리된 장치가 캐리어로부터 제거될 수 있도록 "비-결합된" 상태로 남아 있다. 그러나, 얇은 유리의 주변은 Si-O-Si 공유 결합의 형성을 통해 캐리어 유리에 영구적으로 (또는 공유적으로, 또는 밀봉하여) 결합된다. 얇은 유리가 얇은 유리 및 캐리어를 손상 없이 영구적으로 결합된 구역에서 제거될 수 없음에 따라, 이런 공유 결합된 둘레 (perimeter)는 캐리어의 재사용을 방해한다.
이로운 표면 형상 특징을 유지하기 위하여, 상기 캐리어는 통상적으로 디스플레이 등급 (display grade) 유리 기판이다. 따라서, 몇몇 상황에서, 한번 사용 후 캐리어의 단순 폐기는 낭비적이고 비용이 든다. 따라서, 디스플레이 제작의 비용을 감소시키기 위해, 하나 이상의 얇은 시트 기판을 가공처리하기 위해 캐리어를 재사용할 수 있는 것은 바람직하다. 본 개시는 얇은 시트가 고온 공정을 포함하는 FPD 공정 라인의 혹독한 환경을 통해 가공처리되는 것이 가능하게 하고 - 여기서 고온 공정은 ≥ 400℃의 온도에서 공정이며, 예를 들어, 무정형 실리콘 또는 무정형 인듐 갈륨 아연 산화물 (IGZO) 뒤판 (backplane) 공정에서와 같이 약 450℃까지의 온도, 결정상 IGZO 공정에서와 같이 약 500-550℃까지의 온도, 또는 LTPS 공정에서 통상적인 것과 같이 약 600-650℃까지 온도에서 만들어질 장치의 타입에 의존하여 변화될 수 있음 -, 및 얇은 시트가 얇은 시트 또는 캐리어에 손상 (예를 들어, 여기서 캐리어 및 얇은 시트 중 하나가 둘 이상의 조각으로 파괴되거나 또는 균열됨) 없이 캐리어로부터 쉽게 제거되는 것이 가능하게 하며, 이에 의해 캐리어는 재사용될 수 있는 제품 및 방법을 서술한다.
도 1 및 2에서 도시된 바와 같이, 제품 (2)은 두께 (8)를 갖고, 및 두께 (18)를 갖는 캐리어 (10), 두께 (28)를 갖는 얇은 시트 (20) (즉, 예를 들어, 10-50 microns, 50-100 microns, 100-150 microns, 150-300 microns, 300, 250, 200 190, 180, 170, 160, 150 140, 130, 120 110 100, 90, 80, 70, 60, 50, 40 30, 20, 또는 10, microns의 두께를 포함하지만 이에 제한되지 않는, ≤ 300 microns의 두께를 갖는 시트), 및 두께 (38)를 갖는 표면 개질층 (30)을 포함한다. 상기 제품 (2)은, 비록 얇은 시트 (20) 자체가 ≤ 300 microns일지라도, 더 두꺼운 시트 (즉, 대략 ≥ 0.4mm, 예를 들어, 0.4 mm, 0.5 mm, 0.6 mm, 0.7 mm, 0.8 mm, 0.9 mm, 또는 1.0 mm)에 대해 설계된 장비에서 얇은 시트 (20)의 가공처리를 가능하도록 설계된다. 즉, 두께 (18, 28, 및 38)의 합인, 두께 (8)는, 하나의 장비가 - 예를 들어, 기판 시트 상에 전자 장치 부품을 배치하기 위해 설계된 장비 - 가공처리하기 위해 설계된 더 두꺼운 시트의 두께와 동등하도록 설계된다. 예를 들어, 만약 공정 장비가 700 micron 시트에 대해 설계되고, 얇은 시트가 300 microns의 두께 (28)를 갖는다면, 그 다음 두께 (18)는, 두께 (38)가 무시해도 될 정도라고 가정하면, 400 microns으로 선택될 것이다. 즉, 상기 표면 개질층 (30)은 스케일로 도시되지 않았으며; 대신에, 오직 예시를 위해서 크게 확대된다. 부가적으로, 상기 표면 개질층은 내부를 보여주는 도해에서 도시된다. 실제로, 상기 표면 개질층은 재사용할 수 있는 캐리어를 제공하는 경우 결합 표면 (14)에 걸쳐 균일하게 배치될 것이다. 통상적으로, 두께 (38)는, 대략 나노미터, 예를 들어, 0.1 내지 2.0, 또는 10㎚까지일 것이고, 몇몇 경우에서 100㎚까지 일 수 있다. 상기 두께 (38)는 타원분광기 (ellipsometer)에 의해 측정될 수 있다. 부가적으로, 표면 개질층의 존재는 표면 화학 분석에 의해, 예를 들어, ToF Sims 질량 분광계에 의해 검출될 수 있다. 따라서, 제품 두께 (8)에 대한 두께 (38)의 기여는 무시해도 될 정도이며, 두께 (28)를 갖는 제공된 얇은 시트 (20)를 가공처리하기 위한 캐리어 (10)의 적절한 두께 (18)를 결정하기 위한 계산에서 무시될 수 있다. 그러나, 표면 개질층 (30)이 어떤 의미 있는 두께 (38)를 갖는 경우, 그것은 얇은 시트 (20)의 제공된 두께 (28)에 대한 캐리어 (10)의 두께 (18), 및 공정 장비가 설계되는 제공된 두께를 결정하는데 주요한 요인이 될 수 있다.
캐리어 (10)는 제1표면 (12), 결합 표면 (14), 둘레 (16), 및 두께 (18)를 갖는다. 더욱이, 상기 캐리어 (10)는, 예를 들어, 유리를 포함하는 어떤 적절한 물질일 수 있다. 상기 캐리어가 유리일 필요는 없지만, 대신에 세라믹, 유리-세라믹, 또는 금속 일 수 있다 (표면 에너지 및/또는 결합은 유리 캐리어와 연관하여 하기에 기재된 것과 유사한 방식으로 조절될 수 있다). 만약 유리로 구성된다면, 캐리어 (10)는 알루미노-실리케이트, 보로-실리케이트, 알루미노-보로-실리케이트, 소다-라임-실리케이트를 포함하는 어떤 적절한 조성물 일 수 있고, 이의 최종적인 적용에 의존하여 알칼리를 함유하거나 또는 알칼리가-없을 수 있다. 두께 (18)는 약 0.2 내지 3mm 이상, 예를 들어, 0.2, 0.3, 0.4, 0.5, 0.6, 0.65, 0.7, 1.0, 2.0, 또는 3 mm이상 일 수 있고, 전술된 바와 같은, 두께 (28) 및, 이것이 무시해도 될 정도가 아닌 경우, 두께 (38)에 의존할 것이다. 부가적으로, 캐리어 (10)는, 도시된 바와 같이, 한 층, 또는 서로 결합된 (같거나 또는 다른 물질의 다수의 얇은 시트를 포함하는) 다층으로 구성될 수 있다. 더욱이, 상기 태리어는 Gen 1 크기 이상, 예를 들어, Gen 2, Gen 3, Gen 4, Gen 5, Gen 8 이상 (예를 들어, 100 mm x 100 mm 내지 3 meters x 3 meters 이상의 시트 크기)일 수 있다.
상기 얇은 시트 (20)는 제1표면 (22), 결합 표면 (24), 둘레 (26), 및 두께 (28)을 갖는다. 둘레들 (16 및 26)은 적절한 형상 중 어떤 하나일 수 있고, 서로 동일할 수 있거나, 또는 서로 다를 수 있다. 더욱이, 상기 얇은 시트 (20)는, 예를 들어, 유리, 세라믹, 또는 유리-세라믹을 포함하는 어떤 적절한 물질일 수 있다. 몇몇 경우에서, 상기 얇은 시트 (20)는 고분자, 또는 고분자 및/또는 유리 결합 표면을 갖는 복합 시트일 수 있다. 유리로 구성된 경우, 얇은 시트 (20)는 알루미노-실리케이트, 보로-실리케이트, 알루미노-보로-실리케이트, 소다-라임-실리케이트를 포함하는, 어떤 적절한 조성물일 수 있고, 이의 최종적인 적용에 의존하여 알칼리를 함유하거나 또는 알칼리가 없을 수 있다. 상기 얇은 시트의 열팽창계수는 상승된 온도에서 공정 동안 제품의 휨 (warping)을 방지하기 위한 캐리어의 열팽창계수와 상대적으로 근접하게 일치될 수 있다. 제품 (2)이 더 낮은 온도에서 가공처리되는 경우, 여기서 CTE 일치는 문제가 아니며, 그 다음 고분자 얇은 시트는 유리 캐리어와 함께 사용될 수 있다. 물론, 고분자 시트가 유리 캐리어와 함께 사용될 수 있는 다른 경우일 수 있다. 상기 얇은 시트 (20)의 두께 (28)는, 전술된 바와 같이, 300 microns 이하이다. 더욱이, 상기 얇은 시트는 Gen 1 크기 이상, 예를 들어, Gen 2, Gen 3, Gen 4, Gen 5, Gen 8 이상 (예를 들어, 100 mm x 100 mm 내지 3 meters x 3 meters 이상의 시트 크기)일 수 있다.
제품 (2)이 현존하는 장비에서 가공처리되는 정확한 두께를 갖는 것이 필요할뿐만 아니라, 때때로 공정이 수행되는 혹독한 환경을 견디어야 하는 필요가 있을 것이다. 예를 들어, 평면 디스플레이 (FPD) 공정은 습식 초음파, 진공, 및 몇몇 경우에서 고온 (예를 들어, ≥ 400℃) 공정을 포함할 수 있다. 전술된 바와 같은, 몇몇 공정에서, 온도는 ≥ 500℃, 또는 ≥ 600℃, 및 650℃까지일 수 있다.
예를 들어, FPD 제작 동안, 제품 (2)이 가공처리될 혹독한 환경을 견디기 위하여, 결합 표면 (14)은 얇은 시트 (20)가 캐리어 (10)로부터 분리되지 않도록 충분한 강도로 결합 표면 (24)에 결합되어야 한다. 그리고 이 강도는 얇은 시트 (20)가 공정 동안 캐리어 (10)로부터 분리되지 않도록 공정 내내 유지되어야 한다. 더욱이, 상기 얇은 시트 (20)가 캐리어 (10)로부터 제거되는 것이 가능하게 하기 위해 (그래서 캐리어 (10)가 재사용될 수 있게), 상기 결합 표면 (14)은 초기에 설계된 결합력, 및/또는 예를 들어, 제품이 고온, 예를 들어, ≥ 400℃의 온도에서 공정을 수행하는 경우, 발생할 수 있는 초기 설계된 결합력의 변형으로부터 결과하는 결합력에 의해 너무 강하게 결합 표면 (24)에 결합되지 않아야 한다. 상기 표면 개질층 (30)은 이들 목적 모두를 달성하기 위해 결합 표면 (14)과 결합 표면 (24) 사이에서 결합 강도를 조절하는데 사용될 수 있다. 조절된 결합력은 얇은 시트 (20) 및 캐리어 (10)의 극성 및 비-극성 표면 에너지 성분을 조정하여 조절된 총 접착 에너지에 대한 반 데르 발스 (및/또는 수소 결합) 및 공유 인력 에너지 (covalent attractive energies)의 기여를 조절하여 달성된다. 이러한 조절된 결합은 (습식, 초음파, 진공, 및 ≥ 400℃ 온도, 및 몇몇 예에서, 500℃, 또는 ≥ 600℃, 및 650℃까지의 공정 온도를 포함하는, 열 공정 FPD 공정을 포함하는) FPD 공정을 견디기에 충분히 강하고, 충분한 분리력의 적용에 의하지만, 얇은 시트 (20) 및/또는 캐리어 (10)에 파멸적인 손상을 유발하지 않을 힘에 의해 탈-결합할 수 있게 남아 있다. 이러한 탈-결합은 얇은 시트 (20) 및 그 위에 제조된 장치의 제거를 허용하고, 또한 캐리어 (10)의 재-사용을 가능하게 한다.
비록 상기 표면 개질층 (30)이 얇은 시트 (20) 및 캐리어 (10) 사이에서 고체층 (solid layer)으로 도시되었을지라도, 그것은 그럴 필요는 없다. 예를 들어, 상기 층 (30)은 대략 0.1 내지 2㎚의 두께일 수 있고, 결합 표면 (14) 모두를 완벽하게 피복하지 않을 수 있다. 예를 들어, 커버리지는 ≤ 100%, 1% 내지 100%, 10% 내지 100%, 20% 내지 90%, 또는 50% 내지 90%일 수 있다. 다른 구체 예에서, 상기 층 (30)은 10㎚까지의 두께, 또는 다른 구체 예에서, 100㎚까지의 두께일 수 있다. 비록 상기 표면 개질층 (30)이 캐리어 (10) 및 얇은 시트 (20) 중 어느 하나 또는 다른 것을 접촉하지 않을지라도, 상기 표면 개질층 (30)은 캐리어 (10)와 얇은 시트 (20) 사이에 배치되는 것이 고려될 수 있다. 어쨌든, 상기 표면 개질층 (30)의 중요한 관점은 결합 표면 (24)과 결합하는 결합 표면 (14)의 능력을 변경하는 점에 있고, 이에 의해 캐리어 (10) 및 얇은 시트 (20) 사이에 결합 강도를 조절한다. 결합하기 이전에 결합 표면 (14, 24)의 처리뿐만 아니라, 표면 개질층 (30)의 물질 및 두께는 캐리어 (10) 및 얇은 시트 (20) 사이에 결합 강도 (접착 에너지)를 조절하는데 사용될 수 있다.
일반적으로, 두 표면 사이에 접착 에너지는 ("A theory for the estimation of surface and interfacial energies. I. derivation and application to interfacial tension", L. A. Girifalco and R. J. Good, J. Phys. Chem., V 61, p904)에 의해 수학식 1과 같이 제공된다:
[수학식 1]
W = Y1 + Y2 - Y12
여기서 Y1, Y2 및 Y12는 각각 표면 1, 표면 2의 표면 에너지, 및 표면 1 및 2의 계면 에너지이다. 개별 표면 에너지는 일반적으로 수학식 2와 같이 두 항 (terms)의 조합이다; 분산 성분 (dispersion component)γd, 및 극성 성분 (polar component) γp.
[수학식 2]
Figure pct00001
접착력이 주로 런던 분산력 (London dispersion forces) (γd) 및 극성력 (polar forces), 예를 들어, 수소 결합 (γp)에 기인하는 경우, 계면 에너지는 (전술된 바와 같은, Girifalco and R. J. Good)에 의해 수학식 3과 같이 제공될 수 있다:
[수학식 3]
Figure pct00002
수학식 1에서 수학식 3을 치환한 후에, 접착 에너지는 수학식 4와 같이 대략적으로 계산될 수 있다:
[수학식 4]
Figure pct00003
상기 수학식 4에서, 오직 접착 에너지의 반 데르 발스 (및/또는 수소 결합) 구성 요소만 고려된다. 이들은 극성-극성 상호작용 (Keesom), 극성-비극성 상호작용 (Debye) 및 비극성-비극성 상호작용 (London)을 포함한다. 그러나, 다른 인력 에너지, 예를 들어, 공유 결합 및 정전기 결합은 또한 존재할 수 있다. 그래서, 좀 더 일반적인 형태로, 상기 수학식은 하기 수학식 5로 표시된다:
[수학식 5]
Figure pct00004
여기서, wc 및 we은 공유 및 정전기 접착 에너지이다. 상기 공유 접착 에너지는, 실리콘 웨이퍼 결합에서 처럼, 상당히 흔하고, 여기서 웨이퍼의 초기 수소 결합 쌍은 더 높은 온도로 가열되어, 많은 또는 모든 실라놀-실라놀 수소 결합이 Si-O-Si 공유 결합으로 전환된다. 초기, 실온, 수소 결합이 결합 표면의 분리를 가능하게 하는 대략 ~100-200mJ/㎡의 접착 에너지를 생산하는 동안, 고온 공정 (약 400 내지 800℃) 동안 달성된 바와 같은 완전 공유 결합된 웨이퍼 쌍은 결합된 표면의 분리를 가능하게 하지 않고; 대신에, 두 웨이퍼가 단일체 (monolith)로 역할을 하는, ~1000-3000 mJ/㎡의 접착 에너지를 갖는다. 다른 한편으로는, 만약 표면 모두가 기초를 이루는 기판의 효과를 차단하기에 충분히 큰 두께를 갖는, 낮은 표면 에너지 물질, 예를 들어, 불소중합체로 완전하게 코팅된다면, 접착 에너지는 코팅 물질의 것일 수 있고, 매우 낮을 수 있어서 결합 표면 (14, 24) 사이에 접착력이 없거나 낮게 유도하고, 이에 의해 얇은 시트 (20)는 캐리어 (10) 상에서 가공처리될 수 없을 것이다. 두 극단적인 경우를 고려하면: (a) 두 개의 표준 세정 1 (기술분야에서 알려진 것으로, SC1)은 수소 결합을 통해 실온에서 서로 결합된 실라놀기로 포화된 유리 표면을 세정하고 (이에 의해 접착 에너지는 ~100-200 mJ/㎡이다), 그 다음 고온으로 가열하여 상기 실라노기를 공유 Si-O-Si 결합으로 전환시킨다 (이에 의해 접착 에너지는 1000-3000 mJ/㎡이 된다). 이 후자의 접착 에너지는 유리 표면의 쌍에 대해 너무 높아서 탈착 가능하지 않고; 및 (b) 낮은 표면 접착 에너지 (표면에 대하여 ~12 mJ/㎡)를 갖는 불소중합체로 완벽하게 코팅된 두 개의 유리 표면은 실온에서 결합되고, 고온에서 가열된다. 이 후자의 경우 (b)에서, 표면들이 (표면들이 함께 놓여진 경우, ~ 24 mJ/㎡의 총 접착 에너지는, 너무 낮기 때문에) 결합하지 않을 뿐만 아니라, 이들은 극성 반응기가 없거나 (또는 거의 없기) 때문에 고온에서 결합하지 않는다. 이들 두 극단적인 경우 사이에서, 접착 에너지의 범위는, 원하는 조절된 결합도를 생산할 수 있는, 예를 들어, 50-1000 mJ/㎡ 사이에서 존재한다. 따라서, 본 발명자들은 이들 두 극단적인 경우 사이에 있는 접착 에너지를 유도하는 조율 가능한 표면 개질층 (30)을 제공하는 다양한 방식, 및 (예를 들어, ≥ 400℃의 고온 공정 이후에도) 공정이 완료된 이후에 캐리어 (10)로부터 얇은 시트 (20)의 탈착을 가능하게 하는 정도뿐만 아니라 FPD 공정의 가혹함을 통해 서로 결합된 한 쌍의 유리 기판 (예를 들어, 유리 캐리어 (10) 및 얇은 유리 시트 (20))을 유지하기에 충분히 조절된 결합을 생산할 수 있다는 점을 발견하였다. 더군다나, 상기 캐리어 (10)로부터 얇은 시트 (20)의 탈착은 기계적 힘에 의해 수행될 수 있고, 이러한 방식에서 적어도 얇은 시트 (20)에 파멸적인 손상이 없고, 바람직하게 또한 캐리어 (10)에 파멸적인 손상은 없다.
수학식 5는 접착 에너지가, 네 개의 표면 에너지 파라미터에, 만약 있다면, 공유 및 정전기 에너지를 더한 함수인 것으로 기재한다.
적절한 접착 에너지는 표면 개질제, 즉, 표면 개질층 (30)의 신중한 선택, 및/또는 결합 전에 표면의 열 처리에 의해 달성될 수 있다. 적절한 접착 에너지는 결합 표면 (14) 및 결합 표면 (24) 중 하나 또는 모두에 화학적 개질제의 선택에 의해 달성될 수 있는데, 이것은 궁극적으로 반 데르 발스 (및/또는 수소 결합, 이들 용어는 본 명세서 내내 호환 가능하게 사용됨) 접착 에너지뿐만 아니라 고온 공정 (예를 들어, 대략 ≥ 400℃)으로부터 결과하는 공유 결합 접착 에너지 모두를 조절한다. 예를 들어, (표면 에너지의 높은 극성 성분을 갖는 실라놀기로 초기에 포화된) SC1 세정된 유리의 결합 표면을 취하고, 저에너지 불소중합체로 이를 코팅하는 것은 극성기 (polar groups) 및 비-극성기에 의해 표면의 분획 커버리지 (fractional coverage)의 조절을 제공한다. 이것은 실온에서 초기 반 데르 발스 (및/또는 수소) 결합의 조절을 제공할 뿐만 아니라, 더 높은 온도에서 공유 결합의 규모/정도의 조절을 제공한다. 실온에서 초기 반 데르 발스 (및/또는 수소) 결합의 조절은 진공 및/또는 스핀-린스-건조 (SRD) 타입 공정을 가능하도록 다른 표면에 한 표면의 결합, 및 몇몇 예에서, 다른 표면에 한 표면의 용이하게 형성된 결합을 제공하기 위해 수행되고, - 여기서, 상기 용이하게 형성된 결합은, 고무롤러 (squeegee)로, 또는 감압 환경으로 캐리어 (10)에 얇은 시트 (20)를 가압하여 수행됨에 따라, 얇은 시트 (20)의 전체 구역에 걸쳐 외부적으로 적용된 힘의 적용 없이 실온에서 수행될 수 있다. 즉, 초기 반 데르 발스 결합은 얇은 시트 및 캐리어가 함께 유지하는 적어도 최소의 결합도 (degree of bonding)를 제공하여, 만약 하나가 유지되고, 다른 것이 중력에 적용되도록 허용하는 경우, 이들은 분리되지 않는다. 대부분의 경우에서, 초기 반 데르 발스 (및/또는 수소) 결합은, 캐리어로부터 얇은 시트가 박리하지 않고, 제품이 진공, SRD, 및 초음파 공정을 통과할 수 있는 정도일 것이다. (구성된 물질 및/또는 적용된 표면의 표면 처리를 포함하는) 표면 개질층 (30)을 통해 및/또는 이들이 서로 결합하기 전에 결합 표면의 열 처리에 의한 적절한 수준에서 반 데르 발스 (및/또는 수소 결합) 및 공유 상호작용 모두의 정밀한 조절은, 얇은 시트 (20)가 FPD 스타일 공정 내내 캐리어 (10)와 결합을 가능하게 하는 원하는 접착 에너지를 달성하고, 반면 동시에, 얇은 시트 (20)가 FPD 스타일 공정 이후에 캐리어 (10)로부터 (얇은 시트 (20) 및/또는 캐리어에 손상을 피하는 적절한 힘에 의해) 분리되는 것이 가능하게 한다. 부가적으로, 적절한 환경에서, 정전기 전하는 접착 에너지의 또 다른 수준의 조절을 제공하기 위해 하나 또는 두 유리 표면에 적용될 수 있다.
FPD 공정, 예를 들어, p-Si 및 산화물 TFT 제작은 통상적으로 400℃ 이상, 500℃ 이상, 및 몇몇 경우에서 600℃ 이상, 표면 개질층 (30)의 부재하에서 얇은 유리 시트 (20)와 유리 캐리어 (10)의 유리 대 유리 결합을 유발하는 650℃까지 온도에서의 열 공정을 포함한다. 따라서, Si-O-Si 결합 형성을 조절하는 것은 재사용 가능한 캐리어를 유도한다. 상승된 온도에서 Si-O-Si 결합의 형성을 조절하기 위한 하나의 방법은 결합될 표면상에 표면 하이드록실기의 농도를 감소시키는 것이다.
온도의 함수에 따른 실리카 상에 표면 하이드록실 농도의 Iler의 그래프 ((R. K. Iller: The Chemistry of Silica (Wiley-Interscience, New York, 1979)인, 도 3에서 나타낸 바와 같이, ㎚ 제곱당 하이드록실 (OH 기)의 수는 표면의 온도가 증가함에 따라 감소한다. 따라서, 실리카 표면 (및 유추하여 유리 표면, 예를 들어, 결합 표면 (14) 및/또는 결합 표면 (24))을 가열하는 것은 표면 하이드록실의 농도를 감소시켜, 두 유리 표면상에서 하이드록실이 상호작용할 가능성을 감소시킨다. 표면 하이드록실 농도의 감소는 궁극적으로 단위 면적당 형성된 Si-O-Si 결합을 감소시켜, 접착력을 낮춘다. 그러나, 표면 하이드록실의 제거는 고온 (표면 하이드록실을 완전히 제거하기 위해 750℃ 이상)에서 긴 어닐링 시간을 요구한다. 이러한 긴 어닐링 시간 및 높은 어닐링 온도는 고가의 공정을 결과하고, 이것은 통상적인 디스플레이 유리의 변형점 이상일 개연성이 있어 실행 가능하지 않다.
상기 분석으로부터, 본 발명자들은, (LTPS 공정을 포함하는) FPD 공정에 적합한, 얇은 시트 및 캐리어를 포함하는 제품이, 다음 세 가지 개념이 균형을 이루어 만들어질 수 있다는 것을 확인하였다:
(1) 초기 실온 결합을 가능하게 하기 위해, 및 비-고온 FPD 공정, 예를 들어, 진공 공정, SRD 공정, 및/또는 초음파 공정을 견디기 충분한, (예를 들어, 표면이 결합되기 전에 표면 당 >40 mJ/㎡의 표면 에너지를 갖는) 적당한 접착 에너지를 생성하도록, 초기 실온 결합을 조절하여, 반 데르 발스 (및/또는 수소) 결합을 조절하여 수행될 수 있는, 캐리어 및/또는 얇은 시트 결합 표면의 개질;
(2) 장치 제작에서 허용 가능하지 않는 오염, 예를 들어, 제품이 사용될 수 있는 반도체 및/또는 디스플레이 제조 공정에 대해 허용 가능하지 않는 오염 및/또는 박리를 유발할 수 있는 탈가싱 없이 FPD 공정을 견디기 위해 열적으로 안정한 방식에서 캐리어 및/또는 얇은 시트의 표면 개질; 및
(3) 고온에서 결합을 조절, 이것은 캐리어 표면 하이드록실 농도, 및 상승된 온도 (예를 들어, 온도 ≥ 400℃)에서 강한 공유 결합을 형성할 수 있는 다른 종의 농도를 조절하여 수행될 수 있고, 이에 의해 캐리어 및 얇은 시트의 결합 표면 사이에 결합 에너지를 조절될 수 있어, 고온 공정 후에라도 (특히 FPE 공정에서와 같이, 500-650℃의 범위에서 열 공정을 통해), 상기 캐리어 및 얇은 시트 사이에 접착력은, 적어도 얇은 시트를 손상하지 않는 (바람직하게는 얇은 시트 또는 캐리어를 손상하지 않는) 분리력 (separation force)으로 캐리어로부터 얇은 시트의 탈결합을 가능하게 하는 범위 내에서 여전히 남아있지만, 아직도 이들이 공정 동안 박리되지 않도록 상기 캐리어 및 얇은 시트 사이에 결합을 유지하기에 충분하다.
더욱이, 본 발명자들은 적절하게 결합 표면 준비와 함께, 표면 개질층 (30)의 사용이 조절된 결합 구역을 쉽게 달성하기 위한 상기 개념과 균형을 이룰 수 있음을 확인하였다, 즉, 결합 구역은, 제품 (2)이 (진공 및 습식 공정을 포함하는) FPD 타입 공정에서 가공처리되는 것이 가능하도록 얇은 시트 (20) 및 캐리어 (10) 사이에 충분한 실-온 결합을 제공하지만, 여전히 제품 (2)이 고온 공정, 예를 들어, FPD 타입 공정 또는 LTPS 공정을 마친 후에, (적어도 얇은 시트에 손상이 없이, 바람직하게는 캐리어도 역시 손상 없이) 얇은 유리 시트 (20)가 캐리어 (10)로부터 제거되도록 (≥ 400℃의 상승된 온도에서도) 얇은 시트 (20)와 캐리어 (10) 사이에 공유 결합을 조절한다. FPD 공정에 적절한 재사용 가능한 캐리어를 제공하는, 잠재적 결합 표면 준비, 및 표면 개질층을 평가하기 위해, 일련의 시험은 사용된다. 다른 FPD 적용은 다른 요구조건을 갖지만, LTPS 및 산화물 TFT 공정은 이 시점에 가장 엄격한 것으로 나타나고, 따라서, 이들 공정에서 단계들을 대표하는 시험은, 이들이 제품 (2)에 대해 바람직한 적용인 것으로, 선택된다. 진공 공정, (SRD 및 초음파 타입 공정을 포함하는) 습식 세정 및 습식 에칭은 다수의 FPD 적용에 대해 일반적이다. 통상적인 aSi TFT 제작은 320℃까지 가공처리를 요구한다. 400℃에서 어닐링은 산화물 TFT 공정에 사용되는 반면, 600℃가 넘는 결정화 및 도펀트 활성 단계들은 LTPS 공정에서 사용된다. 따라서, 다음 다섯의 시험은, 특정 결합 표면 준비 및 표면 개질층 (30)이 FPD 공정 내내 캐리어 (10)에 얇은 시트 (20)가 여전히 결합되어 남아 있지만, 반면에 얇은 시트 (20)가 (≥ 400℃ 온도에서의 공정을 포함하는) 이러한 공정 후에 (얇은 시트 (20) 및/또는 캐리어 (10)를 손상하지 않고) 캐리어 (10)로부터 제거되는 것을 가능하게 하는 가능성을 평가하기 위해 사용되었다. 시험은 적절하게 수행되었고, 샘플은, 만약 후속 시험을 허용하지 않은 타입의 파손이 있지 않다면, 한 시험에서 다음 시험으로 진행되었다.
(1) 진공 시험
진공 적합성 시험 (Vacuum compatibility testing)은 (SPTS, Newport, UK로부터 이용 가능한) STS Multiplex PECVD 로드락 (loadlock)에서 수행되었다 - 로드락은 (Ebara Technologies Inc., Sacramento, CA.로부터 이용 가능한) 소프트 펌프 밸브 (soft pump valve)를 구비한 Ebara A10S 건조 펌프에 의해 펌핑된다. 샘플은 상기 로드락에 놓이고, 그 다음 상기 로드락은 45초 동안 70 mTorr로 상압을 하강하여 펌핑된다. 하기 표의 "진공" 컬럼에서 "F"의 기호로 나타낸 바와 같은, 파손은: (a) 캐리어 및 얇은 시트 사이에 접착력의 상실 (육안으로 가시적 검사에 의함, 여기서 파손은, 만약 얇은 시트가 캐리어에서 떨어지거나 또는 그로부터 부분적으로 탈결합되었다면 발생된 것으로 간주함); (b) 캐리어 및 얇은 시트 사이에 버블링 (육안으로 가시적 검사에 의해 결정 - 샘플은 공정 전 및 후에 사진을 찍었고, 그 다음 비교되며, 파손은, 만약 결함이 육안으로 보이는 치수의 크기가 증가된 경우 발생된 것으로 결정됨); 또는 (c) 캐리어에 대하여 얇은 시트의 이동 (육안으로 가시적 관찰에 의해 결정 - 샘플은 시험 전 및 후에 사진을 찍고, 여기서 파손은, 만약 결합 결함, 예를 들어, 버블의 이동이 있었거나, 또는 만약 에지가 탈결합되거나, 또는 만약 캐리어 상에 얇은 시트의 이동이 있었다면 발생된 것으로 간주됨)이 있는 경우 발생된 것으로 간주된다. 하기 표에서, "진공" 컬럼에서 "P"의 기호는 샘플이 전술된 기준에 대해 파손이 없는 것을 나타낸다.
(2) 습식 공정 시험
습식 공정 적합성 시험은 (Applied Materials, Santa Clara, CA로부터 이용 가능한) Semitool model SRD-470S를 사용하여 수행되었다. 시험은 60초 500 rpm 헹굼 (rinse), 500 rpm에서 15 MOhm-cm로 Q-헹굼, 500 rpm에서 10초 퍼지, 1800 rpm에서 90초 건조, 및 따뜻한 흐르는 질소 하에서 2400 rpm에서 180초 건조로 이루어진다. 하기 표의 "진공" 컬럼에서 "F"의 기호로 나타낸 바와 같은, 파손은: 만약 (a) 캐리어 및 얇은 시트 사이에 접착력의 상실 (육안으로 가시적 검사에 의함, 여기서 파손은, 만약 얇은 시트가 캐리어에서 떨어지거나 또는 그로부터 부분적으로 탈결합되었다면 발생된 것으로 간주함); (b) 캐리어 및 얇은 시트 사이에 버블링 (육안으로 가시적 검사에 의해 결정 - 샘플은 공정 전 및 후에 사진을 찍었고, 그 다음 비교되며, 파손은, 만약 결함이 육안으로 보이는 치수의 크기가 증가된 경우 발생된 것으로 결정됨); 또는 (c) 캐리어에 대하여 얇은 시트의 이동 (육안으로 가시적 관찰에 의해 결정 - 샘플은 시험 전 및 후에 사진을 찍고, 여기서 파손은, 만약 결합 결함, 예를 들어, 버블의 이동이 있었거나, 또는 만약 에지가 탈결합되거나, 또는 만약 캐리어 상에 얇은 시트의 이동이 있었다면 발생된 것으로 간주됨); 또는 (d) 얇은 시트 하에서 물의 침투 (50x의 광학 현미경으로 가시적 검사에 의해 결정된 바와 같고, 여기서 파손은 만약 액체 또는 잔류물이 관찰 가능하였다면 발생된 것으로 결정된다)가 있는 경우, 발생된 것으로 간주된다. 하기 표에서, "SRD" 컬럼에서 "P"의 기호는 샘플이 전술된 기준에 따라 파손이 없는 것을 나타낸다.
(3) 400℃에 대한 온도 시험.
400℃ 공정 적합성 시험은 (Alwin21, Santa Clara CA.로부터 이용 가능한) Alwin21 Accuthermo610 RTP를 사용하여 수행되었다. 캐리어와 거기에 결합된 얇은 시트는 실온에서 400℃까지 6.2℃/min의 속도로 순환되는 챔버에서 가열되고, 600초 동안 400℃에서 유지되며, 및 300℃까지 1℃/min로 냉각된다. 상기 캐리어 및 얇은 시트는 그 다음 실온으로 냉각된다. 하기 표의 "400℃" 컬럼에서 "F"의 기호로 나타낸 바와 같은, 파손은: 만약 (a) 캐리어 및 얇은 시트 사이에 접착력의 상실 (육안으로 가시적 검사에 의함, 여기서 파손은, 만약 얇은 시트가 캐리어에서 떨어지거나 또는 그로부터 부분적으로 탈결합되었다면 발생된 것으로 간주함); (b) 캐리어 및 얇은 시트 사이에 버블링 (육안으로 가시적 검사에 의해 결정 - 샘플은 공정 전 및 후에 사진을 찍었고, 그 다음 비교되며, 파손은, 만약 결함이 육안으로 보이는 치수의 크기가 증가된 경우 발생된 것으로 결정됨); 또는 또는 (c) 캐리어 및 얇은 시트 사이에 증가된 접착력이 있다면 발생된 것으로 간주되며, 이에 의해 이러한 증가된 접착력은 얇은 시트 또는 캐리어의 손상 없이 캐리어로부터 (얇은 시트 및 캐리어 사이에 면도날 (razor blade)을 삽입하고 및/또는 얇은 시트에 100mm 정사각형 얇은 유리 (Saint Gobain Performance Plastic, Hoosik NY 사의 K102 시리즈)에 부착된 2-3"를 갖는 1" 너비 x 6" 길이인, Kapton™ 테이프의 조각을 붙이고 상기 테이프를 당겨서) 얇은 시트의 탈결합을 방지하고, 여기서 파손은 만약 이들을 분리하기 위한 시도시 얇은 시트 또는 캐리어에 손상이 있었다면, 또는 얇은 시트 및 캐리어가 탈결합 방법들 중 하나의 수행에 의해 탈결합될 수 없다면, 발생된 것으로 간주된다. 부가적으로, 얇은 시트가 캐리어와 결합된 후, 및 열 순환 전에, 탈결합 시험은, 어떤 연관된 표면 처리를 포함하는, 특정 물질이 온도 순환 전에 캐리어로부터 얇은 시트의 탈결합을 가능하게 하는 것을 결정하기 위해 대표 샘플에 대해 수행된다. 하기 표에서, "400℃" 컬럼에서 "P"의 기호는 샘플이 전술된 기준에 따라 파손되지 않았다는 것을 나타낸다.
(4) 600℃ 온도에 대한 시험
600℃ 공정 적합성 시험은 Alwin21 Accuthermo610 RTP을 사용하여 수행되었다. 얇은 시트를 갖는 캐리어는 실온에서 600℃까지 9.5℃/min의 속도로 순환되는 챔버에서 가열되고, 600초 동안 600℃로 유지되며, 및 300℃까지 1℃/min로 냉각되었다. 상기 캐리어 및 얇은 시트는 그 다음 실온으로 냉각된다. 하기 표의 "600℃" 컬럼에서 "F"의 기호로 나타낸, 파손은: 만약 (a) 캐리어 및 얇은 시트 사이에 접착력의 상실 (육안으로 가시적 검사에 의함, 여기서 파손은, 만약 얇은 시트가 캐리어에서 떨어지거나 또는 그로부터 부분적으로 탈결합되었다면 발생된 것으로 간주함); (b) 캐리어 및 얇은 시트 사이에 버블링 (육안으로 가시적 검사에 의해 결정 - 샘플은 공정 전 및 후에 사진을 찍었고, 그 다음 비교되며, 파손은, 만약 결함이 육안으로 보이는 치수의 크기가 증가된 경우 발생된 것으로 결정됨); 또는 (c) 캐리어 및 얇은 시트 사이에 증가된 접착력이 있다면 발생된 것으로 간주되며, 이에 의해 이러한 증가된 접착력은 얇은 시트 또는 캐리어의 손상 없이 캐리어로부터 (얇은 시트 및 캐리어 사이에 면도날을 삽입하고, 및/또는 얇은 시트에 전술된 Kapton™ 테이프의 조각을 붙이며, 상기 테이프를 당겨서) 얇은 시트의 탈결합을 방지하고, 여기서, 파손은 만약 이들을 분리하기 위한 시도시 얇은 시트 또는 캐리어에 손상이 있었다면, 또는 얇은 시트 및 캐리어가 탈결합 방법들 중 하나의 수행에 의해 탈결합될 수 없다면, 발생된 것으로 간주된다. 부가적으로, 얇은 시트가 캐리어와 결합된 후, 및 열 순환 전에, 탈결합 시험은 어떤 연관된 표면 처리를 포함하는, 특정 물질이 온도 순환 전에 캐리어로부터 얇은 시트의 탈결합을 가능하게 하는 것을 결정하기 위해 대표 샘플에 대해 수행된다. 하기 표에서, "600℃" 컬럼에서 "P"의 기호는 샘플이 전술된 기준에 따라 파손되지 않았다는 것을 나타낸다.
(5) 초음파 시험
초음파 적합성 시험은 네 개의 탱크 라인에서 제품을 세정하여 수행되었고, 여기서 상기 제품은 탱크 #1 내지 탱크 #4로 순차적으로 각각의 탱크에서 가공처리되었다. 네 탱크 각각에 대한, 탱크 치수는 18.4"L x 10"W x 15"D이다. 두 개의 세정 탱크 (#1 및 #2)는 50℃에서 DI 수에서 Yokohama Oils and Fats Industry Co Ltd., Yokohama Japan로부터 이용 가능한 1% Semiclean KG를 함유한다. 세정 탱크 #1은 (Blackstone-NEY Ultrasonics, Jamestown, NY로부터 이용 가능한) NEY prosonik 2 104 kHz 초음파 발생기로 교반되고, 세정 탱크 #2는 NEY prosonik 2 104 kHz 초음파 발생기로 교반되었다. 두 헹굼 탱크 (탱크 #3 및 탱크 #4)는 50℃의 DI 수를 함유한다. 헹굼 탱크 #3는 NEY sweepsonik 2D 72 kHz 초음파 발생기에 의해 교반되고, 헹굼 탱크 #4는 NEY sweepsonik 2D 104 kHz 초음파 발생기로 교반되었다. 공정은 각각의 탱크 #1-4에서 10분 동안 수행된 다음, 상기 샘플이 탱크 #4로부터 제거된 이후에 스핀 헹굼 건조 (SRD)가 뒤따른다. 하기 표의 "초음파" 컬럼에서 "F"의 기호로 나타낸, 파손은: 만약 (a) 캐리어 및 얇은 시트 사이에 접착력의 상실 (육안으로 가시적 검사에 의함, 여기서 파손은, 만약 얇은 시트가 캐리어에서 떨어지거나 또는 그로부터 부분적으로 탈결합되었다면 발생된 것으로 간주함); (b) 캐리어 및 얇은 시트 사이에 버블링 (육안으로 가시적 검사에 의해 결정 - 샘플은 공정 전 및 후에 사진을 찍었고, 그 다음 비교되며, 파손은, 만약 결함이 육안으로 보이는 치수의 크기가 증가된 경우 발생된 것으로 결정됨); 또는 (c) 다른 광택 결함의 형성 (50x의 광학 현미경으로 가시적 검사에 의해 결정된 것으로, 여기서 파손은 만약 전에 관찰되지 않았던 얇은 유리 및 캐리어 사이에 포획된 입자가 있다면 발생된 것으로 간주됨); 또는 (d) 얇은 시트 아래로 물의 침투 (50x의 광학 현미경으로 가시적 검사에 의해 결정된 것으로, 여기서 파손은 만약 액체 또는 잔류물이 관찰 가능하였다면 발생된 것으로 결정됨)가 있었다면 발생된 것으로 간주된다. 하기 표에서, "초음파" 컬럼에서 "P"의 기호는 샘플이 전술된 기준에 따라 파손되지 않았다는 것을 나타낸다. 부가적으로, 하기 표에서, "초음파" 컬럼에서 블랭크는 샘플이 이 방식으로 시험되지 않은 것을 나타낸다.
결합 에너지 시험
결합 에너지는 캐리어로부터 얇은 시트를 분리하는데 소요되는 에너지이다. 상기 결합 에너지는 다양한 다른 방식으로 측정될 수 있다. 그러나, 여기서 사용된 바와 같은, 결합 에너지는 하기에 따라 측정되었다.
결합 에너지는 이중 외팔보 방법 (double cantilever beam method) (또한 웨지 방법 (wedge method)으로 알려짐)을 사용하여 측정하였다. 이 방법에서, 알려진 두께의 웨지는 에지에서 결합된 얇은 시트와 캐리어 유리 사이에 놓인다. 상기 웨지는 특징적인 박리 거리 (characteristics delamination distance), L을 생성한다. 이 박리 거리는 측정되고, 수학식 6에서 γBE인, 결합 에너지를 계산하는데 사용한다.
[수학식 6]
Figure pct00005
EXG 조성물의 캐리어 (1) 및 얇은 시트 (2) 모두에 대한, 영의 계수, E는 73.6 GPa이다. 상기 캐리어의 통상적 두께, ts1는 0.7 mm이고, 얇은 시트의 두께, ts2는 0.13 mm이다. Martor 37010.20 면도날은 95㎛의 두께, tw로 이루어진 웨지에 대해 사용되었다. 샘플은 매우 높은 결합 에너지를 가지며, 여기서 개별적인 웨지로 사전-균열된다. 이는 웨지의 더 쉬운 삽입 및 특징적인 박리 길이의 생성을 가능하게 한다. 보고된 결합 에너지 데이터에 대하여, 2500의 값은 얇은 시트가 그 특정 샘플에 대해 캐리어로부터 탈결합될 수 없는 시험-제한 조건을 나타낸다.
가열에 의한 하이드록실 감소를 통해 결합 표면의 준비
하나 이상의 결합 표면 (14, 24)을 표면 개질층 (30)으로 개질시키고, 그래서 제품 (2)이 FPD 공정 (즉, 여기서 얇은 시트 (20)는 공정 동안 캐리어 (10)에 결합된 채 남아 있지만, 여전히, 고온 공정을 포함하는, 공정 이후에 캐리어 (10)로부터 분리될 수 있다)을 성공적으로 견딜 수 있는 이점은, 유리 캐리어 (10) 및 얇은 유리 시트 (20)를 가지지만 그들 사이에 표면 개질층 (30)이 없는 제품 (2)을 가공처리하여 입증된다. 구체적으로, 먼저 하이드록실기를 감소시키기 위해 가열시켜, 표면 개질층 (30)이 없는, 결합 표면 (14, 24)의 제조는 시도된다. 캐리어 (10) 및 얇은 시트 (20)은 세정되고, 결합 표면 (14 및 24)은 서로 결합되며, 그 다음 제품 (2)은 시험되었다. 결합을 위해 유리를 준비하기 위한 통상적인 세정 공정은 SC1 세정 공정이고, 여기서 유리는 묽은 과산화수소 및 염 (보통 과산화암모늄이나, 테트라메틸암모늄 과산화 용액, 예를 들어, JT Baker JTB-100 또는 JTB-111이 또한 사용될 수 있다)에서 세정된다. 세정은 결합 표면으로부터 입자를 제거하고, 공지의 표면 에너지, 즉, 표면 에너지의 기준-선을 제공하는 표면 에너지를 만든다. 세정의 타입이 표면의 실라놀기에 대해 매우 작은 영향을 오직 가질 수 있기 때문에, 세정의 방식은 SC1일 필요는 없고, 다른 타입의 세정은 사용될 수 있다. 다양한 시험에 대한 결과는 하기 표 1에서 서술된다.
강하지만 분리 가능한 초기의, 실온 또는 반 데르 발스 및/또는 수소-결합은 100mm 제곱 x 100 micron 두께의 얇은 유리 시트 및 Eagle XG® 디스플레이 유리 (Corning Incorporated, Corning, NY으로부터 이용 가능한, 대략 0.2㎚인 평균 표면 거칠기 Ra를 갖는, 알칼리가-없는, 알루미노-보로-실리케이트 유리)를 각각 포함하는, 0.50 또는 0.63 mm 두께의 150mm 직경 단일 평균 평면 (single mean flat: SMF) 웨이퍼인 유리 캐리어를 간단히 세정하여 생성되었다. 본 실시 예에서, 유리는 40:1:2의 DI 수: JTB-111: 과산화수소의 65℃ 욕조에서 10분 동안 세정되었다. 얇은 유리 또는 유리 캐리어는 잔여물을 제거하기 위해 400℃에서 10분 동안 질소에서 어닐링될 수 있거나 또는 어닐링되지 않을 수 없다 - 하기 표 1에서 "캐리어" 컬럼 또는 "얇은 유리" 컬럼에서 "400C"는 샘플이 400℃에서 10분 동안 질소에서 어닐링되었다는 것을 나타낸다. FPD 공정 적합성 시험은 이러한 SC1-SC1 초기의, 실온, 결합이 진공, SRD 및 초음파 시험을 통과하기에 기계적으로 충분히 강하다는 것을 입증한다. 그러나, 400℃ 이상에서 가열은 얇은 유리 및 캐리어 사이에 영구 결합을 생성한다, 즉, 얇은 유리 시트는 얇은 유리 시트 및 캐리어 중 하나 또는 모두를 손상시키지 않고 캐리어로부터 제거될 수 없었다. 그리고 이것은 실시 예 1c에 대한 경우이고, 여기서 각각의 캐리어 및 얇은 유리는 표면 하이드록실의 농도를 감소시키기 위한 어닐링 단계를 갖는다. 따라서, 단독 가열을 통한 결합 표면 (14, 24)의 전술된 준비 및 그 다음 표면 개질층 (30) 없이, 캐리어 (10) 및 얇은 시트 (12)의 결합은, 온도가 ≥ 400℃일 수 있는 FPD 공정에 대해 적합하게 조절된 결합이 아니다. 표 1은 SC1-처리된 유리 결합 표면의 공정 적합성 시험이다.
Figure pct00006
하이드록실 감소에 의해 결합 표면의 준비 및 표면 개질층
예를 들어, 열 처리에 의한, 하이드록실 감소, 및 표면 개질층 (30)은 결합 표면 (14, 24)의 상호작용을 조절하기 위해 함께 사용될 수 있다. 예를 들어, 결합 표면 (14, 24)의 결합 에너지 (실온에서 반 데르 발스 및/또는 수소 결합 모두는 극성/분산 에너지 성분에 기인하고, 및 고온에서 공유 결합은 공유 에너지 성분에 기인하다)는, 실-온 결합이 고온 공정 후 결합 표면의 쉬운 실-온 결합 및 분리를 가능하게 하는 것이 어렵고, 고온 공정 후 - 손상 없이 표면이 분리되는 것을 방지하는 것이 어려운 것으로부터, 변하는 결합 강도를 제공하기 위해 조절될 수 있다. 몇몇 적용에서, (표면이 "비-결합" 영역은 하기에 기술된 바와 같고, US '727의 얇은 시트/캐리어 개념에 기재된 것으로, "비-결합" 영역에 있는 경우와 같은) 결합이 없거나 또는 매우 약한 것이 바람직할 수 있다. 다른 적용에서, 예를 들어, FPD 공정 및 이와 유사한 공정 (여기서 ≥ 500℃, 또는 ≥600℃, 및 650℃까지의 공정 온도은 달성될 수 있다)에 대해 재-사용 가능한 캐리어를 제공하여, 얇은 시트 및 캐리어를 함께 초기에 놓기 위해 실온에서, 충분한 반 데르 발스 및/또는 수소-결합을 갖고, 및 고온 공유 결합을 방지하거나 또는 제한하는 것이 바람직하다. 여전히 다른 적용에 대해, (표면이 "결합 영역"은 하기에 기술된 바와 같고, US '727의 얇은 시트/캐리어 개념에 기재된 것으로, "결합 영역"에 있는 경우와 같은) 얇은 시트 및 캐리어를 함께 초기에 놓고, 또한 고온에서 강한 공유 결합을 발달시키기 위해 충분한 실온 결합을 갖는 것이 바람직하다. 비록 이론에 한정되는 것을 원하지는 않지만, 몇몇 경우에서, 표면 개질층은 얇은 시트 및 캐리어가 초기에 함께 놓이는, 실온 결합을 조절하기 위해 사용될 수 있고, 반면에 (예를 들어, 표면을 가열하거나, 또는 표면 개질층과 하이드록실기의 반응에 의해) 표면상에 하이드록실기의 감소는 특히 고온에서 공유 결합을 조절하는데 사용될 수 있다.
표면 개질층 (30)에 대한 물질은 에너지 (예를 들어, 극성 및 분산 성분을 포함하고, 하나의 표면에 대해 측정된 것으로, < 40 mJ/㎡의 에너지)를 갖는 결합 표면 (14, 24)을 제공할 수 있고, 이에 의해 표면은 오직 약한 결합을 생산한다. 하나의 실시 예에서, 헥사메틸디실라잔 (HMDS)은 트리메틸실일 (TMS) 말단 표면에 남기기 위해 표면 하이드록실과 반응하여 이러한 낮은 에너지 표면을 생성하는데 사용될 수 있다. 표면 개질층으로 HMDS는 실온 및 고온 결합 모두를 조절하기 위해 하이드록실 농도를 감소하도록 표면 가열과 함께 사용될 수 있다. 각각 결합 표면 (14, 24)에 대한 적절한 결합 표면 준비를 선택하여, 다양한 용량을 갖는 제품이 달성될 수 있다. 좀 더 구체적으로, LTPS 공정에 대해 재사용 가능한 캐리어를 제공하는 것에 대한 이익 중에서, 각각의 진공 SRD, 400℃ (파트 a 및 c), 및 600℃ (파트 a 및 c), 공정 시험을 견디거나 (또는 통과)하기 위해 얇은 유리 시트 (20)와 유리 캐리어 (10) 사이에 적절한 결합이 달성될 수 있다.
하나의 실시 예에서, 얇을 유리 및 캐리어 모두의 HMDS 처리에 의해 SC1 세정 이후에, 반 데르 발스 (및/또는 수소 결합)력으로 실온에서 결합시키는 건 힘들어 약하게 결합된 표면을 생성한다. 기계적인 힘은 캐리어에 얇은 유리를 결합하는데 적용된다. 표 2의 실시 예 2a에 나타낸 바와 같이, 이 결합은, 캐리어의 휨 (deflection)이 진공 시험 및 SRD 공정에서 관찰되고, (탈가싱에 기인한) 버블링이 400℃ 및 600℃ 열 공정에서 관찰되며, 미립자 결함이 초음파 공정 후 관찰되어, 충분하게 약하다.
또 다른 실시 예에서, 단 하나의 표면 (인용된 실시 예에서 캐리어)의 HMDS 처리는 진공 및 SRD 공정을 견디는 더 강한 실온 접착력을 생성한다. 그러나, 400℃ 이상에서 열 공정은 캐리어에 얇은 유리를 영구적으로 결합시킨다. 실리카 상에 트리메틸실일기의 최대 표면 커버리지가 2.8/n㎡인 것으로 J. Phys. Chem. 1982, 86, 5208-5219에서 Sindorf 및 Maciel에 의해 계산되고, 전체 하이드록실화된 실리카에 대해 4.6-4.9/n㎡의 하이드록실 농도 대 2.7/n㎡로 Journal of Non-Crystalline Solids 316 (2003) 349-363에서 Suratwala 등에 의해 측정된 것으로, 이것은 예상 밖의 것이 아니다. 즉, 비록 트리메틸실일기가 약간의 표면 하이드록실과 결합할지라도, 약간의 비-결합된 하이드록실을 남아 있을 것이다. 따라서, 사람들은 제공된 충분한 시간 및 온도에서 얇은 유리와 캐리어를 영구적으로 결합시키는 표면 실라놀기의 축합 (condensation)을 예상할 것이다.
변화된 표면 에너지는, HMDS 노출 전에 표면 하이드록실 농도를 감소시키기 위해 유리 표면을 가열시켜 생성될 수 있어, 표면 에너지의 증가된 극성 성분을 유도한다. 이 모두는 고온에서 공유 Si-O-Si 결합의 형성을 위한 구동력을 감소시키고, 실-온 결합, 예를 들어, 반 데르 발스 (및/또는 수소) 결합을 더 강하게 유도한다. 도 4는 어닐링 이후, 및 HMDS 처리 후에, Eagle XG® 디스플레이 유리 캐리어의 표면 에너지를 나타낸다. HMDS 노출 전에 증가된 어닐링 온도는 극성 분포 (라인 (404))를 증가시켜 HMDS 노출 이후 총 (극성 및 분산) 표면 에너지 (라인 (402))를 증가시킨다. 이것은 또한 전체 표면 에너지에 대한 분산 기여 (라인 (406))가 열 처리에 의해 크게 변화되지 않고 남아 있는 것을 알 수 있다. 비록 이론에 제한되는 것을 원하지는 않지만, 극성 성분을 증가시키고, 이에 의해 HMDS 처리 후 표면에서 전체, 에너지는 HMDS에 의해 서브-단일층 TMS 커버리지 때문에 HMDS 처리 이후에도 약간의 노출된 유리 표면적에 기인하는 것으로 나타난다.
실시 예 2b에서, 얇은 유리 시트는 HMDS의 코팅을 갖는 비-열-처리된 캐리어와 결합 전에 1시간 동안 진공에서 150℃의 온도에서 가열되었다. 상기 얇은 유리 시트의 이 열 처리는 ≥ 400℃의 온도에서 캐리어에 얇은 유리 시트의 영구적 결합을 방지하는데 충분하지 않았다.
표 2의 실시 예 2c-2e에 나타낸 바와 같이, HMDS 노출 전에 유리 표면의 어닐링 온도의 변화는 유리 표면의 결합 에너지를 변화시킬 수 있어, 유리 캐리어 및 얇은 유리 시트 사이에 결합을 조절한다.
실시 예 2c에서, 상기 캐리어는 1시간 동안 진공에서 190℃의 온도로 어닐링되었고, 그 다음 표면 개질층 (30)을 제공하기 위해 HMDS 노출이 뒤따른다. 부가적으로, 상기 얇은 유리 시트는 캐리어와 결합 전에 1시간 동안 진공에서 450℃로 어닐링되었다. 최종 제품은 진공, SRD, 및 400℃ 시험을 견디지만 (파트 a 및 c, 그러나 파트 b는 증가된 버블링이 있었기 때문에 통과하지 못함), 600℃ 시험에서 파손되었다. 따라서, 비록 실시 예 2b와 비교하여 고온 결합에 대한 저항성이 있을지라도, 이것은 ≥ 600℃ 온도에서 공정 (예를 들어, LTPS 공정)에 대해 제품을 생산하기에는 충분하지 않고, 여기서 캐리어는 재사용 가능하다.
실시 예 2d에서, 캐리어는 1시간 동안 진공에서 340℃의 온도로 어닐링되었고, 그 다음 표면 개질층 (30)을 제공하기에 HMDS 노출이 수반된다. 다시, 상기 얇은 유리 시트는 캐리어와 결합 전에 진공에서 1시간 동안 450℃로 어닐링되었다. 그 결과는 실시 예 2c의 것과 유사하였고, 여기서 제품은 진공, SRD, 및 400℃ 시험을 견디지만 (파트 a 및 c, 그러나, 파트 b는 증가된 버블링이 있기 때문에 통과하지 못함), 600℃ 시험에서 파손되었다.
실시 예 2e에 나타낸 바와 같이, 1시간 동안 진공에서 450℃로 얇은 유리 및 캐리어 모두를 어닐링하고, 그 다음 캐리어의 HMDS이 수반되며, 및 그 다음 캐리어 및 얇은 유리 시트의 결합은, 영구적 결합에 대한 온도 저항성을 개선시킨다. 450℃에 두 표면의 어닐링은 10분 동안 600℃에서 RTP 어닐링 이후에 영구 결합을 방지한다, 즉, 이 샘플은 600℃ 공정 시험을 통과하였다 (파트 a 및 c, 파트 b는 증가된 버블링이 있었기 때문에 통과하지 못함; 유사한 결과는 400℃ 시험에 대해서 확인되었다). 표 2는 HMDS 표면 개질층의 공정 적합성 시험이다.
Figure pct00007
실시 예 2a 내지 2e에서, 각각의 캐리어 및 얇은 시트는 Eagle XG® 유리이고, 여기서 캐리어는 150 mm 직경의 SMF 웨이퍼 630 microns 두께이며, 얇은 시트는 100 mm 제곱의 100 microns 두께이다. 비록 표면 커버리지가 하나 미만의 단일층일 수 있을지라도, 즉, 표면 하이드록실의 몇몇은 Maciel에 의해 기록되고 전술된 바와 같이 HMDS에 의해 피복되지 않을지라도, HMDS는 (Yield Engineering Systems, San Jose CA로부터 이용 가능한) YES-5 HMDS 오븐에서 펄스 기상 침착에 의해 적용되었고, 하나의 원자층 두께 (즉, 약 0.2 내지 1㎚)이다. 작은 두께의 표면 개질층 때문에, 장치 제작에서 오염을 유발할 수 있는 탈가싱의 위험은 거의 없다. 또한, "SC1" 기호로 표 2에 나타낸 바와 같이, 각각의 캐리어 및 얇은 시트는 열 처리 또는 어떤 후속 HMDS 처리 전에 SC1 공정을 사용하여 세정되었다.
실시 예 2a와 실시 예 2b의 비교는 얇은 시트 및 캐리어 사이에 결합 에너지가 표면 개질층을 포함하는 표면의 수를 변화시켜 조절될 수 있는 것을 나타낸다. 그리고, 결합 에너지의 조절은 두 결합 표면 사이의 결합력을 조절하기 위해 사용될 수 있다. 또한, 실시 예 2b-2e의 비교는, 표면 개질 물질의 적용 전에 결합 표면에 적용되는 열 처리의 파라미터를 변화시켜 표면의 결합 에너지가 조절될 수 있다는 것을 나타낸다. 다시, 상기 열 처리는 표면 하이드록실의 수를 감소시키는데 사용될 수 있고, 따라서, 특히 고온에서 공유 결합도를 조절할 수 있다.
결합 표면상에 표면 에너지를 조절하기 위해 다른 방식으로 작용할 수 있는, 다른 물질은 표면 개질층 (30)에 대해 사용될 수 있어, 두 표면 사이에서 실온 및 고온 결합력을 조절한다. 예를 들어, 재사용 가능한 캐리어는 또한, 만약 하나 또는 둘의 결합 표면이 캐리어 및 얇은 시트 사이에 상승된 온도에서 강한 영구 공유 결합의 형성을 방지하기 위해 종들 (species) 예를 들어, 하이드록실을 입체적으로 방해하거나, 또는 피복하는 표면 개질층으로 적당한 결합력을 생성하기 위해 개질된다면, 생성될 수 있다. 조율 가능한 표면 에너지를 생성하고, 공유 결합의 형성을 방지하기 위해 표면 하이드록실을 피복하기 위한 하나의 방법은, 플라즈마 고분자 필름, 예를 들어, 불소중합체 필름의 침착이다. 플라즈마 중합은 소스 가스 (source gases), 예를 들어, (CF4, CHF3, C2F6, C3F6, C2F2, CH3F, C4F8, 염화불화탄소 (chlorofluoro carbons), 또는 수소염화불화탄소 (hydrochlorofluoro carbons)를 포함하는) 불화탄소 소스, 탄화수소, 예를 들어, (메탄, 에탄, 프로판, 부탄을 포함하는) 알칸, (에틸렌, 프로필렌을 포함하는) 알켄, (아세틸렌을 포함하는) 알킨, 및 (벤젠, 톨루엔을 포함하는) 방향족, 수소, 및 다른 가스 소스, 예를 들어, SF6로부터 상압 또는 감압 및 플라즈마 여기 (DC 또는 RF 평행판 (parallel plate), 유도 결합 플라즈마 (ICP), 전자 사이클로트론 공명 (ECR), 다운스트림 마이크로파 또는 RF 플라즈마) 하에서 얇은 고분자 필름을 침착시킨다. 플라즈마 중합은 고도로 가교된 물질의 층을 생성한다. 반응 조건 및 소스 가스의 조절은 표면 개질층 필름 두께, 밀도, 및 원하는 적용에 대한 작용기를 조정하기 위한 화학반응 (chemistry)을 조절하는데 사용될 수 있다.
도 5는 (Oxford Instruments, Oxfordshire UK로부터 이용 가능한) Oxford ICP380 에칭 도구로 CF4-C4F8 혼합물로부터 침착된 플라즈마 중합 불소중합체 (PPEP) 필름의 (극성 (라인 (504)) 및 분산 (라인 (506)) 성분을 포함하는) 총 (라인 (502)) 표면 에너지를 나타낸다. 상기 필름은 Eagle XG® 유리의 시트 상에 침착되었고, 분광 타원 편광 분석 (spectroscopic ellipsometry)은 필름이 1-10㎚ 두께인 것으로 나타낸다. 도 5로부터 알 수 있는 바와 같이, 40% 미만의 C4F8을 함유하는 플라즈마 중합 불소중합체 필름으로 처리된 유리 캐리어는 >40 mJ/㎡의 표면 에너지를 나타내고, 반 데르 발스 또는 수소 결합에 의한 실온에서 얇은 유리 및 캐리어 사이에 조절된 결합을 생산한다. 촉진된 결합 (Facilitated bonding)은 실온에서 캐리어 및 얇은 유리를 초기에 결합하는 경우 관찰된다. 즉, 캐리어 상에 얇은 시트를 놓고, 그 지점에서 이들을 서로 가압하는 경우, 파면 (wave front)은 캐리어를 가로질러 이동하지만, 그 위에 표면 개질층이 없는 SC1 처리된 표면에 대해 관찰된 것보다 더 낮은 속도로 이동한다. 조절된 결합은, 진공, 습식, 초음파, 및 600℃까지의 열 공정을 포함하는 모든 표준 FPD 공정을 견디기에 충분하다, 즉 이 조절된 결합은 캐리어로부터 얇은 유리의 움직임 또는 박리 없이 600℃ 공정 시험을 통과한다. 탈-결합은 전술된 바와 같은 면도날 및/또는 Kapton™ 테이프로 벗겨내어 달성된다. (전술된 바와 같이 침착된) 두 개의 다른 PPFP 필름의 공정 적합성은 표 3에서 나타낸다. 실시 예 3a의 PPFP 1은 C4F8/(C4F8+CF4)=0으로 형성, 즉, C4F8가 아닌 CF4/H2로 형성되고, 및 실시 예 3b의 PPFP 2는 C4F8/(C4F8+CF4)=0.38로 침착되었다. PPFP 필름의 타입 모두는 진공, SRD, 400℃ 및 600℃ 공정 시험을 견디었다. 그러나, 박리는 PPFP 2의 초음파 세정 20분 후 관찰되어 이러한 공정을 견디기에 불충분한 접착력을 나타낸다. 그럼에도 불구하고, PPFP2의 표면 개질층은, 초음파 공정이 필수적이지 않은, 몇몇 적용에 대해 유용할 수 있다. 표 3은 PPFP 표면 개질층의 공정 적합성 시험이다.
Figure pct00008
실시 예 3a 및 3b에서, 각각의 캐리어 및 얇은 시트는 Eagle XG® 유리이고, 여기서 상기 캐리어는 150mm 직경의 SMF 웨이퍼 630 microns 두께이며, 얇은 시트는 100 mm 제곱의 100 microns 두께이다. 표면 개질층의 작은 두께 때문에, 장치 제작에서 오염을 발생할 수 있는 탈가싱의 위험은 거의 없다. 더욱이, 표면 개질층이 분해되는 것으로 나타나지 않기 때문에, 탈가싱의 위험은 훨씬 적다. 또한, 표 3에 나타낸 바와 같이, 각각의 얇은 시트는 진공에서 1시간 동안 150℃로 열 처리 전에 SC1 공정을 사용하여 세정된다.
표면 에너지를 조절하기 위한 다른 방식에서 기능할 수 있는, 또 다른 물질은, 얇은 시트 및 캐리어 사이에 실온 및 고온 결합력을 조절하기 위해 표면 개질층으로 사용될 수 있다. 예를 들어, 조절된 결합을 생산할 수 있는 결합 표면은 유리 캐리어 및/또는 유리 얇은 시트를 실란 처리하여 생성될 수 있다. 실란은 적절한 표면 에너지를 생산하기 위해, 및 적용에 대한 충분한 열안정성을 갖기 위해 선택된다. 처리될 캐리어 또는 얇은 유리는 표면 실라놀기와 반응하는 실란을 방해할 유기물 및 다른 불순물 (예를 들어, 금속)을 제거하기 위한 공정, 예를 들어, O2 플라즈마 또는 UV-오존, 및 SC1 또는 표준 세정 2 (기술분야에서 알려진 것으로, SC2) 세정으로 세정될 수 있다. 다른 화학반응에 기초한 세척은 예를 들어, HF, 또는 H2SO4 세척 화학반응이 사용될 수 있다. 캐리어 또는 얇은 유리는 (HMDS의 표면 개질층과 연관하여 전술된 바와 같이) 실란 적용 전에 표면 하이드록실 농도를 조절하기 위해 가열될 수 있고, 및/또는 표면 하이드록실과 실란 축합을 완성하기 위한 실란 적용 후에 가열될 수 있다. 실란화 (silanization) 이후에 미반응 하이드록실기의 농도는, ≥ 400℃의 온도에서 얇은 유리 및 캐리어 사이에 영구적 결합을 방지하기 위해, 즉, 조절된 결합을 형성하기 위해 결합 전 충분히 낮게 만들 수 있다. 이 접근법은 하기에 기재된다.
실시 예 4a
02 플라즈마 및 SC1 처리된 결합 표면을 갖는 유리 캐리어는 그 다음 톨루엔 내의 1% 도데실트리에톡시실란 (DDTS)으로 처리되고, 축합을 완성하기 위해 1시간 동안 진공에서 150℃로 어닐링된다. DDTS 처리된 표면은 45 mJ/㎡의 표면 에너지를 나타낸다. 표 4에서 나타낸 바와 같이, (SC1 세정되고 1시간 동안 진공에서 400℃로 가열된) 유리 얇은 시트는 그 위에 DDTS 표면 개질층을 갖는 캐리어 결합 표면에 결합되었다. 이 제품은 습식 및 진공 공정 시험을 견디지만 실란의 열분해에 기인하여 캐리어 아래에서 형성하는 버블 없이 400℃ 이상의 열 공정을 견디지 못한다. 이 열분해는, 모든 선형 알콕시 및 클로로 알킬실란 R1xSi(OR2)y(Cl)z에 대해 예상되며, 여기서 우수한 열 안정성의 코팅을 생산하는 메틸, 디메틸, 및 트리메틸 실란 (x=1 내지 3, R1=CH3)을 제외한, x=1 내지 3이고, y+z = 4-x이다.
실시 예 4b
02 플라즈마 및 SC1 처리된 이의 결합 표면을 갖는 유리 캐리어는 톨루엔 내의 1% 3,3,3-트리플루오로프로필트리메톡시실란 (TFTS)으로 처리되고, 축합을 완성하기 위해 1시간 동안 진공에서 150℃에서 어닐링되었다. TFTS 처리된 표면은 47 mJ/㎡의 표면 에너지를 나타낸다. 표 4에서 나타낸 바와 같이, (SC1 세정되고, 1시간 동안 진공에서 400℃로 가열된) 유리 얇은 시트는 그 위에 TFTS 표면 개질층을 갖는 캐리어 결합 표면에 결합되었다. 이 제품은 유리 캐리어에 유리 얇은 시트의 영구 결합 없이 진공, SRD, 및 400℃ 공정 시험을 견디었다. 그러나, 600℃ 시험은 실란의 열분해에 기인하여 캐리어 아래에 버블 형성을 생산하였다. 이는 프로필기의 제한된 열안정성 때문인 것으로 예상치 못했다. 비록 이 샘플이 버블링에 기인하여 600℃ 시험에서 파손될지라도, 본 실시 예의 물질 및 열 처리는 몇몇 적용을 위해 사용될 수 있고, 여기서 버블 및 이의 역효과, 예를 들어, 표면 평탄도의 감소, 또는 증가된 파형 (waviness)은, 용인될 수 있다.
실시 예 4c
02 플라즈마 및 SC1 처리된 이의 결합 표면을 갖느 유리 캐리어는 톨루엔 내의 1% 페닐트리에톡시실란 (PTS)으로 처리되었고, 축합을 완성하기 위해 1시간 동안 진공에서 200℃로 어닐링되었다. PTS 처리된 표면은 54 mJ/㎡의 표면 에너지를 나타낸다. 표 4에서 나타낸 바와 같이, (SC1 세정되고, 그 다음 1시간 동안 진공에서 400℃로 가열된) 유리 얇은 시트는 PTS 표면 개질층을 갖는 캐리어 결합 표면에 결합되었다. 이 제품은 유리 캐리어와 유리 얇은 시트의 영구적 결합 없이 진공, SRD, 및 600℃까지의 열 공정을 견디었다.
실시 예 4d
02 플라즈마 및 SC1 처리된 이의 결합 표면을 갖는 유리 캐리어는 톨루엔 내의 1% 디페닐디에톡시실란 (DPDS)으로 처리되었고, 축합을 완성하기 위해 1시간 동안 진공에서 200℃로 어닐링되었다. DPDS 처리된 표면은 47 mJ/㎡의 표면 에너지를 나타낸다. 표 4에서 나타낸 바와 같이, (SC1 세정되고, 그 다음 1시간 동안 진공에서 400℃로 가열된) 유리 얇은 시트는 DPDS 표면 개질층을 갖는 캐리어 결합 표면에 결합되었다. 이 제품은 유리 캐리어와 유리 얇은 시트의 영구적 결합 없이 600℃까지의 열 공정뿐만 아니라, 진공 및 SRD 시험도 견디었다.
실시 예 4e
02 플라즈마 및 SC1 처리된 이의 결합 표면을 갖는 유리 캐리어는 그 다음 톨루엔 내의 1% 4-펜타플루오로페닐트리에톡시실란 (PEPTS)으로 처리되었고, 축합을 완성하기 위해 1시간 동안 진공에서 200℃로 어닐링되었다. PFPTS 처리된 표면은 57 mJ/㎡의 표면 에너지를 나타낸다. 표 4에서 나타낸 바와 같이, (SC1 세정되고, 그 다음 1시간 동안 진공에서 400℃로 가열된) 유리 얇은 시트는 PEPTS 표면 개질층을 갖는 캐리어 결합 표면에 결합되었다. 이 제품은 유리 캐리어와 유리 얇은 시트의 영구적 결합 없이 600℃까지의 열 공정뿐만 아니라, 진공 및 SRD 시험을 견디었다. 표 4는 실란 표면 개질층의 공정 적합성 시험이다.
Figure pct00009
실시 예 4a 내지 4e에서, 각각의 캐리어 및 얇은 시트는 Eagle XG® 유리이고, 여기서 상기 캐리어는 150 mm 직경의 SMF 웨이퍼 630 microns 두께이고, 얇은 시트는 100 mm 제곱 100 microns 두께이다. 실란층은 자가-조립 단일층 (SAM)이고, 따라서 대략 2㎚ 미만의 두께이다.전술된 실시 예에서, SAM은 아릴 또는 알킬 비-극성 테일 및 모노, 디, 또는 트리-알콕사이드 헤드 기를 갖는 유기실란을 사용하여 생성되었다. 이들은 유기 기능성을 직접적으로 부착시키기 위해 유리 상의 실라놀 표면과 반응한다. 비-극성 헤드 기들 사이에 약한 상호작용은 유기층을 구조화시킨다. 표면 개질층의 작은 두께 때문에, 장치 제작에서 오염을 유발할 수 있는 탈가싱의 위험은 거의 없다. 더욱이, 표면 개질층이 실시 예 4c, 4d, 및 4e에서 분해되는 것으로 나타나지 않기 때문에, 탈가싱의 위험은 훨씬 적다. 또한, 표 4에서 나타낸 바와 같이, 각각의 유리 얇은 시트는 진공에서 1시간 동안 400℃로 열 처리하기 전에 SC1 공정을 사용하여 세정되었다.
실시 예 4a-4e의 비교로부터 알 수 있는 바와 같이, 초기 실온 결합이 용이하게 하도록 40 mJ/㎡ 이상으로 결합 표면의 표면 에너지를 조절하는 것은 FPD 공정을 견디고 얇은 시트가 손상 없이 캐리어로부터 제거되는 것이 가능하게 하는, 조절된 결합을 생성하기 위한 유일한 고려사항이 아니다. 구체적으로, 실시 예 4a-4e로부터 알 수 있는 바와 같이, 각 캐리어는 제품이 진공 및 SRD 공정을 견디도록 초기 실온 결합을 용이하게 하는 40 mJ/㎡ 이상의 표면 에너지를 갖는다. 그러나, 실시 예 4a 및 4b는 600℃ 공정 시험을 통과하지 못한다. 전술된 바와 같이, 어떤 적용에 대하여, 이것은 또한 고온 (예를 들어, 제품이 사용되는 것으로 설계된 공정에 적절한 바와 같은, ≥ 400℃, ≥ 500℃, 또는 ≥600℃, 650℃까지)까지의 공정을 결합이 그 지점에 대한 결합의 분해 없이 견디는데 중요한데, 여기서 이것은 얇은 시트 및 캐리어 함께 유지하고, 또한 얇은 시트 및 캐리어 사이에 영구적 결합이 없도록 이러한 고온에서 발생하는 공유 결합을 조절하는데 불충분하다. 표 4에서 실시 예에 의해 나타낸 바와 같이, 방향족 실란, 특히 페닐 실란은, 초기 실온 결합을 용이하게 하고, 및 FPD 공정을 견디지만 여전히 얇은 시트가 손상 없이 캐리어로부터 제거되는 것을 가능하게 하는 조절된 결합을 제공하는데 유용하다.
탄화불소 표면 개질층, 및 이의 처리
결합 표면의 표면 에너지를 조율하고, 및 결합 표면상에 선택적인 극성 결합을 생성하기 위해 플라즈마 중합 필름을 사용하는 또 다른 실시 예는, 탄화불소 가스 소스의 혼합물로부터 표면 개질층 박막의 침착, 및 그 다음 다양한 방법들을 사용하여 표면 개질층 상에 질소 기반 극성기를 형성하는 것이다.
상기 표면 개질층은, 3개의 다른 시험 액체 (이 경우에서, 탈-이온수 (물), 헥사데칸 (HD), 및 디-요오드메탄 (DIM))의 접촉각 (CA)에 대해 S. Wu (1971)에 의해 개발된 이론적 모델에 적합하게 계산된 것으로 약 50 mJ/㎡을 초과하는 표면 에너지를 포함하는, 여러 가지의 표면 에너지를 제공하기 위하여 탄화불소 가스 소스의 다양한 혼합물의 플라즈마-중합에 의해 형성될 수 있다. (참조문헌: S. Wu, J. Polym. Sci. C, 34, 19, 1971, 이하 "Wu 모델"). 캐리어 결합 표면상에 약 50 mJ/㎡을 초과하는 표면 에너지는 얇은 유리 시트에 캐리어를 결합시키는데 이로운데, 이는 얇은 유리 시트에 캐리어의 초기 실-온 결합을 용이하게 하고, 공정 중에 이들의 탈결합 없이 캐리어/얇은 유리 시트의 FPD 공정을 가능하게 하기 때문이다. 몇몇 경우에서, 표면 개질층 조성물 및 침착 조건에 의존하여, 이 표면 에너지를 갖는 표면 개질층은, 약 600℃까지, 몇몇 경우에서 더 높은 온도에서 캐리어 및 얇은 유리 시트를 가공처리한 후에도, 벗겨내기 (peeling)에 의해 탈결합을 가능하게 할 수 있다. 일반적으로, 소스 가스는 에칭 가스 및 고분자 형성 가스의 혼합물을 포함한다. 도 5와 관련하여 전술된 바와 같이, 상기 에칭 가스는 CF4일 수 있고, 반면에 상기 고분자 형성 가스는 C4F8일 수 있다. 선택적으로, 도 13에 도시된 바와 같이, 상기 에칭 가스는 CF4일 수 있고, 반면에 상기 고분자 형성 가스는 CHF3일 수 있다. 도 5 및 도 13 모두에 도시된 바와 같이, 일반적으로, 고분자 형성 가스의 퍼센트가 더 낮을수록, 최종 결합 표면의 전체 표면 에너지 (502, 1312)는 더 높아지고, 여기서 상기 전체 표면 에너지는 극성 (504, 1314) (삼각 데이터 점) 및 분산 (506, 1316) (사각 데이터 점) 성분의 조합이다. 상기 플라즈마-중합 동안 고분자 형성 가스 (예를 들어 CHF3)의 퍼센트는, mJ/㎡로 전체 표면 에너지를 나타내는 도 13a에 도시된 바와 같이, 불활성 가스 (예를 들어 Ar)를 사용하여, 최종 표면 에너지를 조절하기 위해, 유사한 방식으로 조절될 수 있다. 비록 이론에 제한되는 것을 원하지 않을지라고, 상기 불활성 가스는 에칭제, 희석제, 또는 모두로 작용할 수 있다. 아무튼, 가스 스트림에 어떤 CF4 없이 CHF3 단독에 의해 캐리어 유리의 표면 에너지를 개질할 수 있는 것은 명백하다. 상기 표면 개질층의 침착은 상압 또는 감압에서 일어날 수 있고, 플라즈마 여기 (excitation), 예를 들어, DC 또는 RF 평행판 (parallel plate), 유도 결합 플라즈마 (ICP), 전자 사이클로트론 공명 (ECR), 다운스트림 마이크로파 또는 RF 플라즈마로 수행된다. 플라즈마 중합 표면 개질층은 캐리어, 얇은 시트, 또는 모두에 침착될 수 있다. 표 3의 실시 예와 연관하여 전술된 바와 같이, 플라즈마-중합은 고도로 가교된 물질의 층을 생성한다. 반응 조건 및 소스 가스의 조절은 표면 개질층 필름 두께, 밀도, 및 원하는 적용에 대한 작용기를 조정하기 위한 화학반응을 조절하는데 사용될 수 있다. 그리고, 막 특성을 조절하여, 캐리어 결합 표면의 표면 에너지는 조율될 수 있다. 그러나, 표면 에너지는 결합도를 조절하는데 단지 하나의 고려사항이다.
조절된 결합도, 또는 적절한 결합도는, 원하는 표면 에너지를 달성하는데 사용된 극성 결합을 조절하여 더욱 조율될 수 있다. 극성 결합을 조절하는 하나의 방식은 극성기를 혼입하기 위해 또 다른 처리, 예를 들어, 질소 함유 플라즈마에 의한 처리에 (상기에서 형성된 바와 같은) 표면 개질층을 노출시키는 것이다. 이 처리는 얇은 표면 개질층 상에 질소-계 극성 작용기의 형성을 통해 접착력을 증가시킨다. 후속 처리 동안 형성된, 질소계 극성기는 영구 공유 결합을 유발하는 실라놀기와 축합하지 않으며, 따라서, 얇은 시트 상에 필름 또는 구조물을 배치하기 위해 수행된 후속 처리 동안 얇은 시트 및 캐리어 사이에 결합도를 조절할 수 있다. 질소계 극성기를 형성하는 방법은, 예를 들어, 질소 플라즈마 처리 (실시 예 5b-d, k, l), 암모니아 플라즈마 처리 (실시 예 5e, f, h-j), 및 질소/수소 플라즈마 처리 (실시 예 5m)를 포함한다.
질소-함유 플라즈마로 처리된 표면 개질층으로 결합된 얇은 유리 시트 및 유리 캐리어는 600℃에서 어닐링 후에 영구적으로 부착하지 않는 것으로 관찰되었다, 즉, 이들은 600℃ 온도 시험의 파트 (c)를 통과한다. 또한, 이 적당한 결합은 (전술된 진공 시험 (1), 습식 공정 시험 (2), 및 초음파 시험 (5)을 포함하는) FPD 공정을 견디기에 충분히 강하고, 충분한 박리력 (peeling force)의 적용에 의해 탈-결합 가능하게 유지된다. 탈-결합은 얇은 유리 상에 제작된 장치의 제거, 및 캐리어의 재-사용을 허용한다. 표면 개질층의 질소 플라즈마 처리는 하나 이상의 다음의 장점을 얻을 수 있다: 초기 결합 후 최소 버블 결함으로 얇은 시트 및 캐리어 사이에 강한 접착력 (adherence)을 유도하는, 높은 표면 에너지 및 낮은 수 접촉각 (실시 예 5b-f, 및 i-l참조); 표면 개질층의 개선된 열 안정성에 기인한, 열 공정 시 결함 형성의 감소 (실시 예 5c, 5d, 5k, 5l, 즉, N2로 처리된 샘플은, 가시적으로 관찰된 바와 같이, 더 낮은 버블 형성을 나타낸다); 및/또는 표면 개질층 형성의 분리 및 이의 처리가 캐리어/표면 개질층뿐만 아니라 표면 개질층/얇은 유리 계면을 최적화하기 위한 다른 공정을 허용하기 때문에 더 용이한 공정 창 (process window) (실시 예 5b-f 및 h-m). 즉, 표면 개질층 자체에 대한 침착 공정 및 기본 물질은 표면 개질층 및 캐리어 결합 표면 사이에서 상호작용을 최적화하기 위해 만들어 낼 수 있다. 그 다음, 개별적으로, 캐리어 상에 표면 개질층의 침착 이후에, 표면 개질층의 특성은 그 위에 배치되는 얇은 시트와 표면 개질층의 상호작용을 최적화하기 위한 처리에 의해 변경될 수 있다.
하기 표 5의 실시 예에서, 다양한 조건은 유리 캐리어 상에 플라즈마 중합 필름을 침착하는데 사용되었다. 상기 유리 캐리어는 (Corning Incorporated, Corning NY로부터 이용 가능한) 알루미노 보로실리케이트 알칼리가-없는 디스플레이 유리인, Corning® Eagle XG®로 만든 기판이다. 표면 개질층 침착 전에, 상기 캐리어는 SC1 및/또는 SC2 화학반응 및 표준 세정 기술을 사용하여 세정된다. 필름은 코일 및 플래튼 (platen) 모두에 대해 13.56 MHz RF 소스로 Oxford Plasmalab 380 유도 결합 플라즈마 (ICP) 시스템에서 침착되었고, 플래튼 온도는 30℃에서 고정되었다. 샘플 5a-5j에 대한 표면 개질층의 질소 및 암모니아 플라즈마 처리는 삼극 전극 구성 모드 (triode electrode configuration mode)를 갖는 (SPTS, Newport, UK로부터 이용 가능한) STS Multiplex PECVD 장치에서 수행되었고, 여기서 캐리어는 380 kHz RF 에너지의 특정 수의 왓트 (Watts)가 인가되는 200℃로 가열된 플래튼 상에 놓고, 상기 플래튼 위에 13.5 MHz RF 에너지의 특정 수의 왓트가 인가되는 샤워 헤드 (shower head)가 배치되었다. Oxford ICP 및 STS PECVD 모두에 인가된 에너지에 대하여, 숫자는 #/#W로 나타내고, 여기서 사선 전에 숫자는 상부 전극 (ICP 상에 코일, 또는 PECVD 상에 샤워 헤드)에 인가된 왓트수 (Wattage)이고, 사선 이후에 숫자는 플래튼에 인가된 왓트수이다. 여기서 오직 하나의 숫자를 나타내는 경우, 이는 상부 전극에 대한 것이다. 챔버로 가스의 유속은 표 5에 나타낸 바와 같다 (유속은 분당 표준 입방 센티미터 - sccm이다). 따라서, 예를 들어, 실시 예 5g에 대한 표 5의 "표면 처리" 컬럼에서 기호는 다음과 같이 판독된다: Oxford ICP 장치에서, 30 sccm의 CF4, 10 sccm의 C4F8, 및 20 sccm의 H2는 5 mTorr 압력을 갖는 챔버로 함께 흐르고; 1000 W의 13.5 MHz RF 에너지는 코일에 인가되고, 50 W의 13.56 MHz RF 에너지는 캐리어가 놓인 30℃ 플래튼에 인가되며; 및 침착 시간은 60초이다. 나머지 실시 예에 대한 표면 처리 컬럼에서 기호는 유사한 방식으로 판독될 수 있다. 또 다른 실시 예로서, "플라즈마 처리" 컬럼에서, 실시 예 5h에서의 처리에 대한 기호는 다음과 같이 판독된다: 표면 개질층이 실시 예 5h의 표면 처리 컬럼에서의 파라미터에 따라 형성된 후, 그 다음 100 sccm의 NH3이 1 Torr의 압력, 및 200℃의 온도를 갖는 STS PECVD 챔버에 공급되고; 13.56 MHz의 100 W는 샤워 헤드로 인가되고; 및 처리는 30초 동안 수행된다. 나머지 실시 예에 대한 "플라즈마 처리" 컬럼에서 기호는 유사한 방식으로 판독된다. 극성 및 분산 성분 모두인, 표면 에너지는 3개의 다른 시험 액체 (이 경우에서, 탈-이온수 (물), 헥사데칸 (HD), 및 디-요오드메탄 (DIM))의 접촉각 (CA) 및 Wu 모델을 사용하여 mJ/㎡ (제곱 미터당 밀리줄)로 계산된다. 표면 에너지에 대하여, 극성 (P) 및 분산 (D) 성분뿐만 아니라 전체 (T)를 나타낸다.
Figure pct00010
표 5의 실시 예 5b-5f 및 5h-5l에서, 질소계 극성기는 표면 개질층 상에 형성되고, 여기서 이들 극성기는 FPD 공정을 견디기에 충분히 강하지만 탈결합을 허용하기에 충분히 약한 일시적 결합을 생성하기 위해 캐리어 및 얇은 시트 (예를 들어, 유리 캐리어 및 유리 얇은 시트) 사이에 적절한 접착력을 생성한다. 처리 후에, 표면 개질층의 표면상에 극성기 농도는 대부분의 표면 개질층에서보다 더 크다.
NH3 플라즈마에 의한 처리의 실시 예 (5e, f, 및 h-j)
적당한 표면 에너지 SML은 1500W 코일 및 50W 플래튼 (platen) RF 전력 (대조 실시 예 5a)으로 5mT에서 30 sccm CF4 10 sccm C4F8 20 sccm H2, 및 1000W 코일 및 50W 플래튼 RF 전력 (대조 실시 예 5g)으로 5mT에서 30 sccm CF4 10 sccm C4F8 20 sccm H2로부터 ICP 플라즈마 시스템에서 침착되었다. 미처리된 불소중합체 필름의 표면 에너지는 표 5에 나타낸다. 샘플은 STS PECVD 시스템으로 이동되고, 표 5에 열거된 조건으로 암모니아 플라즈마에 노출되었다 (실시 예 5e, 5f, 5h-j). Wu 수학식에 의해 DI 수 및 헥사데칸으로 측정된 바와 같은 표면 장력은 암모니아 플라즈마 조건에 의존하여 약 40 mJ/㎡로부터 약 65-80 mJ/㎡으로 증가된다. 얇은 유리 시트는 각각의 이들 NH3 플라즈마 개질 샘플에 결합되었다. 600℃ 온도 시험 이후에, 버블 면적에서 변화는 가시적으로, 거의 관찰되지 않았고 (정규 탈가싱 시험은 수행되지 않음), 및 모든 이들 샘플에서 얇은 유리 시트는 손으로 쉽게 탈-결합된다.
N2 플라즈마에 의한 처리의 실시 예 (5c, d, k, l)
적당한 표면 에너지 SML은 1500W 코일 및 50W 플래튼 RF 전력 (대조 실시 예 5a)으로 5mT에서 30 sccm CF4 10 sccm C4F8 20 sccm H2, 및 1000W 코일 및 50W 플래튼 RF 전력 (대조 실시 예 5g)으로 5mT에서 30 sccm CF4 10 sccm C4F8 20 sccm H2로부터 ICP 플라즈마 시스템에서 침착되었다. 미처리된 불소중합체 필름의 표면 에너지는 표 5에 나타낸다. 샘플 5c, d, k, l는 표 5에 열거된 조건으로 ICP 시스템에서 인-시튜 처리된 N2 플라즈마이다. 표면 에너지는 플라즈마 조건에 의존하여 약 40mJ/㎡로부터 70 mJ/㎡로 증가한다. 얇은 유리 시트는 각각의 이들 샘플에 결합되었다. 샘플 모두의 얇은 유리 시트는 600℃ 온도 시험 이후에 손으로 쉽게 탈-결합되었다.
N2 및 H2 플라즈마 동시 처리의 실시 예 (5m).
적당한 표면 에너지 SML은 1500W 코일 및 50W 플래튼 RF 전력으로 5mT에서 30 sccm CF4 10 sccm C4F8 20 sccm H2로부터 ICP 플라즈마 시스템에서 침착되었다 (대조 실시 예 5a). 미처리된 불소중합체의 표면 장력은 표 5에 나타낸다. 샘플 5m은 표 5에 열거된 조건으로 ICP 시스템에서 인-시튜 N2+H2 플라즈마 동시 처리에 적용되었다. 표면 에너지는 미처리된 불소중합체 필름과 달리 나타나지 않는다.
N2 및 H2 플라즈마의 순차적 처리의 실시 예 (5b)
적당한 표면 에너지 SML은 1500W 코일 및 50W 플래튼 RF 전력으로 5mT에서 30 sccm CF4 10 sccm C4F8 20 sccm H2로부터 ICP 플라즈마 시스템에서 침착되었다 (대조 실시 예 5a). 미처리된 불소중합체의 표면 에너지는 표 5에 나타낸다. 이 샘플은 그 다음 표 5에 열거된 조건으로 ICP 시스템에서 인-시튜 처리된 순차적인 N2 및 H2 플라즈마에 적용되었다. 표면 에너지는 70 mJ/㎡ 이상으로 상승하였다. 이 값은 암모니아 또는 질소 플라즈마로 얻어진 값과 유사하다. 얇은 유리 시트는 이 샘플에 결합되고, 600℃ 온도 시험을 수행하였고, 그 후에 얇은 유리 시트는 캐리어로부터 탈-결합될 수 있었다, 즉, 이 샘플은 600℃ 공정 시험의 파트 (c)를 통과한다.
XPS 데이터는 표면 개질층 상에 암모니아 및 질소 플라즈마 처리의 영향을 나타낸다. 특히, 암모니아 플라즈마 처리는 표면 개질의 탄소 함량을 대략 반으로 줄이고, 약 4분의 1까지 불소 농도를 축소시키며, 약 0.4% 질소를 부가한다. 실리콘, 산소, 및 다른 유리 구성분은, 표면에 소량의 질소 종들을 부가하면서 불소중합체를 제거하는 암모니아 플라즈마와 일치하게, 또한 증가시키는 것을 알 수 있다. 질소 플라즈마 처리는 2%까지 질소 함량을 증가시키지만, 또한 암모니아와 유사하게 탄소 및 불소 함량을 감소시킨다. 실리콘, 산소 및 다른 유리 구성분은 또한 필름 두께의 감소와 일치하게 증가한다. 따라서, 암모니아 및 질소 플라즈마 처리는 표면 개질층에 극성기를 첨가하지만, 또한 표면층 두께를 감소시키는 것으로 나타난다. 표면 개질층의 최종 두께는 일반적으로 20㎚ 미만이다. 따라서, 효과적인 표면 개질층은 일반적으로 조절된 결합을 달성하기 위해 후속 표면 처리 시간과 표면 개질층 두께의 균형을 이룰 것이다.
전술된 바와 같이, 표 5의 실시 예에 따라 캐리어에 결합된 얇은 유리 시트는, (Corning Incorporated, Corning NY로부터 이용 가능한) 알루미노 보로실리케이트 알칼리가-없는 유리인, Corning® Willow® 유리로부터 만들어진 기판이고, 및 100, 130, 및 150 microns의 두께를 갖는다. 결합 전에, Willow® 유리는 산소 플라즈마를 사용하여 세정되고, 그 다음 SC1 및/또는 SC2 화학반응 및 표준 세정 기술이 수반된다.
표 5의 실시 예에서, 비록 표면 개질층이 배치되는 결합 표면이 유리일지라도, 그것은 그럴 필요는 없다. 대신에, 결합 표면은 유리와 같은 유사한 표면 에너지 및 특성을 갖는 또 다른 적절한 물질, 예를 들어, 실리콘, 폴리실리콘, 단결정 실리콘, 세라믹, 유리-세라믹, 사파이어, 또는 석영일 수 있다.
유리 결합 표면의 결합 에너지를 조절하기 위해, 20㎚ 두께 미만인, 플라즈마 중합 불소중합체 표면 개질층의 사용은, 표 3 및 5의 실시 예에서 보여준다. 그 위에 표면 개질층을 갖는 이러한 유리 캐리어에 유리 얇은 시트의 초기 결합은 유리에 유리를 결합하는 것과 유사하고; 결합 전면은 얇은 시트와 코팅된 유리 캐리어 사이에 강한 인력 상호작용에 기인하여 빠르게 움직인다. 이 인력 상호작용의 물리적 근원은, 수소-결합된 물 분자가 있거나 또는 없이, 얇은 유리 시트 (대부분 실라놀기) 상에 극성기와 캐리어의 표면 개질층 상에 극성기 사이에 쌍극자-쌍극자 (dipole-dipole) (Keesom) 상호작용이다. 불소중합체 표면 개질 처리는, 그러나, 장치 제작을 위해 연관된 600℃까지 온도에서 캐리어에 얇은 시트의 영구 결합을 방지한다. 두꺼운 유리의 산 박화 (acid thinning)를 낮게 산출하기 위한 강제 원가 우위 (compelling cost advantage)를 제공하기 위해, 캐리어는 재사용하는 것이 필요하다. 이는 불소중합체 침착 공정이 캐리어 표면을 에칭함에 따라, 불소화된 표면 개질층을 사용하는 경우 문제가 있다. 캐리어의 재-사용이 이들 표면 개질층으로 입증되었을지라도, 표면 거칠기는 0.3㎚로부터 약 1.2㎚ Ra로 증가한다. 이러한 거칠기에서 증가는 결합 구역의 제한에 기인하여 (표면 개질층의 침착, 제거, 및 재-침착 후에 재사용되는 캐리어 상에) 결합 에너지를 감소시켜 캐리어 재사용성에 영향을 미칠 수 있고, 또한, 표면 거칠기 증가는 새로운 유리의 거칠기에 대한 사양을 충족시키지 못해, 디스플레이 기판으로 캐리어 자체를 사용하는 것과 같은, 다른 적용에서 캐리어 재사용을 제한할 수 있다. >300℃의 온도에서 얇은 유리 시트 및 캐리어의 결합된 쌍을 어닐링한 후에, 거칠기는 얇은 유리 시트의 결합 표면 측면 상에서 유발되는 것으로 관찰되었다. 얇은 시트 결합 표면상에 증가된 거칠기는 표면-개질-층 처리된 캐리어 결합 표면으로부터 탈착된 불소 함유 가스에 의한 얇은 유리 결합 표면의 에칭에 기인할 개연성이 있다. 몇몇 경우에서, 결합 표면의 거칠기에서 이러한 증가는 필연적인 것이 아니다. 다른 경우에서, 비록 거칠기 증가가 작을지라도, 예를 들어, 이것이 캐리어의 재사용을 제한할 수 있기 때문에, 이러한 증가는 허용 가능하지 않을 수 있다. 부가적으로, 예를 들어, 건강 및 안전상의 이유로, 어떤 제조 작동에서 불소화된 가스를 사용하는 것을 원하지 않을 수 있다.
따라서, 조절된 결합, 즉, FPD 공정을 견디기에 충분히 강하지만, 여전히 얇은 시트가 (고온 공정, 예를 들어, 400℃ 또는 600℃ 이상 공정 이후에도) 손상 없이 캐리어로부터 분리될 수 있는 조절된 결합을 생성하기 위해 충분한 표면 에너지 (예를 들어, 표 5에서 실시 예와 연관하여 상기에서 논의된 바와 같은 > 50 mJ/㎡)을 생성하도록 선택적인 극성 결합을 사용하는 것이 바람직할 수 있는 경우일 수 있다. 따라서, 본 발명자들은 캐리어에 얇은 시트의 조절된 결합을 위해 사용될 수 있는 적절한 극성 결합을 형성하는 선택적인 방식을 분석하였다.
본 발명자들은, 유리를 에칭하는데 이용 가능한 불소가 거의 없거나 또는 없도록, 탄화수소 고분자, 또는 일반적으로 탄소질 층 (carbonaceous layer)을 사용하여 분석하였다. 그러나, 몇 가지 주요 난제는 극복해야 한다. 상기 탄소질 층 표면 에너지는 탄소질 층이 유리와 결합하기 위해 약 50 mJ/㎡을 초과하여야 한다. 얇은 시트와 캐리어 사이에 액체 침입 없이 습식 공정을 견디기에 충분히 강한 결합을 제공하기 위해, 몇몇 경우에서, 탄소질 표면 개질층은 65 mJ/㎡ 이상의 표면 에너지를 가져야 한다. 65 mJ/㎡에서, (얇은 유리 시트에 결합을 위한) 상기 캐리어의 표면 에너지는 후속 공정 동안 캐리어와 얇은 시트 사이에 액체 (예를 들어, 물) 침입을 방지하기에 충분하다. 약 50 mJ/㎡의 표면 에너지로, 얇은 유리 시트에 결합은 대부분 FPD 공정에 대해 충분할 수 있지만, 액체 침입을 방지하기 위해 열 처리가 필요할 수 있다. 구체적으로, 탄화수소층의 극성 성분은 얇은 유리 시트의 실라놀기와 직접적으로 강한 쌍극자-쌍극자 결합을 달성하기 위해 증가되거나 또는 수소-결합된 물 분자에 의해 매개될 필요가 있다. 상기 탄소질 층은 또한 이것이 적어도 무정형 실리콘 (aSi) TFT, 색상 필터 (CF), 또는 정전용량 터치 장치 (capacitive touch device) 제조 공정을 수행하는 캐리어-얇은-시트 제품에 대해 유용하도록, 열적, 화학적, 및 진공 적합성을 나타내어야 한다. 이는 폴리에틸렌과 같은 지방족 탄화수소가 불활성 분위기에서 큰 열 안정성을 나타내기 때문에 가능하게 보인다. 어떤 환경하에서 해중합할 수 있는 불소중합체와 달리, HDPE는 간단히 숯이 된다. 비록 HDPE이 숯이 될지라도, 만약 고분자의 두께가 충분히 낮은 수준이라면, 사람들은 여전히 이를 통해 볼 수 있다. 최종 관심사는 기계적 안정성 및 습식 공정 적합성이 반 데르 발스 힘 단독으로 달성될 수 있는 것보다 더 높은 접착력을 요구하는 것으로 보이는 것이다. 약 250 내지 약 275 mJ/㎡ 결합 에너지가 사용된 유리 얇은 시트로 습식 초음파 공정을 견디기에 유리하다는 것을 알 수 있었다. 이 큰 결합 에너지는 결합 공정의 기초적인 요구사항 외에 입자 및 에지 결함에 기인할 수 있다. 최적의 결합에서 두 개의 세정 유리 표면은 약 150 mJ/㎡의 결합 에너지를 생산할 수 있다. 약간의 공유 결합은 250-275 mJ/㎡ 결합 강도를 달성하기 위해 요구된다.
표 6-12의 실시 예에서 분석된 표면 개질층은 불소를 함유하지 않은 원재료에 기초한 유기물이다. 이하 좀 더 상세하게 기재되는 바와 같이, 무정형 탄화수소층 (또는 단순 탄소질층)은 유리 캐리어 (표 6)상에 생산될 수 있지만, 표면 에너지는 FPD 공정을 견디기 위한 세정한 유리 표면에 충분한 접착력을 생산하지 못한다. 이것은 놀라운 것이 아니며, 이는 메탄 및 수소에 기초한 유기 표면 개질층이 강한 극성기가 없기 때문이다. 얇은 유리 시트에 결합하는데 이용 가능한 극성기를 증가시키기 위해, 부가적 가스는 플라즈마-중합 동안 첨가되고, 충분한 표면 에너지를 달성할 수 있다 (표 7). 그러나, 비록 충분한 표면 에너지가 몇몇 경우에서 달성될 수 있을지라도, 이러한 1-단계 공정은 원재료의 적절한 혼합물을 얻는데 어느 정도의 복잡성을 포함한다. 따라서, 2-단계 공정은 개발되었고, 여기서: 제1단계에서, 표면 개질층은 (예를 들어, 표 6의 실시 예에서 수행되었던 방식과 유사한 두 개의 가스로부터) 형성되고; 그 다음, 제2단계에서, 상기 표면 개질층은 얇은 유리 시트에 결합하는데 이용 가능한 표면 에너지 및 극성기를 증가시키기 위해 다양한 방식으로 처리되었다. 비록 더 많은 단계에서 일지라도, 이 공정은 원하는 결과를 얻기 위한 관리가 덜 복잡하다. 처리는 얇은 시트에 결합될 표면 개질층의 표면에서 극성기를 증가시킨다. 따라서, 비록 대부분의 표면 개질층이, 몇몇 예에서, 극성기를 함유하지 않을지라도, 극성기는 얇은 시트에 탄소질층을 결합하는데 이용 가능하다. 초기 표면 개질층을 처리하는 다양한 방식은 표 8-12의 실시 예에서 분석되었고, 여기서: 표 8의 실시 예에서, 표면 개질층은 NH3로 처리되며; 표 9의 실시 예에서, 표면 개질층은 N2로 처리되고; 표 10의 실시 예에서, 표면 개질층은 N2 그 다음 H2로 순차적으로 처리되며; 표 11의 실시 예에서, 표면 개질층은 N2-O2 및 그 다음 N2로 순차적으로 처리되고; 표 12의 실시 예에서, 표면 개질층은 N2-O2로 처리되며; 및 표 12 이후의 선택적인 실시 예에서, 표면 개질층은 O2 단독으로 처리된다. 이들 실시 예는 질소 및 산소 극성기의 사용을 나타내지만, 다른 극성기도 가능할 수 있다.
탄화수소 (예를 들어, 메탄 CH4), 및 선택적으로 수소 (예를 들어, H2)로 탄소질 표면 개질층을 형성
결합 표면의 표면 에너지를 조율하고, 및 결합 표면상에 표면 하이드록실을 피복시키기 위한 플라즈마 중합 필름을 사용하는 또 다른 실시 예는 플라즈마-중합 동안 선택적으로 또 다른 가스 (예를 들어, 수소 H2)와 함께, 탄소-함유 가스, 예를 들어, 탄화수소 가스, 예를 들어, 메탄으로부터 표면 개질층 박막의 침착이다. 대부분 경우에서, 수소 흐름이 바람직한데, 그렇지않으면 침착 물질이 흑연의, 어두운 색이 되는 경향이 있고, 낮은 밴드 갭을 갖기 때문이다. 이것은 표 6-12 및 16의 탄소질 표면 개질층 실시 예에서 전반적으로 동일하다. 상기 표면 개질층은 상압 또는 감압에서 형성될 수 있고, 플라즈마 여기, 예를 들어, DC 또는 RF 평행판, 유도 결합 플라즈마 (ICP), 전자 사이클로트론 공명 (ECR), 다운스트림 마이크로파 또는 RF 플라즈마로 수행된다. 상기 플라즈마 중합 표면 개질층은 캐리어, 얇은 시트 또는 모두에 대해 침착될 수 있다. 표 3의 실시 예와 연관하여 전술된 바와 같이, 플라즈마-중합은 고도로 가교된 물질의 층을 생성한다. 반응 조건 및 소스 가스의 조절은 표면 개질층 필름 두께, 밀도, 및 원하는 적용에 작용기를 조정하기 위한 화학반응을 조절하는데 사용될 수 있고, 필름 특성을 조절하여, 결합 표면의 표면 에너지는 조율될 수 있다. 상기 표면 에너지는, 얇은 시트 상에 필름 또는 구조물을 배치하기 위해 수행된 후속 처리 동안 얇은 시트 및 캐리어 사이에서, 결합도를 조절하기 위해, 즉, 영구 공유 결합을 방지하기 위해 조율될 수 있다.
하기 표 6의 실시 예에서, 다양한 조건은 유리 캐리어 상으로 플라즈마 중합 필름을 침착하는데 사용되었다. 표 6의 실시 예에서 분석된 침착 파라미터는 가스비 (메탄:수소); 압력, ICP 코일 및 RF 바이어스 전력 (bias power)이다. 상기 유리 캐리어는 (Corning Incorporated, Corning NY로부터 이용 가능한) 알루미노 보로실리케이트 알칼리가-없는 디스플레이 유리인, Corning® Eagle XG®로 만든 기판이다. 필름 침착 전에, 상기 캐리어는 SC1 및/또는 SC2 화학반응 및 표준 세정 기술을 사용하여 세정된다. 상기 필름은 유도 결합 플라즈마 (ICP) 도구인, (Oxford Instruments, Oxfordshire UK로부터 이용 가능한) Oxford Plasmalab 380 ICP에서 침착되고, 여기서 상기 캐리어는 13.56 MHz RF 에너지의 ("RF Bias" 컬럼에 기록된) 특정 수의 왓트가 인가되는 플래튼 상에 놓고, 상기 플래튼 위에 13.5 MHz RF 에너지의 ("코일" 컬럼에 기록된) 특정 수의 왓트가 인가되는 코일이 배치된다. 챔버로 메탄 (CH4) 및 수소 (H2) 소스의 유-속은 각각의 CH4 및 H2 컬럼에서 나타낸 바와 같다 (분당 표준 입방 센티미터인 유속 - sccm). 상기 CH4 및 H2 가스는 함께 흐른다. 또한, "H2/CH4" 컬럼에서 H2:CH4 소스 가스의 비 및, "압력" 컬럼에서 챔버의 압력 (mTorr)을 나타낸다. 따라서, 예를 들어, 실시 예 6a에 대해 표 6에서 기호는 다음과 같이 판독된다: Oxford ICP 장치에서, 6.7 sccm의 CH4 및 33.3 sccm의 H2는 20 mTorr의 압력을 갖는 챔버로 함께 흐르고; 1500 W의 13.5 MHz RF 에너지는 코일에 인가되었고, 300 W의 13.56 MHz RF 에너지는 캐리어가 놓인 플래튼에 인가된다. 플래튼 온도는 모든 침착에 대해 30℃이다. 나머지 실시 예에 대한 기호는 유사한 방식으로 판독될 수 있다. 표면 에너지는 세 개의 다른 시험 액체 (이 경우에서, (컬럼 "W"에 나타낸) 탈-이온수, (컬럼 "H"에 나타낸) 헥사데칸, 및 (컬럼 "DIM"에 나타낸) 디-요오드 메탄)의 접촉각 (CA) 및 Wu 모델을 사용하여 mJ/㎡ (제곱 미터당 밀리줄)로 계산된다. 표면 에너지에 대하여, 극성 (P) 및 분산 (D) 성분뿐만 아니라 전체 (T)를 나타낸다.
Figure pct00011
실시 예 6a-6j에 대한 표면 에너지는 약 40 mJ/㎡로부터 약 50 mJ/㎡로 변한다. 그러나, 대체로, 이들 실시 예에 대한 표면 에너지는 (유리 얇은 시트에 유리 캐리어를 조절 가능하게 결합하기 위해 적절히 고려된) 약 50 mJ/㎡ 미만이다. 상기 표면 개질층의 두께는 약 6㎚이다. 이들 실시 예는 FPD 공정을 견디기 위해 캐리어 및 얇은 유리 시트 사이에 충분한 접착력을 생산하지 못한다, 즉, 이들은 진공 시험 동안 버블링이 관찰되었고, 습식 공정 시험 동안 온수 침입이 관찰되었다.
비록, 이들 표면 개질층 자체가 얇은 유리 시트에 결합을 위해 적합하지 않을지라도, 이들은, 예를 들어, 하기에 논의된 바와 같이, 얇은 고분자 시트 상에 전자 또는 다른 구조들을 가공처리하기 위해 유리 캐리어에 고분자 얇은 시트를 적용시키는, 다른 적용에서 사용될 수 있다. 선택적으로, 얇은 시트는 유리 캐리어에 결합될 수 있는 고분자 표면을 갖는 복합 시트일 수 있다. 이 경우에서, 복합 시트는 전자 또는 다른 구조들이 배치될 수 있는 유리층을 포함할 수 있는 반면, 고분자 부분은 유리 캐리어와 조절된 결합을 위한 결합 표면을 형성한다.
표 6의 실시 예에서, 비록 표면 개질층이 침착되는 결합 표면이 유리일지라도, 그것은 그럴 필요는 없다. 대신에, 결합 표면은 유리와 같은 유사한 표면 에너지 및 특성을 갖는 또 다른 적절한 물질, 예를 들어, 실리콘, 폴리실리콘, 단결정 실리콘, 세라믹, 유리-세라믹, 사파이어, 또는 석영일 수 있다.
비-불소화 소스의 혼합물로 표면 개질층의 1-단계 형성
결합 표면의 표면 에너지를 조율하고, 결합 표면상에 표면 하이드록실을 피복시키기 위한 플라즈마 중합 필름을 사용하는 또 다른 실시 예는, 탄소-함유 가스, 예를 들어, 탄화수소를 포함하는, 비-불소화 가스 소스의 혼합물로부터 표면 개질층 박막의 침착이다. 상기 표면 개질층의 침착은 상압 또는 감압에서 형성될 수 있고, 플라즈마 여기, 예를 들어, DC 또는 RF 평행판, 유도 결합 플라즈마 (ICP), 전자 사이클로트론 공명 (ECR), 다운스트림 마이크로파 또는 RF 플라즈마로 수행된다. 상기 플라즈마 중합 표면 개질층은 캐리어, 얇은 시트 또는 모두에 대해 배치될 수 있다. 표 3의 실시 예와 연관하여 전술된 바와 같이, 플라즈마-중합은 고도로 가교된 물질의 층을 생성한다. 반응 조건 및 소스 가스의 조절은 표면 개질층 필름 두께, 밀도, 및 원하는 적용에 대해 작용기를 조정하기 위한 화학반응을 조절하는데 사용될 수 있고, 필름 특성을 조절하여, 결합 표면의 표면 에너지는 조율될 수 있다. 상기 표면 에너지는, 얇은 시트 상에 필름 또는 구조물을 배치하기 위해 수행된 후속 처리 동안 얇은 시트 및 캐리어 사이에서, 결합도를 조절하기 위해, 즉, 영구 공유 결합을 방지하기 위해 조율될 수 있다.
하기 표 7의 실시 예에서, 다양한 조건은 유리 캐리어 상에 플라즈마 중합 필름을 침착하는데 사용되었다. 상기 유리 캐리어는 (Corning Incorporated, Corning NY로부터 이용 가능한) 알루미노 보로실리케이트 알칼리가-없는 디스플레이 유리인, Corning® Eagle XG®로 만든 기판이다. 필름 침착 전에, 상기 캐리어는 SC1 및/또는 SC2 화학반응 및 표준 세정 기술을 사용하여 세정된다. 상기 필름은 유도 결합 플라즈마 (ICP) 구성 모드에서 (Oxford Instruments, Oxfordshire UK로부터 이용 가능한) Oxford Plasmalab 380 ICP에서 침착되고, 여기서 상기 캐리어는 13.56 MHz RF 에너지의 ("RF Bias" 컬럼에 기록된) 특정 수의 왓트가 인가되는 플래튼 상에 놓이고, 상기 플래튼 위에 13.5 MHz RF 에너지의 ("코일" 컬럼에 기록된) 특정 수의 왓트가 인가되는 코일이 배치된다. 챔버로 메탄 (CH4), 질소 (N2) 및 수소 (H2)인, 소스 가스의 유속은 CH4, N2 및 H2 컬럼 각각에서 나타낸 바와 같다 (분당 표준 입방센티미터인 유속 - sccm). 상기 CH4, N2, 및 H2 가스는 함께 흐른다. 또한, "N2/CH4" 컬럼에서 N2:CH4 소스 가스의 비 및, "압력" 컬럼에서 챔버의 압력 (mTorr)을 나타낸다. 따라서, 실시 예 7g에 대한 표 7에서 기호는 다음과 같이 판독된다: Oxford 380 ICP 장치에서, 15.4 sccm의 CH4, 3.8 sccm의 N2, 및 30.8 sccm의 H2는 5 mTorr의 압력을 갖는 챔버로 함께 흐르고; 13.5 MHz RF 에너지의 1500W은 샤워 헤드로 인가되고; 및 13.56 MHz RF 에너지의 50W는 캐리어가 놓인 플래튼에 인가된다. 플래튼 온도는 표 7에서 모든 샘플에 대해 30℃이다. 나머지 실시 예에 대한 기호는 유사한 방식으로 판독될 수 있다. 표면 에너지는 3개의 다른 시험 액체 (이 경우에서, (컬럼 "W"에서 나타낸) 탈-이온수, (컬럼 "H"에서 나타낸) 헥사데칸, 및 (컬럼 "DIM"에서 나타낸) 디-요오드메탄)의 접촉각 (CA) 및 Wu 모델을 사용하여 mJ/㎡ (제곱 미터당 밀리줄)로 계산된다. 표면 에너지에 대하여, 극성 (P) 및 분산 (D) 성분뿐만 아니라 전체 (T)를 나타낸다. 부가적으로, "두께" 컬럼에서, 특정 실시 예에 대해 기록된 조건에 따라 침착된 표면 개질층에 대한 두께 값 (옹스트롬 (Angstroms))을 나타낸다.
Figure pct00012
실시 예 7a는 메탄 단독으로부터 만들어진 표면 개질층을 나타낸다. 이들 침착 조건하에서, 메탄-형성 표면 개질층은 오직 약 44 mJ/㎡의 표면 에너지가 캐리어 상에서 달성된다. 비록 이것이 유리 대 유리의 조절된 결합에 대한 원하는 수준이 아닐지라도, 이것은 유리 캐리어에 고분자 결합 표면을 결합하는데 유용할 수 있다.
실시 예 7b 내지 7e는 다양한 비의 N2:CH4에서 메탄 및 질소의 플라즈마-중합으로부터 만들어진 표면 개질층을 나타낸다. 이들 침착 조건하에서, 메탄-질소 형성 표면 개질층은 약 61 mJ/㎡ (실시 예 7e)로부터 약 64 mJ/㎡ (실시 예 7d)로의 표면 에너지가 캐리어 상에서 달성된다. 이들 표면 에너지는 유리 캐리어에 얇은 유리 시트를 조절 가능하게 결합하는데 충분하다.
실시 예 7f는 메탄 및 수소 (H2)의 플라즈마-중합으로부터 만들어진 표면 개질층을 나타낸다. 이들 침착 조건하에서, 메탄-수소 형성 표면 개질층은 약 60 mJ/㎡의 표면 에너지가 캐리어 상에서 달성되고, 이것은 유리 캐리어에 얇은 유리 시트를 조절 가능하게 결합하는데 충분하다.
실시 예 7g 내지 7j는 메탄, 질소, 및 수소 (H2)의 플라즈마-중합으로부터 만들어진 표면 개질층을 나타낸다. 이들 침착 조건하에서, 메탄-질소-수소 형성된 표면 개질층은 약 58 mJ/㎡ (실시 예 7g) 내지 약 67 mJ/㎡ (실시 예 7j)의 표면 에너지가 캐리어 상에서 달성되고, 이것은 유리 캐리어에 얇은 유리 시트를 조절 가능하게 결합하는데 충분하다.
실시 예 7b 내지 7j에 따라 형성된 표면 개질층으로 결합된 얇은 유리 및 캐리어는 450℃에서 어닐링 이후에 영구적으로 부착되지 않는 것으로 관찰되었다, 즉, 이들은 400℃ 온도 시험의 파트 (c)를 통과한다. 탈-결합은 얇은 유리 상에 제작된 장치의 제거 및 캐리어의 재사용을 허용한다.
표 7의 실시 예 (7b 내지 7j)에 따라 캐리어에 결합된 얇은 유리 시트는, (Corning Incorporated, Corning NY로부터 이용 가능한) 알루미노 보로실리케이트 알칼리가-없는 유리인, Corning® Willow® 유리로부터 만들어진 기판이고, 및 100, 130, 및 150 microns의 두께를 갖는다. 결합 전에, Willow® 유리는 산소 플라즈마를 사용하여 세정되고, 그 다음 SC1 및/또는 SC2 화학반응 및 표준 세정 기술이 수반된다.
표 7의 실시 예에서, 비록 표면 개질층이 배치되는 결합 표면이 유리일지라도, 그것은 그럴 필요는 없다. 대신에, 결합 표면은 유리와 같은 유사한 표면 에너지 및 특성을 갖는 또 다른 적절한 물질, 예를 들어, 실리콘, 폴리실리콘, 단결정 실리콘, 세라믹, 유리-세라믹, 사파이어, 또는 석영일 수 있다.
표 7의 실시 예의 표면 개질층은 1-단계 공정에서 형성된다. 즉, 적절한 표면 에너지 및 극성기 함유물은 적절한 조건하에서 가스의 선택된 혼합물로부터 표면 개질층을 침착시켜 달성된다. 비록 적절한 가스 및 조건이 달성되었을지라도, 상기 공정은 적절한 가스 혼합물을 수행하는데 어느 정도의 복잡성을 포함한다. 따라서, 더 단순 공정은 시도되었다. 적절한 표면 에너지 및 적절한 극성기는 2-단계 공정으로부터 달성될 수 있다고 상정하였고, 여기서 각 단계는 단순하고 안정한 것으로 요구된다. 구체적으로, 제1단계에서, 탄소질 표면 개질층을 침착시키는 반면, 제2단계에서, 표면 개질층은 표면 에너지를 증가시키고, 조절된 결합을 위한 적절한 극성기를 발달시키도록 처리되는 것으로 요구되고, 여기서 상기 극성기는 벌크 물질 (bulk material)에 있는 것보다 얇은 시트가 결합되는 표면 개질층의 표면에 좀 더 집중될 수 있다. 표 6의 실시 예로부터, 압력 및 코일 전력이 표면 에너지 상에 가장 큰 영향을 미치는 것을 알게 되었다. 또한, 필름의 두께가 바이어스를 증가시키고 압력을 감소시킴에 따라 증가하는 것을 알게 되었다. 따라서, 이들 결과로부터, 표면 에너지를 증가시키고 극성기를 혼입하는 처리의 또 다른 분석을 위한, 출발점으로 선택되었고, 20 sccm CH4 40 sccm H2 5mT 1500/50W 60s의 무정형 탄화수소 고분자 표면 개질층 침착 공정은 약 6.5㎚ 두께의 탄소질 표면 개질층을 생성하였다. 기본 표면 개질층에, 다양한 처리가, 표 8-11의 실시 예에 서술된 바와 같이, 제2단계에서 수행되어, 얇은 시트가 결합되는 표면 개질층의 표면에서, 극성기, 및 이의 농도를 변경시킨다. 비록 표면 개질층 및 처리 물질에 대한 출발물질의 특정 실시 예가 하기에 논의될지라도, 일반적으로, 탄소질 층은 탄소-함유 소스로부터 형성되고, 그 다음 극성기는 후속 처리에 의해 부가된다. 유사하게, 비록 특정 극성기가 실시 예를 통해 나타냈을지라도, 다른 것도 가능할 수 있다.
탄소질 표면 개질층에, NH3 처리에 의한, 극성기의 도입
결합 표면의 표면 에너지를 조율하고, 결합 표면상에 선택적인 극성 결합 부위를 생성시키기 위한 플라즈마 중합 필름을 사용하는 또 다른 실시 예는, 탄소 소스, 예를 들어, 메탄 (탄소-함유 가스 소스), 및 수소 H2로부터, 얇은 표면 개질층 필름의 침착이고, 그 다음 방금-형성된 표면 개질층의 질소 처리가 수반된다. 질소 처리는, 예를 들어, 암모니아 플라즈마 처리로 수행될 수 있다. 상기 표면 개질층의 침착은 상압 또는 감압에서 형성될 수 있고, 플라즈마 여기, 예를 들어, DC 또는 RF 평행판, 유도 결합 플라즈마 (ICP), 전자 사이클로트론 공명 (ECR), 다운스트림 마이크로파 또는 RF 플라즈마로 수행된다. 상기 플라즈마 중합 표면 개질층은 캐리어, 얇은 시트 또는 모두에 대해 배치될 수 있다. 표 3의 실시 예와 연관하여 전술된 바와 같이, 플라즈마-중합은 고도로 가교된 물질의 층을 생성한다. 반응 조건 및 소스 가스의 조절은 표면 개질층 필름 두께, 밀도, 및 원하는 적용에 대한 작용기를 조정하기 위한 화학반응을 조절하는데 사용될 수 있고, 필름 특성을 조절하여, 결합 표면의 표면 에너지는 조율될 수 있다. 후속 암모니아 플라즈마 처리 동안 형성된, 질소계 극성기는, 영구 공유 결합을 유발하는 실라놀기와 축합하지 않으며, 따라서 얇은 시트 상에 필름 또는 구조물을 배치하기 위해 수행된 후속 처리 동안 얇은 시트 및 캐리어 사이에서, 결합도를 조절할 수 있다.
하기 표 8의 실시 예에서, 다양한 조건은 유리 캐리어 상에 플라즈마 중합 필름을 침착하는데 사용된다. 상기 유리 캐리어는 (Corning Incorporated, Corning NY로부터 이용 가능한) 알루미노 보로실리케이트 알칼리가-없는 디스플레이 유리인, Corning® Eagle XG®로 만든 기판이다. 필름 침착 전에, 상기 캐리어는 SC1 및/또는 SC2 화학반응 및 표준 세정 기술을 사용하여 세정된다. 상기 표면 처리는 유도 결합 플라즈마 (ICP) 구성 모드에서 (Oxford Instruments, Oxfordshire UK로부터 이용 가능한) Oxford Plasmalab 380 ICP에서 침착되고, 여기서 상기 캐리어는 13.56 MHz RF 에너지의 특정 수의 왓트가 인가되는 플래튼 상에 놓고, 상기 플래튼 위에 13.5 MHz RF 에너지의 특정 수의 왓트가 인가되는 코일이 배치된다. 인가된 에너지에 대하여, 좀 더 일반적으로, 숫자는 #/#W로 나타내고, 여기서 사선 전에 숫자는 코일 (샤워 헤드)에 인가되는 왓트수이고, 사선 이후에 숫자는 플래튼에 인가되는 왓트수이다. 여기서 오직 하나의 숫자를 나타내는 경우, 이는 코일에 대한 것이다. 챔버로 가스의 유속은 표 8에서 나타낸 바와 같다 (분당 표준 입방센티미터인 유속 - sccm). 표면 개질층 (SML)의 플라즈마 처리 동안, 상기 챔버의 온도는 30℃이다. 따라서, 예를 들어, 실시 예 8g에 대한 표 8의 "표면 처리" 컬럼에서 기호는 다음과 같이 판독된다: Oxford ICP 장치에서, 40 sccm의 CH4는 5 mTorr 압력을 갖는 챔버로 함께 흐르고; 1500W의 13.5 MHz RF 에너지는 샤워 헤드로 인가되며; 50W의 13.56 MHz RF 에너지는 캐리어가 놓인 플래튼에 인가되고; 상기 챔버는 30℃의 온도이며; 및 침착 시간은 60초이다. 나머지 실시 예에 대한 표면 처리 컬럼에서 기호는 표면 처리가 (SPTS, Newport, UK로부터 이용 가능한) STS Multiplex PECVD에서 수행되는 것을 제외하고는 유사한 방식으로 판독될 수 있다. 캐리어는 200℃에서 유지된 접지 전극에 놓이고, 가스는 13.56 MHz RF 구동 샤워 헤드를 통해 도입된다. 또 다른 실시 예로서, "플라즈마 처리" 컬럼에서, 실시 예 8a에서 처리에 대한 기호는 다음과 같이 판독된다: 표면 개질층이 실시 예 8a의 표면 처리 컬럼에서의 파라미터에 따라 형성된 후에, 그 다음 100 sccm의 NH3이 1 Torr의 압력, 및 200℃의 온도를 갖는 챔버로 공급되고; 13.56 MHz의 300W는 샤워 헤드로 인가되며; 및 처리는 60초 동안 수행된다. 나머지 실시 예에 대한 "플라즈마 처리" 컬럼에서 기호는 유사한 방식으로 판독된다. 표면 에너지는 3개의 다른 시험 액체 (이 경우에서, 탈-이온수, 헥사데칸, 및 디-요오드메탄 (DIM))의 접촉각 (CA) 및 Wu 모델을 사용하여 mJ/㎡ (제곱 미터당 밀리줄)로 계산된다. 표면 에너지에 대하여, 극성 (P) 및 분산 (D) 성분뿐만 아니라 전체 (T)를 나타낸다.
Figure pct00013
실시 예 8a 및 8b는 질소-함유 가스 (암모니아)로 나중에 처리되는 플라즈마 중합 탄화수소 표면 개질층을 나타낸다. 실시 예 8a의 경우에서, 암모니아는 그 자체로 300W의 전력으로 사용되고, 반면 실시 예 8b에서, 암모니아는 헬륨으로 희석되고 중합은 50W의 낮은 전력에서 수행된다. 각 경우에서, 충분한 표면 에너지는 캐리어 결합 표면이 얇은 유리 시트에 조절 가능하게 결합되는 것이 가능하도록 캐리어 결합 표면상에서 달성된다. 실시 예 8c 및 8d는 탄화수소-함유 (메탄) 및 수소-함유 (H2) 가스에 의해 형성되고, 그 다음 질소-함유 가스 (암모니아)로 나중에 처리되는 플라즈마 중합 탄화수소 표면 개질층을 나타낸다. 실시 예 8c의 경우에서, 암모니아는 그 자체로 300W의 전력으로 사용되고, 반면에 실시 예 8d에서, 암모니아는 헬륨으로 희석되며, 중합은 50W의 낮은 전력에서 수행된다. 실시 예 8a-8d에 따라 형성된 표면 개질층으로 결합된 얇은 유리 및 캐리어는 450℃에서 어닐링 이후에 영구적으로 부착되지 않는 것으로 관찰되었다, 즉, 이들은 400℃ 온도 시험의 파트 (c)를 견딜 수 있었다. 탈가싱 시험은 이들 샘플에 대해 수행되지 않았다. 또한, 이들 실시 예는 (전술된 진공 시험 (1), 습식 공정 시험 (2), 및 초음파 시험 (5)을 포함하는) FPD 공정을 견디기에 충분히 강하고, 충분한 박리력의 적용에 의해 탈-결합 가능하게 유지된다. 탈-결합은 얇은 유리 상에 제작된 장치의 제거 및 캐리어의 재사용을 허용한다.
표 8의 실시 예에 따라 캐리어에 결합된 얇은 유리 시트는, (Corning Incorporated, Corning NY로부터 이용 가능한) 알루미노 보로실리케이트 알칼리가-없는 유리인, Corning® Willow® 유리로부터 만들어진 기판이고, 및 100, 130, 및 150 microns의 두께를 갖는다. 결합 전에, Willow® 유리는 산소 플라즈마를 사용하여 세정되고, 그 다음 SC1 및/또는 SC2 화학반응 및 표준 세정 기술이 수반된다.
표 8의 실시 예에서, 비록 표면 개질층이 배치되는 결합 표면이 유리일지라도, 그것은 그럴 필요는 없다. 대신에, 결합 표면은 유리와 같은 유사한 표면 에너지 및 특성을 갖는 또 다른 적절한 물질, 예를 들어, 실리콘, 폴리실리콘, 단결정 실리콘, 세라믹, 유리-세라믹, 사파이어, 또는 석영일 수 있다.
탄소질 표면 개질층에 N2 처리에 의한 극성기의 도입
결합 표면의 표면 에너지를 조율하고, 결합 표면상에 선택적인 극성 결합 부위를 생성시키기 위해 플라즈마 중합 필름을 사용하는 또 다른 실시 예는, 탄소 소스 (예를 들어, 탄소-함유 가스, 예를 들어, 메탄), 및 수소 H2로부터, 표면 개질층 박막의 침착이고, 그 다음 방금-형성된 표면 개질층의 질소 처리가 수반된다. 상기 표면 개질층에 질소계 극성기를 형성하기 위한, 질소 처리는 N2 가스로 플라즈마 처리에 의해 수행될 수 있다. 상기 표면 개질층의 침착은 상압 또는 감압에서 형성될 수 있고, 플라즈마 여기, 예를 들어, DC 또는 RF 평행판, 유도 결합 플라즈마 (ICP), 전자 사이클로트론 공명 (ECR), 다운스트림 마이크로파 또는 RF 플라즈마로 수행된다. 상기 플라즈마 중합 표면 개질층은 캐리어, 얇은 시트 또는 모두에 대해 배치될 수 있다. 표 3의 실시 예와 연관하여 전술된 바와 같이, 플라즈마-중합은 고도로 가교된 물질의 층을 생성한다. 반응 조건 및 소스 가스의 조절은 표면 개질층 필름 두께, 밀도, 및 원하는 적용에 대한 작용기를 조정하기 위한 화학반응을 조절하는데 사용될 수 있고, 필름 특성을 조절하여, 결합 표면의 표면 에너지는 조율될 수 있다. 후속 암모니아 플라즈마 처리 동안 형성된, 질소계 극성기는, 영구 공유 결합을 유발하는 실라놀기와 축합하지 않으며, 따라서 얇은 시트 상에 필름 또는 구조물을 배치하기 위해 수행된 후속 처리 동안 얇은 시트 및 캐리어 사이에서, 결합도를 조절할 수 있다.
하기 표 9의 실시 예에서, 다양한 조건은 유리 캐리어 상에 침착된 플라즈마 중합 필름을 질소 처리하는데 사용되었다. 상기 유리 캐리어는 (Corning Incorporated, Corning NY로부터 이용 가능한) 알루미노 보로실리케이트 알칼리가-없는 디스플레이 유리인, Corning® Eagle XG®로 만든 기판이다. 표면 개질층 침착 전에, 상기 캐리어는 SC1 및/또는 SC2 화학반응 및 표준 세정 기술을 사용하여 세정된다. 상기 표면 개질층은 유도 결합 플라즈마 (ICP) 구성 모드에서 (Oxford Instruments, Oxfordshire UK로부터 이용 가능한) Oxford Plasmalab 380 ICP에서 침착되고, 여기서 상기 캐리어는 13.56 MHz RF 에너지의 50W가 인가되는 플래튼 상에 놓고, 상기 플래튼 위에 13.5 MHz RF 에너지의 1500W가 인가되는 코일이 배치된다. 20 sccm의 메탄 (CH4) 및 40 sccm의 수소 (H2)는 5 mTorr 압력에서 챔버로 흐른다. 표면 처리 시간은 60초이고, 플래튼 온도는 표 9에 열거된 모든 샘플에 대해 30℃이다. 전술된 침착 후에, 표면 개질층은 질소로 처리되었다. 구체적으로, 여기서 상기 캐리어는 13.56 MHz RF 에너지의 ("RF Bias" 컬럼에 기록된) 특정 수의 왓트가 플래튼에 인가되는 처리 동안, 상기 플래튼 위에 13.5 MHz RF 에너지의 ("코일" 컬럼에 기록된) 특정 수의 왓트가 인가되는 코일이 배치된다.N2는 표에 열거된 시간 (초 - s) 동안 40 sccm의 속도로 챔버로 흐른다. 따라서, 예를 들어, 실시 예 9a에 대한 표 9에서 질소 처리에 대한 기호는 다음과 같이 판독된다: Oxford ICP 장치에서, 40 sccm의 N2는 5 mTorr 압력을 갖는 챔버로 흐르고; 1500W의 13.5 MHz RF 에너지는 샤워 헤드로 인가되며; 300W의 13.56 MHz RF 에너지는 캐리어가 놓인 30℃로 온도 조절된 플래튼에 인가되고; 및 처리는 10초 동안 수행되었다. 나머지 실시 예에 대한 기호는 유사한 방식으로 판독될 수 있다. 표면 에너지는 3개의 다른 시험 액체 (이 경우에서, (컬럼 "W"에서 나타낸) 탈-이온수, (컬럼 "HD"에서 나타낸) 헥사데칸, 및 (컬럼 "DIM"에서 나타낸) 디-요오드메탄)의 접촉각 (CA) 및 Wu 모델을 사용하여 mJ/㎡ (제곱 미터당 밀리줄)로 계산된다. 표면 에너지에 대하여, 극성 (P) 및 분산 (D) 성분뿐만 아니라 전체 (T)를 나타낸다.
Figure pct00014
실시 예 9a-9j는 다양한 조건이 메탄/수소 형성 표면 개질층의 질소 처리에 대해 사용될 수 있는 것을 나타내고, 이에 의해 다양한 표면 에너지는, 얇은 유리 시트에 결합하는데 적절한, 즉, 약 53 mJ/㎡ (실시 예 9i)로부터 약 63 mJ/㎡ (실시 예 9b)로 얻어질 수 있다. 질소 처리 후 얻어진, 이들 표면 에너지는 (메탄-수소 플라즈마-중합으로부터 형성된 기본 층으로부터 얻어진) 약 42 mJ/㎡로부터 증가된다. 실시 예 9a-9j에 따라 형성된 표면 개질층으로 결합된 얇은 유리 및 캐리어는 450℃에서 어닐링 이후에 영구적으로 부착되지 않는 것으로 관찰되었다, 즉, 이들은 400℃ 온도 시험의 파트 (c)를 통과한다. 탈가싱 시험은 이들 샘플에 대해 수행되지 않았다. 또한, 이들 실시 예는 (전술된 진공 시험 (1), 습식 공정 시험 (2), 및 초음파 시험 (5)을 포함하는) FPD 공정을 견디기에 충분히 강하고, 충분한 박리력의 적용에 의해 탈-결합 가능하게 유지된다. 탈-결합은 얇은 유리 상에 제작된 장치의 제거 및 캐리어의 재사용을 허용한다.
표 9의 실시 예에 따라 캐리어에 결합된 얇은 유리 시트는, (Corning Incorporated, Corning NY로부터 이용 가능한) 알루미노 보로실리케이트 알칼리가-없는 유리인, Corning® Willow® 유리로부터 만들어진 기판이고, 및 100, 130, 및 150 microns의 두께를 갖는다. 결합 전에, Willow® 유리는 산소 플라즈마를 사용하여 세정되고, 그 다음 SC1 및/또는 SC2 화학반응 및 표준 세정 기술이 수반된다.
표 9의 실시 예에서, 비록 표면 개질층이 배치되는 결합 표면이 유리일지라도, 그것은 그럴 필요는 없다. 대신에, 결합 표면은 유리와 같은 유사한 표면 에너지 및 특성을 갖는 또 다른 적절한 물질, 예를 들어, 실리콘, 폴리실리콘, 단결정 실리콘, 세라믹, 유리-세라믹, 사파이어, 또는 석영일 수 있다.
탄소질 표면 개질층에, 순차적 N2 그 다음 H2 처리에 의한 극성기의 도입
결합 표면의 표면 에너지를 조율하고, 결합 표면상에 선택적인 극성 결합 부위를 생성시키기 위해 플라즈마 중합 필름을 사용하는 또 다른 실시 예는, 탄소 소스, 예를 들어, 메탄 (탄소-함유 가스), 및 수소 H2로부터, 표면 개질층 필름의 침착이고, 그 다음 방금-형성된 표면 개질층의 질소 그 다음 수소의 순차적 처리가 수반된다. 상기 표면 개질층의 침착은 상압 또는 감압에서 형성될 수 있고, 플라즈마 여기, 예를 들어, DC 또는 RF 평행판, 유도 결합 플라즈마 (ICP), 전자 사이클로트론 공명 (ECR), 다운스트림 마이크로파 또는 RF 플라즈마로 수행된다. 상기 플라즈마 중합 표면 개질층은 캐리어, 얇은 시트 또는 모두에 대해 배치될 수 있다. 표 3의 실시 예와 연관하여 전술된 바와 같이, 플라즈마-중합은 고도로 가교된 물질의 층을 생성한다. 반응 조건 및 소스 가스의 조절은 표면 개질층 필름 두께, 밀도, 및 원하는 적용에 대한 작용기를 조정하기 위한 화학반응을 조절하는데 사용될 수 있고, 필름 특성을 조절하여, 결합 표면의 표면 에너지는 조율될 수 있다. 후속 암모니아 플라즈마 처리 동안 형성된, 질소계 극성기는, 영구 공유 결합을 유발하는 실라놀기와 축합하지 않으며, 따라서 얇은 시트 상에 필름 또는 구조물을 배치하기 위해 수행된 후속 처리 동안 얇은 시트 및 캐리어 사이에서, 결합도를 조절할 수 있다.
하기 표 10의 실시 예에서, 다양한 조건은 유리 캐리어 상에 침착된 플라즈마 중합 필름을 (질소 및 순차적으로 그 다음 수소로) 처리하는데 사용되었다. 상기 유리 캐리어는 (Corning Incorporated, Corning NY로부터 이용 가능한) 알루미노 보로실리케이트 알칼리가-없는 디스플레이 유리인, Corning® Eagle XG®로 만든 기판이다. 필름 침착 전에, 상기 캐리어는 SC1 및/또는 SC2 화학반응 및 표준 세정 기술을 사용하여 세정된다. 상기 필름은 상기 필름은 유도 결합 플라즈마 (ICP) 구성 모드에서 (Oxford Instruments, Oxfordshire UK로부터 이용 가능한) Oxford Plasmalab 380 ICP에서 침착되고, 여기서 상기 캐리어는 13.56 MHz RF 에너지의 50W가 인가되는 플래튼 상에 놓고, 상기 플래튼 위에 13.5 MHz RF 에너지의 1500W가 인가되는 코일이 배치된다. 20 sccm의 메탄 (CH4) 및 40 sccm의 수소 (H2)는 5 mTorr 압력에서 챔버로 흐른다. 표면 처리 시간은 60초이고, 플래튼 온도는 표 9에 열거된 모든 샘플에 대해 30℃이다. 전술된 침착 후에, 상기 표면 개질층은 질소로 그 다음 수소로 순차적으로 처리되었다. 구체적으로, 각 경우에서, 질소 처리를 위해: 40 sccm의 N2는 1500W의 13.5 MHz RF 에너지가 인가되는 챔버로 흐르고; 상기 챔버는 5 mTorr 압력에 있고; 플래튼에 50W의 13.56 MHz RF 에너지가 인가되며; 및 처리는 60초 동안 수행되었다. 그 다음, 수소 처리 동안 13.56 MHz RF 에너지의 (표 10에서 "RF" 컬럼에 기록된) 특정 수의 왓트가 플래튼에 인가되고, 상기 플래튼에 위에 13.5 MHz RF 에너지의 ("코일" 컬럼에 기록된) 특정 수의 왓트가 인가되는 코일이 배치되었다. H2는 표에 열거된 시간 (초) 동안 40 sccm의 속도로 챔버로 흐른다. 따라서, 예를 들어, 실시 예 10a에 대한 표 10에서 (전술된 바와 같이, 박막 침착 후에, 이의 N2 처리가 수행되는) 수소 처리에 대한 기호는 다음과 같이 판독된다: Oxford ICP 장치에서, 40 sccm의 H2는 20 mTorr 압력을 갖는 챔버로 흐르고; 750W의 13.5 MHz RF 에너지는 샤워 헤드로 인가되며; 및 50W의 13.56 MHz RF 에너지는 캐리어가 놓인 플래튼에 인가되고; 및 처리는 15초 동안 수행되었다. 나머지 실시 예에 기호는 유사한 방식으로 판독될 수 있다. 표면 에너지는 3개의 다른 시험 액체 (이 경우에서, (컬럼 "W"에서 나타낸) 탈-이온수, (컬럼 "H"에서 나타낸) 헥사데칸, 및 (컬럼 "DIM"에서 나타낸) 디-요오드메탄)의 접촉각 (CA) 및 Wu 모델을 사용하여 mJ/㎡ (제곱 미터당 밀리줄)로 계산된다. 표면 에너지에 대하여, 극성 (P) 및 분산 (D) 성분뿐만 아니라 전체 (T)를 나타낸다.
Figure pct00015
메탄-수소 형성 플라즈마 중합 표면 개질층의 순차적인 N2 및 그 다음 H2 플라즈마 처리는 다양한 표면 에너지를 달성하기 위해 다양한 조건하에서 수행될 수 있다. 표 10에서 알 수 있는 바와 같이, 표면 에너지는, 얇은 유리 시트에 결합하기에 적절한, 약 60 mJ/㎡ (실시 예 10d)로부터 약 64 mJ/㎡ (실시 예 10a, 10n, 10o, 및 10p)로 변화된다. 실시 예 10a-10p에 따라 형성된 표면 개질층으로 결합된 얇은 유리 및 캐리어는 450℃에서 어닐링 이후에 영구적으로 부착되지 않는 것으로 관찰되었다, 즉, 이들은 400℃ 공정 시험의 파트 (c)를 통과할 수 있었다. 또한, 이들 실시 예는 (전술된 진공 시험 (1), 습식 공정 시험 (2), 및 초음파 시험 (5)을 포함하는) FPD 공정을 견디기에 충분히 강하고, 충분한 박리력의 적용에 의해 탈-결합 가능하게 유지된다. 탈-결합은 얇은 유리 상에 제작된 장치의 제거 및 캐리어의 재사용을 허용한다.
표 10의 실시 예에 따라 캐리어에 결합된 얇은 유리 시트는, (Corning Incorporated, Corning NY로부터 이용 가능한) 알루미노 보로실리케이트 알칼리가-없는 유리인, Corning® Willow® 유리로부터 만들어진 기판이고, 및 100, 130, 및 150 microns의 두께를 갖는다. 결합 전에, Willow® 유리는 산소 플라즈마를 사용하여 세정되고, 그 다음 SC1 및/또는 SC2 화학반응 및 표준 세정 기술이 수반된다.
표 10의 실시 예에서, 비록 표면 개질층이 배치되는 결합 표면이 유리일지라도, 그것은 그럴 필요는 없다. 대신에, 결합 표면은 유리와 같은 유사한 표면 에너지 및 특성을 갖는 또 다른 적절한 물질, 예를 들어, 실리콘, 폴리실리콘, 단결정 실리콘, 세라믹, 유리-세라믹, 사파이어, 또는 석영일 수 있다.
표 10의 실시 예의 변형으로, 메탄-형성 표면 개질층의 질소 그 다음 순차적 수소 처리가 수행되었다. 이 경우에서, 메탄은 유리 캐리어 상에 초기 표면 개질층을 플라즈마-중합에 의해 형성하는 경우 (수소 없이) 단독으로 사용되었다. 구체적으로, 40 sccm의 메탄은 60초 동안 1500/50W의 전력하에서, 5 mTorr의 압력으로 흐른다. 상기 표면 에너지는 약 42 mJ/㎡인 것으로 측정되었다. 질소 (15초 동안, 5 mTorr 압력, 1500/50W 전력으로 40 sccm N2) 및 그 다음 수소 (15초 동안 5 mTorr 압력, 1500/50W 전력으로 40 sccm H2)의 순차적 처리시, 캐리어 결합 표면상에 달성된 표면 에너지는 유리 캐리어에 얇은 유리 시트를 결합하기에 적절한, 약 64 mJ/㎡로 증가한다.
전술된 바와 같은, 탄소질 표면 개질층의 N2 및 H2의 순차적 처리는, 약 64 mJ/㎡의 표면 에너지를 달성하고, 불소화된 표면 개질층이 갖는 통상적인 속도보다 다소 낮은 결합 전면 속도 (bond front speed)로 얇은 유리 시트에 초기 실-온 결합을 형성한다.
표 10에 실시 예와 마찬가지로, 이들 샘플은 450℃에서 어닐링 이후에 영구적으로 부착되지 않는 것으로 관찰되었다, 즉, 이들은 400℃ 공정 시험의 파트 (c)를 통과할수 있었다. 또한, 이들 실시 예는 (전술된 진공 시험 (1), 습식 공정 시험 (2), 및 초음파 시험 (5)을 포함하는) FPD 공정을 견디기에 충분히 강하고, 충분한 박리력의 적용에 의해 탈-결합 가능하게 유지된다. 탈-결합은 얇은 유리 상에 제작된 장치의 제거 및 캐리어의 재사용을 허용한다.
탄소질 표면 개질층에, N2-O2 그 다음 N2 처리에 의해, 극성기의 도입
결합 전면 속도를 증가시키기 위해 표면상에 좀 더 극성 이미드기를 생성하도록 시도하는 발상에 기초하여, 탄소질 표면 개질층의 N2-O2 그 다음 N2 플라즈마 순차적 처리를 분석하였다.
본 실시 예에서, 결합 표면의 표면 에너지를 조율하고, 결합 표면상에 선택적인 극성 결합 부위를 생성시키기 위해 플라즈마 중합 필름을 사용하는 것은, 탄소 소스, 예를 들어, 탄소-함유 가스 (예를 들어, 메탄), 및 수소 H2로부터 탄소질 표면 개질층 박막의 침착이고, 그 다음 방금-형성된 표면 개질층의 N2-O2 및 그 다음 N2 순차적 처리가 수반된다. 상기 표면 개질층의 침착은 상압 또는 감압에서 형성될 수 있고, 플라즈마 여기, 예를 들어, DC 또는 RF 평행판, 유도 결합 플라즈마 (ICP), 전자 사이클로트론 공명 (ECR), 다운스트림 마이크로파 또는 RF 플라즈마로 수행된다. 상기 플라즈마 중합 표면 개질층은 캐리어, 얇은 시트 또는 모두에 대해 배치될 수 있다. 표 3의 실시 예와 연관하여 전술된 바와 같이, 플라즈마-중합은 고도로 가교된 물질의 층을 생성한다. 반응 조건 및 소스 가스의 조절은 표면 개질층 필름 두께, 밀도, 및 원하는 적용에 대한 작용기를 조정하기 위한 화학반응을 조절하는데 사용될 수 있고, 필름 특성을 조절하여, 결합 표면의 표면 에너지는 조율될 수 있다. 후속 암모니아 플라즈마 처리 동안 형성된, 질소계 극성기는, 영구 공유 결합을 유발하는 실라놀기와 축합하지 않으며, 따라서 얇은 시트 상에 필름 또는 구조물을 배치하기 위해 수행된 후속 처리 동안 얇은 시트 및 캐리어 사이에서, 결합도를 조절할 수 있다.
하기 표 11의 실시 예에서, 다양한 조건은 표면 에너지를 증가하고, 극성기를 혼입시키기 위해 유리 캐리어 상에 침착된 플라즈마 중합 필름을 처리하는데 사용되었다. 상기 유리 캐리어는 (Corning Incorporated, Corning NY로부터 이용 가능한) 알루미노 보로실리케이트 알칼리가-없는 디스플레이 유리인, Corning® Eagle XG®로 만든 기판이다. 표면 개질층 침착 전에, 상기 캐리어는 SC1 및/또는 SC2 화학반응 및 표준 세정 기술을 사용하여 세정된다.
단계 1에서, 상기 표면 개질층은 유도 결합 플라즈마 (ICP) 구성 모드에서 (Oxford Instruments, Oxfordshire UK로부터 이용 가능한) Oxford Plasmalab 380 ICP에서 침착되고, 여기서 상기 캐리어는 13.56 MHz RF 에너지의 50W가 인가되는 플래튼 상에 놓고, 상기 플래튼 위에 13.5 MHz RF 에너지의 1500W가 인가되는 코일이 배치된다. 20 sccm의 메탄 (CH4) 및 40 sccm의 수소 (H2)는 5 mTorr 압력에서 챔버로 흐른다. 표면 처리 시간은 60초이고, 플래튼 온도는 표 11에 열거된 모든 샘플에 대해 30℃이다.
단계 1의 전술된 침착 후에, 단계 2에서 표면 개질층은 질소 및 산소로 처리되었다. 구체적으로, 단계 2 처리 동안, 50W의 13.56 MHz RF 에너지가 플래튼에 인가되었고, 플래튼 위에 800W의 13.5 MHz RF 에너지가 인가되는 코일이 배치되었다. N2 및 O2는 표에 열거된 시간 (초 -s) 동안 특정 속도 (sccm)로 챔버로 흐른다. 따라서, 예를 들어, 실시 예 11a에 대한 표 11의 단계 2에 대한 기호는 다음과 같이 판독된다: 단계 1에서 표면 개질층 침착 이후에, Oxford ICP 장치에서, 35 sccm의 N2는 15 mTorr 압력을 갖는 챔버로 5 sccm O2와 함께 흐르고; 800W의 13.5 MHz RF 에너지는 샤워 헤드로 인가되며; 50W의 13.56 MHz RF 에너지는 캐리어가 놓이고 온도가 30℃로 조절된 플래튼에 인가되고; 및 처리는 5초 동안 수행되었다. 나머지 실시 예에 대한 기호는 유사한 방식으로 판독될 수 있다.
단계 2의 전술된 처리 후에, 단계 3에서 상기 표면 개질층은 질소로 처리되었다. 구체적으로, 단계 3 처리 동안, 50W의 13.56 MHz RF 에너지가 플래튼에 인가되었고, 플래튼 위에 1500W의 13.5 MHz RF 에너지가 인가되는 코일이 배치되었다. H2는 표에 열거된 시간 (초 -s) 동안 특정 속도 (sccm)로 챔버로 흐른다. 따라서, 예를 들어, 실시 예 11a에 대한 표 11에서 단계 3의 기호는 다음과 같이 판독된다: 단계 1에 표면 개질층 침착 후, 및 단계 2에서 질소-산소 처리 후에, Oxford ICP 장치에서, 40 sccm의 N2는 5 mTorr 압력을 갖는 챔버로 흐르고; 1500W의 13.5 MHz RF 에너지는 샤워 헤드로 인가되며; 및 50W의 13.56 MHz RF 에너지는 캐리어가 놓이고 온도가 30℃로 조절된 플래튼에 인가되고; 및 처리는 15초 동안 수행되었다. 나머지 실시 예에 대한 기호는 유사한 방식으로 판독될 수 있다.
표면 에너지는 3개의 다른 시험 액체 (이 경우에서, 탈-이온수, 헥사데칸, 및 디-요오드메탄)의 접촉각 (CA) 및 Wu 모델을 사용하여 mJ/㎡ (제곱 미터당 밀리줄)로 계산된다. 표면 에너지에 대하여, 총 (극성 및 분산 성분 모두를 포함하는, T) 표면 에너지는 나타낸다. 결합 에너지는 전술된 바와 같이 mJ/㎡로 계산되었다. 초기 결합 이후에 버블의 수는 "23C %면적" 컬럼에서 나타내고, 반면에 400℃ 온도 시험 후 버블의 수는 "400C %면적" 컬럼에서 나타낸다. 버블의 수는 "탈가싱"과 연관하여 하기에 기재된 바와 같이 광학 스캐너에 의해 결정되었다. 마지막으로, 23℃에서 초기의 버블 면적에서 400℃ 온도 시험 후의 면적의 변화는 "델타 %면적" 컬럼으로 나타낸다.
Figure pct00016
실시 예 11a-11e는 다양한 조건이 메탄/수소 형성된 표면 개질층의 질소-산소 및 그 다음 질소의 순차적 처리에 대해 사용될 수 있다는 것을 보여주며, 이에 의해, 얇은 유리 시트에 결합하기에 적절한, 다양한 표면 에너지, 즉, 약 65 mJ/㎡ (실시 예 11a 및 11e)로부터 약 70 mJ/㎡ (실시 예 11b 및 11d)로의 표면 에너지는 얻어질 수 있다. 질소-산소 및 그 다음 질소의 순차적 처리 후 얻어진, 이들 표면 에너지는 (메탄-수소 플라즈마-중합으로부터 형성된 기본 층으로부터 얻어진) 약 40-50 mJ/㎡로부터 증가되었다. 실시 예 11a-11f에 따라 형성된 표면 개질층으로 결합된 얇은 유리 및 캐리어는 400℃에서 어닐링 이후에 영구적으로 부착되지 않는 것으로 관찰되었다, 즉, 이들은 400℃ 온도 시험의 파트 (c)를 통과한다. 실시 예 11a-11e에 대해 나타낸 바와 같이, 400℃ 어닐링 동안 % 버블 면적에서 변화는 탈가싱이 없는 것과 일치한다. 다른 한편으로, 실시 예 11f에 대해 400℃ 어닐링 동안 % 버블 면적에서 변화는 표면 개질층에서 물질의 약간의 탈가싱과 일치한다. 따라서, 표 11에서 조건에 따라 침착된 탈가싱 없는 표면 개질층을 얻기 위해, 단계 3은 중요하다. 그러나, 단계 1 및 2에 대한 다른 침착/처리 조건하에서, 단계 3은 실시 예 11a-e에 대한 단계 3으로 얻어진 것과 유사한 탈가싱 없는 결과를 얻는 것이 필요하지 않을 수 있다. 또한, 이들 실시 예는 (전술된 진공 시험 (1), 습식 공정 시험 (2), 및 초음파 시험 (5)을 포함하는) FPD 공정을 견디기에 충분히 강하고, 400℃ 온도 시험 후에 충분한 박리력의 적용에 의해 탈-결합 가능하게 유지된다. 탈-결합은 얇은 유리 상에 제작된 장치의 제거 및 캐리어의 재사용을 허용한다.
표면 에너지, 결합 에너지, 및 버블링에 대한 이들 순차적 단계의 영향은, 표 11에서 나타낸다. N2-O2 단계에서 산소 분율 (oxygen fraction)을 증가시키는 것은 표면 에너지 및 탈가싱 시험 동안 증가된 버블링을 감소시킨다. 잠시 동안의 (약 5초) 낮은 산소 분율 (38/2) N2-O2 단계 및 후속의 짧은 (15초) N2 플라즈마 처리 (실시 예 11d)에 따른 성능은 400℃ 온도 시험 동안 1.2%의 버블 면적 및 69 mJ/㎡의 표면 에너지를 생성한다 (23℃에서 % 버블 면적에서 변화는 -0.01이고, 이는 탈가싱이 없다는 것을 나타낸다). 샘플 11a-e의 성능은 400℃ 온도 시험까지 적용에서 불소화된 표면 개질층과 비숫한다.
표 11의 실시 예에 따라 각각의 캐리어에 결합된 얇은 유리 시트는, (Corning Incorporated, Corning NY로부터 이용 가능한) 알루미노 보로실리케이트 알칼리가-없는 유리인, Corning® Willow® 유리로부터 만들어진 기판이고, 및 100, 130, 및 150 microns의 두께를 갖는다. 결합 전에, Willow® 유리는 산소 플라즈마를 사용하여 세정되고, 그 다음 SC1 및/또는 SC2 화학반응 및 표준 세정 기술이 수반된다. 표 11의 실시 예에서, 비록 표면 개질층이 배치되는 결합 표면이 유리일지라도, 그것은 그럴 필요는 없다. 대신에, 결합 표면은 유리와 같은 유사한 표면 에너지 및 특성을 갖는 또 다른 적절한 물질, 예를 들어, 실리콘, 폴리실리콘, 단결정 실리콘, 세라믹, 유리-세라믹, 사파이어, 또는 석영일 수 있다.
전술된 실시 예는 유도 결합 플라즈마 (ICP) 시스템이 장치 공정을 위한 유리 캐리어에 얇은 유리 시트를 조절 가능하게 결합하는데 적절하게 얇은 유기 표면 개질층을 침착시키는데 활용될 수 있는 방법의 전형적인 예이다. 그러나, 디스플레이 적용에 대한 이 해법의 확장성 (여기서 큰 면적을 갖는 기판이 유리하다)은 문제이다. ICP 도구는 이온이 순환되게 하는 시변 (time varying) 자기장을 생성하기 위해 유도 결합 전류에 대한 평면, 원추형, 또는 반구형 코일을 활용한다. 통상적으로, 제2 RF 소스는 기판이 놓인 플래튼에 연결된다. ICP 플라즈마의 장점은 ICP 소스가 플래튼 RF 소스에 의해 조절되는 기판 바이어스와 별개로 높은 수준의 이온화를 달성할 수 있다는 점이다. 현재 평행판 (parallel plate) 반응성 이온 에칭 (RIE) 시스템은 높은 수준의 이온화를 달성할 수 없다. 부가적으로, 바이어스 및 이온화는 RF 전력 및 압력을 통해 결합된다. TEL 및 기타의 것들은 Gen 5로 ICP 에칭기를 확대하지만, 더 큰 스케일링 (scaling larger)은 균일한 ICP 플라즈마 소스를 생산하는데 힘들다. 다른 한편으로, RIE 모드 공정은, Gen 10로 확대된 평행판 도구에 대해 적절하다. 따라서, 본 발명자들은, 전술된 바와 같은 ICP 도구로 달성된 것과 유사한 결과를, RIE 모드 공정에서 달성하는 방식을 분석하였다.
(불소화된 표면 개질층의 침착을 위해 사용된 것과 동등한) 200W의 바이아스 전력 및 (코일 전력 없는) RIE 모드에서 Oxford를 단순 활용하여 비-불소화된 원재료로부터 RIE 모드 표면 개질층을 생산하기 위한 초기 시도는, 얇은 유리 시트에 결합하도록 질소 개질될 수 있는 검은 두꺼운 층을 생산한다. 그러나, 이 검은 물질은 400℃ 공정 시험을 수행한 후에 결합된 구역의 약 25%를 피복하는 많은 버블을 생성한다. 분광 타원 편광법 (spectroscopic ellipsometry)에 의한 어두운 침착물의 특징은 약 100nm 두께이고, ICP 침착된 표면 개질층에 대해 0.6 eV 대 1.7 eV인, 훨씬 더 좁은 광학 밴드 갭을 나타낸다. 이러한 결과로부터, 물질이 흑연일 수 있고, 수소 함량의 증가가 버블링의 감소시키기 위한 고려사항일 것이라고 결론지었다.
실험은 RIE 공정 변수인 H2/CH4 비, RF 전력, 및 압력을 보여주기 위해 광학 발광 분광계 (optical emission spectroscopy) (OES) 스펙트럼을 캡쳐하는데 수행되었다. 그러나, 사용될 Oxford 도구의 공정 창 (process window) 내에서, 이들 비들은 일치하지 않을 수 있다. 이 실험은, 그러나, 공정이 높은 RF 전력, 낮은 압력 및 고분자 형성 가스의 매우 높은 수소 희석할 경우 유익하다는 것을 보여준다.
ICP에서 RIE 모드로 공정 전환을 가이드하기 위해 OES에 부가적으로, 잔류 가스 분석 (residual gas analysis) (RGA)은 RIE 모드에서 수소/메탄 비, RF 전력, 및 압력의 함수로서 Oxford에 존재하는 가스상 종들을 보여주기 위해 사용된다. m/e=28/16의 등고선도 (contour map) 대 압력 및 H2/CH4 가스비는 다시 높은 수소 희석이 약 44의 ICP비와 일치시키는 것이 유리하다는 것을 보여준다. 고차 (higher order) 알칸은 감소하는 H2/CH4 가스비 및 증가하는 압력과 연관있다. 상기 등고선도는 RF 및 H2/CH4 가스비 모두에서 증가하는 m/e=28/16을 보여준다. RGA 반응 표면 (response surfaces)의 고정 (Fitting)은 H2/CH4 및 C2H6/CH4 비가 40:1 H2/CH4, 25 mTorr 275W RF에서 일치될 수 있다는 것을 제시한다. 이 조건으로 침착된 탄소질 RIE 모드 표면 개질층은 ICP 모드 탄소질 표면 개질층의 약 6㎚ 두께 및 1.6 eV 광 밴드갭과 일치한다. 탄소질 RIE 표면 개질층의 질소 플라즈마 처리로 초기 실험은 또한 낮은 버블링을 나타낸다.
RGA 실험에 의해 확인된 공정을 사용하는 RIE 모드 탄소질 표면 개질층 침착의 동역학은 도 14 및 15에서 나타낸다. 극성 (P) 및 분산 (D) 성분뿐만 아니라 총 (T)을 포함하는, 표면 에너지는 도 14에서 나타낸다. 도 14에서 나타낸 바와 같이, 표면 에너지는 60초 침착 시간에서 약한 피크로, 상대적으로 변화되지 않지만, 반면에 도 15에서, 필름 두께는 로그-로그 스케일 (log-log scale)에 대해 거의 선형으로 증가하는 것을 알 수 있다. 이것은 수소로부터 에치-백 (etch-back)이 고분자 침착에 보조를 맞출 수 없기 때문에 자가-제한된 공정이 아니다.
전술된 바와 같이, 실험으로부터 ≥ 약 50 또는 ≥ 65 mJ/㎡의 표면 에너지가 열 순환 동안뿐만 아니라, 초기 실-온 결합 모두에서 버블 면적을 최소화하는데 유리하다는 것을 알 수 있었다, 도 14로부터, 표면 에너지가 정확히 경계선에 있는 것을 알 수 있다. 몇몇 경우에서, 이것은, 견디어야 하는 다른 FPD 공정에 의존할 뿐만 아니라, 수행되는 시간-온도 사이클에 의존하여, 캐리어에 얇은 시트를 결합하는데 적절할 수 있다. 다른 한편으로, 그러나, 이 표면 개질층의 표면 에너지를 상승시키는 것이 유리할 것이다. 전술된 후속 처리 중 어느 하나, 예를 들어, 암모니아 처리, 질소 처리, 순차적 질소 그 다음 수소, 질소-산소 처리, 순차적 질소-산소 그 다음 질소 처리가 사용될 수 있다. 예로서, 질소-산소 처리는 표 12와 연관하여 기재될 것이다.
탄소질 표면 개질층에, 질소-산소 처리에 의한, 극성기의 도입
결합 표면의 표면 에너지를 조율하고, 결합 표면상에 선택적인 극성 결합 부위를 생성시키기 위해 플라즈마 중합 필름을 사용하는 다른 실시 예는, 탄소 소스 (예를 들어, 탄소-함유 가스인, 메탄), 및 수소 (H2)로부터, RIE 모드에서 얇은 표면 개질층 필름의 침착이고, 그 다음 방금-형성된 표면 개질층의 질소-산소 처리가 수반된다. 상기 질소-산소 처리는, 예를 들어, 질소-산소 플라즈마 처리로 수행될 수 있다. 상기 표면 개질층의 침착은 상압 또는 감압에서 발생할 수 있다. 상기 플라즈마 중합 표면 개질층은 캐리어, 얇은 시트 또는 모두에 대해 배치될 수 있다. 표 3의 실시 예와 연관하여 전술된 바와 같이, 플라즈마-중합은 고도로 가교된 물질의 층을 생성한다. 반응 조건 및 소스 가스의 조절은 표면 개질층 필름 두께, 밀도, 및 원하는 적용에 대한 작용기를 조정하기 위한 화학반응을 조절하는데 사용될 수 있고, 필름 특성을 조절하여, 결합 표면의 표면 에너지는 조율될 수 있다. 후속 암모니아 플라즈마 처리 동안 형성된, 질소계 극성기는, 영구 공유 결합을 유발하는 실라놀기와 축합하지 않으며, 따라서 얇은 시트 상에 필름 또는 구조물을 배치하기 위해 수행된 후속 처리 동안 얇은 시트 및 캐리어 사이에서, 결합도를 조절할 수 있다.
하기 표 12의 실시 예에서, 다양한 조건은 유리 캐리어 상에 플라즈마 중합 필름을 침착시키기 위해 사용되었다. 상기 유리 캐리어는 (Corning Incorporated, Corning NY로부터 이용 가능한) 알루미노 보로실리케이트 알칼리가-없는 디스플레이 유리인, Corning® Eagle XG®로 만든 기판이다. 필름 침착 전에, 상기 캐리어는 SC1 및/또는 SC2 화학반응 및 표준 세정 기술을 사용하여 세정된다. 상기 표면 개질층은 유도 결합 플라즈마 (ICP) 구성 모드에서 (Oxford Instruments, Oxfordshire UK로부터 이용 가능한) Oxford Plasmalab 380 ICP에서 침착되고, 여기서 상기 캐리어는 RF 에너지의 275W가 인가되는 플래튼 상에 놓고, 상기 플래튼 위에 에너지가 인가되지 않는 코일이 배치된다. 단계 1에서, 2 sccm의 메탄 (CH4) 및 38 sccm의 수소 (H2)는 5 mTorr의 압력에서 챔버로 흐른다. 표면 처리 시간은 60초이고, 플래튼 온도는, 표 12에 열거된 모든 샘플에 대해 30℃이다. 전술된 침착 후에, 상기 표면 개질층은 단계 2에서 질소 및 산소로 처리되었다. 구체적으로, 단계 2 처리 동안, 13.56 MHz RF 에너지의 ("RF" 컬럼에 기록된) 특정 수의 왓트가 플래튼에 인가되고, 상기 플래튼 위에 에너지가 인가되지 않은 코일이 배치되었다. 표의 "시간(초)" 컬럼에 열거된 시간 (초 -s) 동안, N2는 "N2" 컬럼에 열거된 sccm의 속도로 챔버에 흐르고, O2는 "O2" 컬럼에 열거된 sccm의 속도로 챔버에 흐른다. 상기 챔버는 "Pr" 컬럼에 열거된 바와 같은, mTorr로, 압력하에 있다. 따라서, 예를 들어, 실시 예 12b에 대한 표 12에 단계 2 질소 및 산소 처리에서 기호는 다음과 같이 판독된다: Oxford ICP 장치에서, 25 sccm의 N2는 10 mTorr 압력을 갖는 챔버로 25 sccm의 O2와 함께 흐르고; 300W의 13.56 MHz RF 에너지는 캐리어가 놓이고 온도가 30℃로 조절된 플래튼에 인가되며; 및 처리는 10초 동안 수행되었다. 나머지 실시 예에 대한 기호는 유사한 방식으로 판독될 수 있다.
표면 에너지는 3개의 다른 시험 액체 (이 경우에서, 탈-이온수 (W), 헥사데칸 (HD), 및 디-요오드메탄 (DIM))의 접촉각 (CA) 및 Wu 모델을 사용하여 mJ/㎡ (제곱 미터당 밀리줄)로 계산된다. 표면 에너지에 대하여, 극성 (P) 및 분산 (D) 성분뿐만 아니라 전체 (T)를 나타낸다. 또한, 표면 개질층의 두께 (옹스트롬으로 "th"), 상기 표면 개질층의 침착 및 이의 N2-O2 처리 후에 캐리어의 평균 표면 거칠기 (옹스트롬으로 "Ra"), 결합 에너지 (mJ/㎡로 "BE") 및 % 버블 면적에서 변화 (실온에서 표면 개질층을 통해 캐리어에 얇은 유리 시트를 초기에 결합시킨 후에 버블 면적 및 400℃ 공정 시험을 통해 캐리어를 가열한 이후에 버블 면적 사이의 "Δ 버블 면적")는 나타낸다.
Figure pct00017
표 12의 실시 예에 따라 각각의 캐리어에 결합된 얇은 유리 시트는, (Corning Incorporated, Corning NY로부터 이용 가능한) 알루미노 보로실리케이트 알칼리가-없는 유리인, Corning® Willow® 유리로부터 만들어진 기판이고, 및 100, 130, 및 150 microns의 두께를 갖는다. 결합 전에, Willow® 유리는 산소 플라즈마를 사용하여 세정되고, 그 다음 SC1 및/또는 SC2 화학반응 및 표준 세정 기술이 수반된다.
표 12의 실시 예에서, 비록 표면 개질층이 배치되는 결합 표면이 유리일지라도, 그것은 그럴 필요는 없다. 대신에, 결합 표면은 유리와 같은 유사한 표면 에너지 및 특성을 갖는 또 다른 적절한 물질, 예를 들어, 실리콘, 폴리실리콘, 단결정 실리콘, 세라믹, 유리-세라믹, 사파이어, 또는 석영일 수 있다.
표 12의 실시 예의 처리로부터, 400℃ 가공 이후에 다음을 알 수 있다: 실시 예 12a 내지 12j 모두는, 이 온도에서 탈가싱이 없다는 것과 일치하는, 2 미만의 퍼센트 버블 면적에서 변화를 갖는다, 표 12에서 버블% 컬럼을 참조; 및 또한 샘플 12a, 12b, 12c, 12g, 및 12j, 각각은 이 온도 시험 후에 캐리어로부터 얇을 시트의 탈결합을 가능하게 하는 결합 에너지를 갖는다, 표 12에서 BE 컬럼을 참조; 그러나 실시 예 12d, 12e, 12f, 12h, 및 12i는 표 12의 BE 컬럼에서 2500의 값으로 나타낸 바와 같이, 400℃ 공정 처리 후에 탈결합되는 것이 가능하지 않다.
타원편광 반사법 (ellipsometry)에 의한 표면 에너지, 버블 면적, 결합 에너지, 및 두께는, 표 12의 실시 예에 따라, % O2, RF, 및 압력의 함수로 보여준다. 두께에서 감소는 증가하는 RF 전력 (실시 예 12b와 실시 예 12g를 비교) 및 탄화수소층의 회분화 (ashing)와 일치하는 % O2 (실시 예 12b와 실시예 12a와 비교)과 연관된 것을 알 수 있었다. 결합 에너지는 오직 압력만을 의존한다: 10 mTorr에서 처리된 샘플은 400℃에서 어닐링 후에 탈-결합될 수 있다 (실시 예 12a, 12b, 12c, 12g 참조). 35 mTorr 이상에서 처리된 것들은 탈-결합할 수 없다. 참조하면, 예를 들어, 40 mTorr의 압력에서 처리된 실시 예 12d는 2500의 결합 에너지를 갖고, 또한 70 mTorr의 압력으로 처리된 실시 예 12e는 2500의 결합 에너지를 갖는다. 2500의 "BE" 컬럼에서 결합 에너지는 얇은 유리 시트가 캐리어로부터 탈결합되지 않을 수 있다는 것을 나타낸다. 모든 처리된 필름의 표면 에너지는 두께와 별개로 65-72 mJ/㎡이다. 실시 예 12a 내지 12i, 및 12k를 참조. 이들 결과는 고압 N2-O2 플라즈마 처리가 불연속성 필름을 생성한다는 것을 제시한다. 사실상, 고압은 필름을 빠르게 삭마시키며, 이에 의해 낮은 압력이 유리하다. 버블링에 관해 말하면, 양은 증가하는 %O2 * RF에 따라 감소하는 것으로 나타난다. 더욱이, 이것은: H2O 분압이 증가하는 %O2 및 증가하는 RF에 따라 증가하며; 표면 개질층 두께는 단계 2에서 증가하는 압력에 따라 감소하고, 및 %수포 면적 (blister area)은 증가하는 압력에 따라 증가하며 (따라서 더 낮은 압력은 단계 2 동안 유리하다); 처리 시간이 증가하고, 표면 개질층 두께가 감소하며, 극성기가 감소되므로, 따라서 이로울 수 있는 더 짧은 처리 시간을 유도한다.
적절한 결합 에너지 및 버블링의 균형은 시도된다. 질소-산소 처리에 대한 출발점은 50% O2, 10 mTorr 300W 및 변화된 공정 시간이다. 세 세트의 샘플은 20초, 60초 및 180초 RIE CH4-H2 침착되고, 그 다음 0, 5, 15, 및 60초의 N2-O2 플라즈마 처리가 수반되어 준비되었다. 표면 에너지 및 결합 에너지 모두는 CH4-H2 침착 시간과 별개로 5-15 초 N2-O2 플라즈마 처리에서 피크이다. 얇은 20초 CH4-H2 층은 삭마되고, 얇은 유리 시트는 캐리어에 영구적으로 결합한다. 피크는, 유리 기판을 노출하는 단순 삭마 (ablation)보다 오히려 고분자 필름상에 극성기의 형성과 일치하여, 상기 고분자층이 삭마되기 전에 발생한다. 버블 면적은 증가하는 표면 개질층 침착 시간에 따라 증가하고, 그래서 후속 N2-O2 표면 처리 동안 과도한 삭마를 피하기 위해 표면 개질층의 두께를 단순히 증가시키는 것은 유리하지 않다. 따라서, 결합 및 버블 면적 사이에 좋은 절충안은 표면 개질층 침착 시간 및 N2-O2 처리 시간의 균형이다. (얇은 시트에 캐리어의 영구 결합을 유도하는) 표면 개질층을 삭마 또는 제거하기 위해 너무 길지 않지만, 표면 개질층으로 극성기를 혼입하기에 충분히 긴 - N2-O2 처리 시간과 (증가된 탈가싱을 결과하는 더 큰 두께를 유도하는 것과 같이, 너무 길지 않게) 표면 개질층 침착 시간의 균형에 기초한다. 좋은 절충안은 5-10초의 짧은 N2-O2 처리 시간이 수반되는 탄소질 층의 60초 RIE 침착이다. 실시 예 12a, 12b, 12c,12g, 및 12k는 RIE 모드에 대해 잘 작동한다.
표면 개질층 상에 극성기를 혼입
XPS N1s 종분화 (speciation)는 N2-O2 플라즈마 처리가 고도의 극성 표면을 생성하는 메커니즘을 연구하는데 사용되었다. 이들 표면 개질층의 종분화를 연구 및 확인하기 위하여, 표면 개질층이 유리의 완전한 커버리지를 달성하고, 뒤이어 다른 기간 동안 N2/O2 플라즈마로 처리되도록 EagleXG® 유리 웨이퍼 상에 침착된 CH4/H2의 상대적으로 두꺼운 필름의 표면 화학반응을 연구하였다. 두꺼운 탄화수소 필름의 장점은 우리가 오직 탄화수소 필름에 발생하고, 노출된 유리 상에 발생하는 것에서 이들을 분리하는 질소 종을 구별하는 것을 가능하게 한다.
EagleXG® 유리 웨이퍼의 표면 조성물은, 두꺼운 탄화수소 필름을 침착하기 위해 600초의 CH4/H2 플라즈마에 먼저 노출되고, 그 다음 5, 15, 60 및 600초 동안 N2/O2 플라즈마가 뒤따른다. (Al 및 Ca과 같은) 유리에 존재하는 원소는 5초 및 15초 처리에 대해 검출되지 않으며, 이는 이들 경우에서 탄소질 필름 층이 약 10㎚인 XPS의 프로브 깊이 (probe depth)보다 더 두껍다는 것을 나타낸다.
60초 및 600초에서 N2/O2 플라즈마에 탄소질 필름의 노출은, 이들 경우에서, XPS가 유리에 발생하는 원소를 검출할 수 있기 때문에, 어느 정도의 박형의 탄소질 층을 결과한다. 이 관찰은 탄소의 표면 농도를 고려하여 더욱 확인된다. 60초 및 600초 처리 동안, C 농도는 10 at% 미만인데, 이는 이들 경우에 대해 표면이 탄소질 층에 의해 부분적으로 피복되었다는 것을 강하게 제시하는 것이다.
NH3+ 종은 상당한 양의 탄소질 필름이 에칭되어 떨어지는 경우에만 검출된다. 이것은 NH3+ 종이 오직 유리에 존재하고, 다른 종들은 질소 및 탄소질 층 사이에 1차 반응을 포함한다는 것을 매우 강하게 제시한다. 표면상에 모든 원자의 퍼센트로서 질소 종의 종분화 (즉, 종의 분율 x 검출된 질소의 분율)는 아래 표 13에 나타낸다.
Figure pct00018
이러한 N2-O2 처리의 1차 효과가 탄소질 표면 개질층의 에칭인 것을 알 수 있다. 사실상, 매우 작은 탄소질 물질은 60 및 600초 처리 동안 표면상에 존재한다. 다른 관찰은 질소 종이 매우 짧은 N2-O2 처리 시간, 예를 들어, 5 및 15초 후에도 표면 개질층 상에 존재하는 것이다. 이후, 질소 종은 빠르게 감소하고, 반면에 (기저 유리 표면의 존재를 나타내는) 암모니아 종은 빠르게 증가한다. 탄소질 표면 개질층의 5초 N2-O2 플라즈마 처리 동안 탄소 종분화의 XPS 평가는 또한 산소 및 질소를 함유하는 몇 가지 다른 종이 표면 개질층 상에 존재한다는 것을 밝혔다. 그 산소-함유 종은 O2 플라즈마 단독이 표면 개질층에 극성기를 부여하는데 충분할 수 있다는 생각으로 이어진다. 사실, 이것은 그 경우인 것으로 확인되었고, 하기에 논의된다.
NH3+ 종이 오직 유리에서 발생하고 탄소질 층에서는 아니라는 추정에 기초하여, 표면 커버리지는 NH3+/Σ (모든 질소 화합물)의 비를 계산하여 평가될 수 있다. 이 표면 커버리지 조사의 결과는 도 17에 제공된다. 5초 및 15초 사이에서 거의 변화가 없다. 가장 큰 변화는 15초 및 60초의 N2-O2 플라즈마 처리 시간 사이에서 일어난다.
상기 탄소질 표면 개질층의 N2-O2 플라즈마 처리의 모델은 다음과 같다. CH4-H2 침착은 연속적 탄화수소층을 생산한다. N2-O2 플라즈마 처리의 처음 몇 초에서, 극성 -NH2 기는 탄화수소층이 산화되고 삭막되기 때문에 고분자 표면상에 형성된다. 이미드 또는 아미드기들은 또한 이 시간에 형성될 수 있지만, XPS는 확정적이지 않다. 더 긴 N2-O2 플라즈마 처리로, 고분자 제거는 유리 표면에 도달하고, 여기서 극성 -NH3+ 기는 N2-O2 플라즈마 및 유리 표면의 상호작용으로부터 형성된다.
표면 개질층의 표면 처리로 O2 단독
탄소질 층의 N2-O2 처리에 대한 대안으로, 표면 에너지를 증가시키고 및 탄소질 층에 극성기를 생성하기 위해 O2의 단독 사용을 또한 분석되었다. 논의된 바와 같이, 상기 탄소질 층의 5초 N2-O2 플라즈마 처리의 XPS 탄소 종분화는 산소-함유 종이 표면 개질층에, 실제로, 존재하는 것을 보여준다. 따라서, 상기 탄소질 층의 O2 처리는 시도되었다. O2 처리는 ICP 모드, 및 RIE 모드 모두에서 수행되었다.
ICP 모드에서, 기본 탄소질 층은 상기 표 11에서 단계 1에 따라 형성되었다. 단계 2의 표면 처리는 그 다음 15 mTorr 압력하에서 800/50W 전력으로, 40 sccm O2, 0 sccm N2을 흘려 수행되었고, 그래서 탄소질 층의 표면상에 원하는 극성기 및 표면 에너지에서 원하는 증가를 생산한다. 상기 얇은 유리 시트는 실온에서 표면 개질층에 쉽게 결합된다. 또한, 이들 샘플은 450℃에서 어닐링 이후 영구적으로 부착되지 않는 것으로 관찰되었다, 즉, 이것은 400℃ 공정 시험의 파트 (c)를 통과할 수 있었다. 또한, 이 샘플은 (전술된 진공 시험 (1), 습식 공정 시험 (2), 및 초음파 시험 (5)을 포함하는) FPD 공정을 견디기에 충분히 강하고, 충분한 박리력의 적용에 의해 탈-결합 가능하게 유지된다. 탈-결합은 얇은 유리 상에 제작된 장치의 제거 및 캐리어의 재사용을 허용한다.
RIE 모드에서, 기본 탄소질 층은 상기 표 12에서 단계 1에 따라 형성되었다. 단계 2의 표면 처리는 그 다음 50 mTorr 압력하에서 200W 전력으로, 50 sccm O2, 0 sccm N2을 흘려 수행되었다. ICP 모드와 유사하게, 이들 조건은 또한 탄소질 층의 표면상에 원하는 극성기 및 표면 에너지에서 원하는 증가를 생산한다. 상기 얇은 유리 시트는 실온에서 표면 개질층에 쉽게 결합된다. 또한, 이들 샘플은 450℃에서 어닐링 이후 영구적으로 부착되지 않는 것으로 관찰되었다, 즉, 이들은 400℃ 공정 시험의 파트 (c)를 통과할 수 있었다. 또한, 이 샘플은 (전술된 진공 시험 (1), 습식 공정 시험 (2), 및 초음파 시험 (5)을 포함하는) FPD 공정을 견디기에 충분히 강하고, 충분한 박리력의 적용에 의해 탈-결합 가능하게 유지된다. 탈-결합은 얇은 유리 상에 제작된 장치의 제거 및 캐리어의 재사용을 허용한다.
따라서, O2 처리는 N2-O2 처리와 유사한 방식으로 거동하는 것을 알 수 있었다. 유사한 고려사항은 (두께를 증가시키는) 초기 표면 개질층 침착 시간 및 02 처리 시간의 균형에 대하여 적용된다.
소량의 불소
몇몇의 원자% F, 약 2.2%는 ICP 모드 탄화수소 고분자 침착된 탄소질 층의 XPS 분석에서 확인하였다. 이것은 Oxford가 유리, 유전체, 및 금속의 불소 및 염소 에칭을 위해 사용된 것이 사실인 것으로 밟혀졌다. 소량의 불소는 탄화수소 침착된 표면 개질층 특성에 유리하다는 것을 확인하였다. 통상적인 반응기 세정 공정은 O2 세정 및 H2 플라즈마 세정을 수반하는 SF6-O2 세정이다. 에칭 단계는 30분의 길이이고, 중간에 펌프/퍼지 단계를 포함한다. SF6-O2는 탄화수소 고분자의 에칭율이 02 단독보다 상당히 높기 때문에 초기 세정에 사용된다. H2 플라즈마 세정 단계는 반응기 벽 상에 침착으로부터 떠돌이 불소를 거의 제거해야만 한다. 만약 H2 플라즈마 세정이 빠진다면, 탄화수소 표면 개질층에 더 많은 양의 불소를 혼입되는 것이 예상될 것이다. 도 16은 탄화수소 표면 개질층으로 H2 플라즈마 단계를 빼는 영향을 나타낸다. 결합 에너지는, 버블링에서 큰 증가 없이 600℃까지 영구 결합을 대체하여, 낮아진다. 따라서, 탄화수소 표면 개질층에서 소량의 불소, 즉, 적어도 약 3%까지는 이롭다.
표면 거칠기
탄화수소-형성 표면 개질층의 침착에 기인한 유리 결합 표면의 표면 거칠기에서 변화는 분석되었다. 구체적으로, 질소 및 그 다음 순차적으로 수소 처리된 메탄-수소 형성 표면 개질층이 선택되었다. 두 캐리어는 순차적인 인-시튜 N2 및 그 다음 H2 플라즈마 처리가 수반되는 메탄-수소 형성된 표면 개질층 (60초 동안 CH4 40H2 5mT 1500/50W, 그 다음 15초 동안 40N2 5mT 1500/50W, 그 다음 15초 동안 40H2 15mT 1500/50W)으로 준비되었다. 제1 캐리어의 표면 개질층 (실시 예 14a)은 SC1 세정이 수반되는 O2 플라즈마 세정에 의해 제거되었다. 제2 캐리어 (실시 예 14b)의 표면 개질층은 제자리에 남겨둔다. 제3 캐리어 (실시 예 14c)는 대조구로서 사용되었고, 이에 적용된 표면 개질층이 없다. AFM는 표면-개질-층이 적용되고 그 다음 스트립된 캐리어 (실시 예 14a), 그 위에 표면 개질층을 여전히 갖는 캐리어 (실시 예 14b), 및 대조 캐리어 (실시 예 14c)의 표면 거칠기를 평가하는데 사용되었다. AFM 측정으로부터 Rq, Ra, 및 Rz, 범위는 표 14에 ㎚ (nanometers)의 단위로 나타낸다. 실시 예 14a 및 14b의 거칠기는, 실시 예 14c의 것과 구분되지 않는다. 실시 예 14c에 대해, 5x5 micron 스캔에서 과잉의 z-범위가 스캔된 구역 내의 입자에 기인한다는 점이 주목되어야 한다. 따라서, 본 개시의 탄화수소-형성 표면 개질층이 유리 결합 표면의 표면 거칠기를 변화시키지 않는다는 것을 알 수 있다. 어떤 상황에서, 결합 표면의 미변화된 표면 거칠기는, 예를 들어, 캐리어의 재사용에 대해, 유리할 수 있다. 이들 실시 예에서 유리 캐리어는 (Corning Incorporated, Corning NY로부터 이용 가능한) 알루미노 보로실리케이트 알칼리가-없는 디스플레이 유리인, Corning® Eagle XG®로 만든 기판이다.
Figure pct00019
일반적 고려사항
실시 예 2-12에서 캐리어로부터 얇은 시트의 전술된 분리는 얇은 시트 및 캐리어 사이에 결합 계면을 개질시키기 위해 어떤 다른 열 또는 화학적 에너지의 부가 없이 실온에서 수행된다. 유일한 에너지 입력 (energy input)은 기계적 당김 및/또는 박리력이다.
실시 예 3 및 5-12의 표면 개질층이 얇은 유기층이기 때문에, 이들은 열 및 플라즈마 공정에서 산소에 민감하다. 따라서, 이들 표면 개질층은 장치 제작 동안 보호되어야 한다. 상기 표면 개질층은 열 공정 동안 비-산소 함유 환경 (예를 들어, N2 환경)의 사용에 의해 보호될 수 있다. 선택적으로, 예를 들어, 결합된 얇은 유리 시트 및 캐리어 사이에 계면의 에지에 걸친, 얇은 금속층인, 보호성 코팅을 침착은, 상승된 온도에서 산소 환경의 영향에 대해 표면 개질층을 보호하는데 충분하다.
상기 얇은 시트 및 캐리어 모두가 유리 결합 표면을 포함하는 경우, 실시 예 3 내지 12에서 전술된 표면 개질 물질은, 캐리어, 얇은 시트, 또는 함께 결합될 캐리어 및 얇은 시트 표면 모두에 적용될 수 있다. 선택적으로, 하나의 결합 표면이 고분자 결합 표면이고, 다른 하나의 결합 표면은 (하기에 더욱 상세하게 기재된 바와 같은) 유리 결합 표면인 경우, 실시 예 3 내지 12에서 전술된 (고분자 결합 표면의 표면 에너지에 기초한) 적절한 표면 개질 물질은 유리 결합 표면에 적용될 것이다. 더욱이, 상기 캐리어 또는 얇은 시트는 같은 물질로 구성될 필요는 없지만, 이의 결합 표면이 관심의 표면 개질층을 수신하기에 적절한 것이라면, 그 안에 다른 층 및/또는 물질을 포함할 수 있다. 예를 들어, 상기 결합 표면은 유리, 유리-세라믹, 세라믹, 실리콘, 또는 금속일 수 있고, 여기서 상기 캐리어 및/또는 얇은 시트의 나머지는 다른 물질일 수 있다. 예를 들어, 상기 얇은 시트 (20) 결합 표면은 실리콘, 폴리실리콘, 단결정 실리콘, 사파이어, 석영, 유리, 세라믹, 또는 유리-세라믹을 포함하는 어떤 적절한 물질 일 수 있다. 예를 들어, 상기 캐리어 (10) 결합 표면은 유리 기판, 또는 유리와 같은 유사한 표면 에너지를 갖는 또 다른 적절한 물질, 예를 들어, 실리콘, 폴리실리콘, 단결정 실리콘, 세라믹, 유리-세라믹, 사파이어, 또는 석영일 수 있다.
여기에 논의된 실시 예로부터 알 수 있는 바와 같이, 상기 표면 개질층은, 이의 후속 처리와 함께, 유리 결합 표면상에 표면 에너지를 광범위하게 변화시키는 방식을 제공한다. 예를 들어, 모든 실시 예로부터, 유리 결합 표면의 표면 에너지가 (실시 예 5g에서와 같이) 약 36 mJ/㎡으로부터 (실시 예 5f에서와 같이) 약 80 mJ/㎡로 변화될 수 있는 것을 알 수 있다. 비-불소화된 원재료를 사용하여, 후속 표면 처리 없이, 1단계 공정에서, 유리 결합 표면의 표면 에너지가 약 37 mJ/㎡ (실시 예 16b)로부터 약 67 mJ/㎡ (실시 예 7h 및 7j)로 변화될 수 있다는 것을 알 수 있다. 극성기가 증가하는 후속 처리를 갖는 탄소질 표면 개질층을 사용하여, 유리 결합 표면의 표면 에너지가 약 52 mJ/㎡ (실시 예 12j)로부터 약 74 mJ/㎡ (실시 예 8a)로 변화될 수 있다는 것을 알 수 있다. 1단계 공정, 또는 2-단계 공정에서 비-불소화 원재료를 사용하여, 유리 결합 표면의 표면 에너지가 약 37 mJ/㎡ (실시 예 16b)로부터 약 74 mJ/㎡ (실시 예 8a)로 변화될 수 있다는 것을 알 수 있다. 표면 개질층을 침착시키고, 이의 후속 처리를 갖는, 불소-함유, 또는 비-불소-함유 원재료를 사용하여, 유리 결합 표면의 표면 에너지가 약 41 mJ/㎡ (실시 예 5m)로부터 약 80 mJ/㎡ (실시 예 5f)로 변화될 수 있다는 것을 알 수 있다.
부가적으로, 여기에 논의된 실시 예로부터 알 수 있는 바와 같이, 상기 표면 개질층의 두께는 크게 변화될 수 있다. 바람직한 결과는 약 2㎚ (실시 예 3) 내지 약 8.8㎚ (실시 예 12c)의 범위 내에서 표면 개질층 두께가 달성된다.
조절된 결합의 사용
재사용가능한 캐리어
(물질 및 연관된 결합 표면 열 처리를 포함하는) 표면 개질층을 통한 조절된 결합의 하나의 사용은, 예를 들어, LTPS 공정에서와 같이, ≥ 600℃의 온도를 요구하는 공정을 수행하는 제품에 캐리어의 재사용을 제공하는 데 있다. 실시 예 2e, 3a, 3b, 4c, 4d, 및 4e, 및 표 5의 실시 예에 의해 예시된 듯이, (물질 및 결합 표면 열 처리를 포함하는) 표면 개질층은 이러한 온도 조건하에서 캐리어의 재사용을 제공하기 위해 사용될 수 있다. 구체적으로, 이들 표면 개질층은 (유리 결합 표면을 갖는) 얇은 시트 및 (유리 결합 표면을 갖는) 캐리어의 결합 구역 사이에 중첩된 구역의 표면 에너지를 개질하는데 사용될 수 있고, 이에 의해 전체 얇은 시트는 공정 후에 캐리어로부터 분리될 수 있다. 상기 얇은 시트는 한 번에 모두 분리될 수 있거나, 또는 예를 들어, 먼저 상기 얇은 시트의 일부 상에서 생산된 장치를 제거하고, 및 그 후에 재사용을 위한 캐리어의 세정을 위해 나머지 부분을 제거하는 경우와 같이, 부분으로 분리될 수 있다. 전체 얇은 시트가 캐리어로부터 제거된 경우에, 상기 캐리어는 그 위에 또 다른 얇은 시트를 놓아 간단히 재사용될 수 있다. 선택적으로, 상기 캐리어는 세정될 수 있고, 다시 한 번 더 표면 개질층을 새롭게 형성시켜 얇을 시트를 운반시키기 위해 준비될 수 있다. 상기 표면 개질층이 캐리어와 얇은 시트의 영구 결합을 방지하기 때문에, 이들은 온도가 ≥ 600℃인 공정에 대해 사용될 수 있다. 물론, 비록 이들 표면 개질층이 ≥ 600℃의 온도에서 공정 동안 결합 표면 에너지를 조절할 수 있을지라도, 이들은 또한 더 낮은 온도에서 공정을 견딜 수 있는 얇은 시트 및 캐리어 조합을 생산하는데 사용될 수 있고, 그리고 결합을 조절하여 이러한 더 낮은 온도 적용에 사용될 수 있다. 더군다나, 제품의 열 공정이 400℃를 초과하지 않는 경우, 실시 예 2c, 2d, 4b, (표 10의 실시 예의 대안으로 논의된 실시 예를 포함하는) 표 7-11의 실시 예, 실시 예 12a, 12b, 12c, 12g, 12g, 및 O2 단독으로 표면 처리의 실시 예에 의해 예시된 표면 개질층은 또한, 동일한 방식에서 사용될 수 있다.
예를 들어, 표 3의 실시 예, 실시 예 4b, 4c, 4d, 4e, 표 5 및 7-11의 실시 예, 실시 예 12a, 12b, 12c, 12g, 12j, 및 O2 단독으로 표면 처리의 실시 예를 포함하는, 여기에 기재된 표면 개질층을 사용하는 하나의 장점은, 캐리어가 동일 크기로 재사용될 수 있다는 점이다. 즉, 상기 얇은 시트는 캐리어로부터 제거될 수 있고, 상기 표면 개질층은 비-파괴적 방식 (예를 들어, 02 또는 다른 플라즈마 세정)에 의해 캐리어로부터 제거되며, 그리고 어떤 방식에서 상기 캐리어 (예를 들어, 이의 에지)를 절단 없이 재사용된다.
조절된 결합 구역을 제공하기 위해
(물질 및 연관된 결합 표면 열 처리를 포함하는) 표면 개질층을 통한 조절된 결합의 제2 사용은 유리 캐리어 및 유리 얇은 시트 사이에, 조절된 결합 구역을 제공하는 데 있다. 좀 더 구체적으로, 표면 개질층의 사용으로, 조절된 결합의 구역은 형성될 수 있고, 여기서 충분한 분리력은 결합에 의해 유발된 얇은 시트 또는 캐리어에 손상 없이 캐리어로부터 얇은 시트 부분을 분리시킬 수 있지만, 여전히 상기 캐리어에 대하여 얇은 시트를 보유하는 충분한 결합력이 공정 내내 유지된다. 도 6을 참고하면, 유리 얇은 시트 (20)는 결합된 구역 (40)에 의해 유리 캐리어 (10)에 결합될 수 있다. 상기 결합된 구역 (40)에서, 상기 캐리어 (10) 및 얇은 시트 (20)는 이들이 단일체로 작용하도록 서로 공유적으로 결합된다. 부가적으로, 둘레 (52)를 갖는 조절된 결합 구역 (50)이 있고, 여기서 캐리어 (10) 및 얇은 시트 (20)는 연결되지만, 고온 공정, 예를 들어, ≥ 600℃의 온도에서 공정 이후에도, 서로 분리될 수 있다. 비록 10개의 조절된 결합 구역 (50)이 도 6에서 도시되었을지라도, 하나를 포함하는, 어떤 적절한 수는 제공될 수 있다. 실시 예 2a, 2e, 3a, 3b, 4c, 4d, 및 4e, 표 5의 실시 예에 의해 예시된 바와 같은, 물질 및 결합 표면 열 처리를 포함하는, 상기 표면 개질층 (30)은, 유리 결합 표면을 갖는 캐리어 (10) 및 유리 결합 표면을 갖는 얇은 시트 (20) 사이에서 조절된 결합 구역 (50)을 제공하기 위해 사용될 수 있다. 구체적으로, 이들 표면 개질층은 캐리어 (10) 상에 또는 얇은 시트 (20) 상에 조절된 결합 구역 (50)의 둘레 (52) 내에 형성될 수 있다. 따라서, 제품 (2)이 결합 구역 (40)에서 공유 결합을 형성하거나 또는 장치 공정 동안, 고온에서 가공처리되는 경우, 둘레 (52)에 의해 결합된 구역 내에 캐리어 (10) 및 얇은 시트 (20) 사이에 조절된 결합을 제공할 수 있고, 이에 의해 분리력은 이 영역에서 상기 얇은 시트 및 캐리어를 (얇은 시트 또는 캐리어에 파멸적인 손상 없이) 분리될 수 있지만, 여전히 상기 얇은 시트 및 캐리어는, 초음파 가공을 포함하는, 공정 동안 탈리되지 않을 것이다. 표면 개질층 및 어떤 연관된 열 처리에 의해 제공된 바와 같은, 본 적용의 조절된 결합은 따라서 US '727에서 캐리어 개념으로 개선될 수 있다. 구체적으로, 비록 US '727의 캐리어가 이들의 결합된 주변 및 비-결합된 중심 영역으로 ≥ 약 600℃의 고온 공정을 포함하는, FPD 공정을 견디는 것이 입증되었지만, 초음파 공정, 예를 들어, 습식 세정 및 레지스트 스트립 공정 (resist strip processing)은 힘든 것으로 남아있다. 구체적으로, 용액에서 압력파 (pressure waves)는, (US '727에 기재된 비-결합과 같은) 비-결합 영역에서 얇은 유리에 교감 진동 (sympathic vibrations)을 유도하는 것을 알 수 있는데, 이는 그 영역에서 얇은 유리 및 캐리어를 결합하는 접착력이 없거나 또는 거의 없기 때문이다. 상기 얇은 유리에서 정재파 (Standing waves)는 형성될 수 있고, 여기서 이들 파는, 만약 초음파 교반이 충분한 강도라면, 결합된 및 비-결합된 영역 사이의 계면에서 얇은 유리의 파괴를 유도할 수 있는 진동을 유발할 수 있다. 이 문제점은 이들 면적 (50)에서 캐리어 (20) 및 얇은 유리 (10) 사이에서 충분한 조절된 결합 또는 접착력을 제공하여, 그리고 상기 얇은 유리 및 캐리어 사이에 갭을 최소화하여 제거될 수 있다. 결합 표면의 (실시 예 2a, 2e, 3a, 3b, 4c, 4d, 4e 및 표 5의 실시 예에 의해 예시된 것과 같은 물질 및 어떤 연관된 열 처리를 포함하는) 표면 개질층은 조절된 결합 영역에서 원하지 않는 진동을 피하기 위해 캐리어 (10) 상에 유리 표면 및 얇은 시트 (20) 상에 유리 결합 표면 사이에 충분한 결합을 제공하기 위해 결합 에너지를 조절한다.
그 다음, 둘레 (57)를 갖는 원하는 부분 (56)의 추출 동안, 둘레 (52) 내에 얇은 시트 (20)의 부분은 공정 이후 및 둘레 (57)를 따라 얇은 시트의 분리 이후에 캐리어 (10)로부터 간단히 분리될 수 있다. 표면 개질층은 캐리어와 얇은 시트의 영구 결합을 방지하기 위해 결합 에너지를 조절하기 때문에, 이들은 온도가 ≥ 600℃인 공정에 대해 사용될 수 있다. 물론, 비록 이들 표면 개질층이 ≥ 600℃의 온도의 공정 동안 결합 표면 에너지를 조절할 수 있을지라도, 이들은 또한 더 낮은 온도에서 공정을 견디고, 이러한 더 낮은 온도 적용에서 사용될 수 있는 얇은 시트 및 캐리어의 조합을 생산하는데 사용될 수 있다. 더군다나, 제품의 열 공정이 400℃를 초과하지 않을 경우, 실시 예 2c, 2d, 4b, (표 10의 실시 예의 대안으로 논의된 실시 예를 포함하는) 표 7-11의 실시 예, 실시 예 12a, 12b, 12c, 12g, 12g, 및 02 단독으로 표면 처리의 실시 예에 의해 예시된 바와 같은 표면 개질층은 또한, 결합 표면 에너지를 조절하는 동일한 방식으로 - 몇몇 경우에서, 다른 공정 요구조건에 의존하여 - 사용될 수 있다.
결합 구역을 제공
(물질 및 어떤 연관된 결합 표면 열 처리를 포함하는) 표면 개질층을 통해 조절된 결합의 제3 사용은 유리 캐리어 및 유리 얇은 시트 사이에 결합 구역을 제공하는 것이다. 도 6을 참조하면, 유리 얇은 시트 (20)는 결합 구역 (40)에 의해 유리 캐리어 (10)에 결합될 수 있다.
제3 사용의 하나의 구체 예에서, 결합 구역 (40), 캐리어 (10) 및 얇은 시트 (20)는 이들이 단일체로 작용하기 위해 서로 공유 결합될 수 있다. 부가적으로, 둘레 (52)를 갖는 조절된 결합 구역 (50)이 있고, 여기서 상기 캐리어 (10) 및 얇은 시트 (20)는 공정을 견디도록 충분히 서로 결합되며, 여전히 고온 공정, 예를 들어, ≥ 600℃의 공정 이후에도 캐리어로부터 얇은 시트의 분리를 가능하게 한다. 따라서, 상기 실시 예 1a, 1b, 1c, 2b, 2c, 2d, 4a, 4b, 12d, 12e, 12f, 12h, 및 12i에 의해 예시된 것과 같은 (물질 및 결합 표면 열 처리를 포함하는) 표면 개질층 (30)은 상기 캐리어 (10) 및 얇은 시트 (20) 사이에 결합 구역 (40)을 제공하는데 사용될 수 있다. 구체적으로, 이들 표면 개질층 및 열 처리는 캐리어 (10) 또는 얇은 시트 (20) 상에 조절된 결합 구역 (50)의 둘레 (52)의 외부에 형성될 수 있다. 따라서, 제품 (2)이 고온에서 가공처리되거나, 또는 공유 결합을 형성하기 위해 고온에서 처리되는 경우, 상기 캐리어 및 얇은 시트 (20)는 둘레 (52)에 의해 결합된 구역 외부의 결합 구역 (40) 내에서 서로 결합될 것이다. 그 다음, 둘레 (57)를 갖는 원하는 부분 (56)의 추출 동안, 상기 얇은 시트 (20) 및 캐리어 (10)를 다이싱하는 것이 바람직한 경우, 상기 제품은 이들 표면 개질층 및 열 처리가 이 구역에서 이들이 단일체로 작용하도록 캐리어 (10)와 얇은 시트 (20)를 공유 결합하기 때문에 라인 (5)에 따라 분리될 수 있다. 표면 개질층이 캐리어와 얇은 시트 영구 공유 결합을 제공하기 때문에, 이들은 온도가 ≥ 600℃인 공정에 대해 사용될 수 있다. 더군다나, 제품 또는 결합 구역 (40)의 초기 형성의 열 공정이, ≥ 400℃이지만 600℃ 미만인 경우, 실시 예 4a에서 물질 및 열 처리에 의해 예시된 것과 같이, 표면 개질층은 또한 이러한 동일한 방식으로 사용될 수 있다.
제3 사용의 제2 구체 예에서, 결합 구역 (40)에서, 상기 캐리어 (10) 및 얇은 시트 (20)는 전술된 다양한 표면 개질층을 통해 조절된 결합에 의해 서로 결합될 수 있다. 부가적으로, 둘레 (52)를 갖는, 조절된 결합 구역 (50)이 있고, 여기서 캐리어 (10) 및 얇은 시트 (20)는 공정을 견디도록 충분하게 서로 결합되고, 여전히 고온 공정 후, 예를 들어, ≥ 600℃의 온도의 공정 이후에도 캐리어로부터 얇은 시트의 분리를 가능하게 한다. 따라서, 만약 공정이 600℃까지의 온도에서 수행되고, 구역 (40)에서 영구 또는 공유 결합을 갖지 않는 것을 원한다면, 실시 예 2e, 3a, 3b, 4c, 4d, 4e, 및 표 5의 실시 예에 의해 예시된 바와 같이 (물질 및 결합 표면 열 처리를 포함하는) 표면 개질층 (30)은, 캐리어 (10)의 유리 결합 표면 및 얇은 시트 (20)의 유리 결합 표면 사이에 결합 구역 (40)을 제공하는데 사용될 수 있다. 구체적으로, 이들 표면 개질층 및 열 처리는 조절된 결합 구역 (50)의 둘레 (52)의 외부에 형성될 수 있고, 및 캐리어 (10) 또는 얇은 시트 (20) 상에 형성될 수 있다. 조절된 결합 구역 (50)은 결합 구역 (40)에서 형성된 바와 같은 표면 개질층과 같거나 또는 다르게 형성될 수 있다. 선택적으로, 만약 공정이 400℃까지의 온도에서만 수행되고, 구역 (40)에서 영구 또는 고유 결합을 갖지 않는 것을 원한다면, 실시 예 2c, 2d, 2e, 3a, 3b, 4b, 4c, 4d, 4e, 표 5의 실시 예, (표 10의 실시 예의 대안으로 논의된 실시 예를 포함하는) 표 7-11의 실시 예, 실시 예 12a, 12b, 12c, 12g, 12g, 및 02 단독으로 표면 처리의 실시 예에 의해 예시된 바와 같은 (물질 및 결합 표면 열 처리를 포함하는) 표면 개질층 (30)은, 캐리어 (10)의 유리 결합 표면 및 얇은 시트 (20)의 유리 결합 표면 사이에 결합 구역 (40)을 제공하는데 사용될 수 있다.
구역 (50)에서 조절된 결합 대신에, 구역 (50)에서 비-결합 영역이 있을 수 있고, 여기서 상기 비-결합 영역은 US '727에 기재된 바와 같은 증가된 표면 거칠기의 구역일 수 있거나, 또는 실시 예 2a에 의해 예시된 바와 같은 표면 개질층에 의해 제공될 수 있다.
벌크 어닐링 (bulk annealing) 또는 벌크 공정에 대해
결합을 조절하는 전술된 방식의 네 번째 사용은 유리 시트의 스택의 벌크 어닐링에 대한 것이다. 어닐링는 유리의 컴팩션 (compaction)을 달성하기 위한 열 공정이다. 컴팩션은 유리 연화점 아래 온도이지만, 후속 공정 단계에서 도달되는 최대 온도 이상에서 유리체 (glass body)를 재가열하는 단계를 포함한다. 이는 후속 공정 동안보다 오히려 전에 유리에서 구조적 재배열 및 치수적인 완화 (relaxation)을 달성한다. 후속 공정 전에 어닐링은 평면 패널 디스플레이 장치의 제작에서와 같이, 후속 공정 동안 유리체에서 정밀한 배열 및/또는 평탄도를 유지하는데 유리하고, 여기서 다층으로 구성된 구조는 고온 환경에 적용된 후에도, 매우 엄격한 허용 오차로 정렬될 필요가 있다. 만약 유리가 하나의 고온 공정에서 컴팩션된다면, 고온 공정 전에 유리 상에 침착된 구조의 층들은 고온 공정 이후에 침착된 구조의 층과 정확하게 정렬되지 않을 수 있다.
스택에서 유리 시트를 컴팩션하는 것은 경제적으로 매력적이다. 그러나, 이것은 들러붙음을 피하기 위해 인접 시트를 끼우거나, 또는 분리할 필요가 있다. 동시에, 매우 평평하고, 광학-품질로, 또는 오염되지 않은, 표면 마감으로 시트를 유지하는 것이 유리하다. 부가적으로, 유리 시트, 예를 들어, 작은 표면적을 갖는 시트의 어떤 스택에 대해, 이들이 분리 없이 유닛으로 쉽게 이동될 수 있지만, 시트들이 개별적으로 사용될 수 있도록 어닐링 이후에 (예를 들어, 박리에 의해) 서로 빠르게 분리될 수 있도록, 어닐링 공정 동안 서로 유리 시트 "점착 상태 (stick)"를 갖는 것이 유리할 수 있다. 선택적으로, 유리 시트의 스택을 어닐링하는 것이 유리할 수 있고, 여기서 선택된 하나의 유리 시트는 서로 영구 결합을 방지하고, 동시에, 유리 시트의 다른 하나, 또는 이들 다른 유리 시트의 일부, 예를 들어, 이들의 둘레가 서로 영구적으로 결합하는 것을 가능하게 한다. 또 다른 대안으로, 스택에서 시트의 선택된 인접한 쌍의 둘레를, 벌크로, 선택적으로 영구적으로 결합하도록 유리 시트를 쌓는 것이 유리할 수 있다. 유리 시트들 사이에 결합을 조절하는 전술된 방식은 전술된 벌크 어닐링 및/또는 선택적 결합을 달성하는데 사용될 수 있다. 인접 시트들 사이의 어떤 특정 계면에서 결합을 조절하기 위해, 그 계면을 마주보는 주표면 중 적어도 하나상에 표면 개질층이 사용될 수 있다.
선택된 구역 (예를 들어 둘레 주변)에서 벌크 영구 결합 또는 벌크 어닐링을 위해 적절한, 유리 시트의 스택의 하나의 구체 예는 도 7 및 8을 참조하여 기재될 것이다. 여기서, 도 7은 유리 시트 (770-772)의 스택 (760)의 개략적인 단면도이고, 도 8은 또 다른 설명의 목적을 위한 이의 분해도이다.
유리 시트의 스택 (760)은 유리 시트 (770-772), 및 상기 유리 시트 (770-772) 사이에 결합을 조절하기 위한 표면 개질층 (790)을 포함할 수 있다. 부가적으로, 상기 스택 (760)은 스택의 상부 및 하부 상에 배치된 커버 시트 (780, 781)를 포함할 수 있고, 상기 커버 및 인접한 유리 시트 사이에 표면 개질층 (790)을 포함할 수 있다.
도 8에서 나타낸 바와 같이, 각각의 유리 시트 (770-772)는 제1 주표면 (776) 및 제2 주표면 (778)을 포함한다. 유리 시트는 어떤 적절한 유리 물질, 예를 들어, 알루미노-실리케이트 유리, 보로-실리케이트 유리, 또는 알루미노-보로-실리케이트 유리로 구성될 수 있다. 부가적으로, 상기 유리는 알칼리 함유할 수 있거나, 또는 알칼리가-없을 수 있다. 각각의 유리 시트 (770-772)는 같은 조성물일 수 있거나, 또는 상기 시트는 다른 조성물 일 수 있다. 더욱이, 상기 유리 시트는 어떤 적절한 타입일 수 있다. 즉, 예를 들어, 상기 유리 시트 (770-772)는 전술된 바와 같이 모든 캐리어일 수 있고, 전술된 바와 같이 모든 얇은 시트일 수 있거나, 또는 선택적으로 캐리어 및 얇은 시트일 수 있다. 벌크 어닐링이 얇은 시트에서보다 캐리어에 대해 다른 시간-온도 사이클을 요구하는 경우, 캐리어의 스택, 및 얇은 시트의 분리 스택을 갖는 것이 유리하다. 선택적으로, 정확한 표면 개질층 물질 및 배치로, 교대로 캐리어 및 얇은 시트를 갖는 스택을 갖는 것이 바람직할 수 있고, 이에 의해 만약 원한다면, 캐리어 및 얇은 시트의 쌍, 즉, 제품을 형성한 것은 나중의 공정 동안 벌크로 서로 공유적으로 결합될 수 있으며, 반면에 동시에 서로 인접 제품을 분리하는 능력을 보존한다. 더욱이, 스택에서 어떤 적절한 수의 유리 시트가 있을 수 있다. 즉, 비록 오직 세 개의 유리 시트 (770-772)가 도 7 및 8에 도시되었을지라도, 어떤 적절한 수의 유리 시트는 스택 (760)에 포함될 수 있다.
어떤 특정 스택 (760)에서, 어떤 하나의 유리 시트는 표면 개질층이 없거나, 하나의 표면 개질층, 또는 둘의 표면 개질층을 포함할 수 있다. 예를 들어, 도 8에 나타낸 바와 같이, 시트 (770)는 표면 개질층이 없고, 시트 (771)는 이의 제2 주표면 (778) 상에 하나의 표면 개질층 (790)을 포함하며, 시트 (772)는 두 개의 표면 개질층 (790)을 포함하고, 여기서 하나의 이러한 표면 개질층은 각각의 이의 주표면 (776, 778) 상에 있다.
커버 시트 (780, 781)는 제공된 공정 동안 시간-온도 사이클을 적절하게 견디는 어떤 물질 (예를 들어, 시간 및 온도의 관점에서뿐만 아니라, 탈가싱과 같은 다른 관련 있는 고려사항에 대한 물질)일 수 있다. 유리하게, 커버 시트는 가공처리될 유리 시트와 같은 물질로 구성될 수 있다. 커버 시트 (780, 781)가 존재하고, 스택이 주어진 시간-온도 사이클을 겪을 때 유리 시트와 바람직하지 않게 결합하는 물질인 경우, 표면 개질층 (790)은 유리 시트 (771) 및 커버 시트 (781) 사이 및/또는 유리 시트 (772) 및 커버 시트 (780) 사이에 적절하게 포함될 수 있다. 커버 및 유리 시트 사이에 존재하는 경우, 상기 표면 개질층은 (커버 (781) 및 인접 시트 (771)로 나타낸 바와 같이) 커버 위에 있을 수 있거나, (커버 (780) 및 시트 (772)에 나타낸 바와 같이) 유리 시트 위에 있을 수 있거나, 또는 커버 및 인접 시트 모두 위에 있을 수 있다 (도시되지 않음). 선택적으로, 만약 커버 시트 (780, 781)가 존재하지만, 인접 시트 (772, 772)와 결합하지 않을 물질이라면, 그 다음 표면 개질층 (790)은 이들 사이에 존재할 필요가 없다.
상기 스택에서 인접 시트 사이에, 계면이 있다. 예를 들어, 유리 시트 (770-772)의 인접 시트들 사이를, 계면으로 정의된다, 즉, 시트 (770) 및 시트 (771) 사이에 계면 (791), 및 시트 (770) 및 시트 (772) 사이에 계면 (792)이 있다. 부가적으로, 커버 시트 (780, 781)가 존재하는 경우, 커버 (781) 및 시트 (771) 사이 계면 (793), 뿐만 아니라 시트 (772) 및 커버 (780) 사이에 계면 (793)이 있다.
인접한 유리 시트 사이에 제공된 계면 (791, 792), 또는 유리 시트 및 커버 시트 사이 제공된 계면 (793, 794)에서 결합을 조절하기 위하여, 표면 개질층 (790)은 사용될 수 있다. 예를 들어, 도시된 바와 같이, 각 계면 (791, 792)에서, 그 계면을 마주하는 주표면의 적어도 하나 위에 표면 개질층 (790)이 존재한다. 예를 들어, 계면 (791)에 대해, 유리 시트 (771)의 제2 주표면 (778)은 시트 (771) 및 인접 시트 (770) 사이에 결합을 조절하기 위한 표면 개질층 (790)을 포함한다. 비록 도시되지는 않았지만, 시트 (770)의 제1 주표면 (776)은 또한 시트 (771)과 결합을 조절하기 위해 그 위에 표면 개질층 (70)을 포함할 수 있다, 즉, 어떤 특정 계면을 마주하는 각각의 주표면 상에 표면 개질층이 있을 수 있다.
어떤 주어진 계면 (791-794)에서 특정 표면 개질층 (790) (및 어떤 연관된 표면 개질 처리 - 예를 들어, 그 표면에 특정 표면 개질층의 적용 전에 특정 표면 상에 열 처리, 또는 표면 개질층이 접촉할 수 있는 표면의 표면 열 처리)은, 인접 시트 사이에 결합을 조절하기 위해 그 특정 계면 (791-794)을 마주하는 주표면 (776, 778)에 대해 선택될 수 있고, 이에 의해, 스택 (760)이 적용된 주어진 시간-온도 사이클에 대해 원하는 결과를 달성한다.
만약 400℃까지의 온도에서 유리 시트 (770-772)의 스택을 벌크 어닐링하고, 및 어닐링 공정 이후에 서로 각각의 유리 시트를 분리하는 것을 원한다면, 그 다음 어떤 특정 계면, 예를 들어, 계면 (791)에서 결합은, 어떤 연관된 표면 준비와 함께, 실시 예 2a, 2c, 2d, 2e, 3a, 3b, 4b-4e, 표 5의 실시 예, (표 10의 실시 예의 대안으로 논의된 실시 예를 포함하는) 표 7-11의 실시 예, 실시 예 12a, 12b, 12c, 12g, 12g, 또는 02 단독으로 표면 처리의 실시 예 중 어떤 하나에 따른 물질을 사용하여 조절될 수 있다. 좀 더 구체적으로, 시트 (770)의 제1 표면 (776)은 표 2-4에서 "얇은 유리"로서 처리될 것이고, 반면에 시트 (771)의 제2 표면 (778)은 표 2-4에서 "캐리어"로서 처리될 수 있거나, 또는 그 반대로 처리될 수 있다. 400℃까지의 온도를 갖는 적절한 시간-온도 사이클은, 스택 전체에 걸쳐 필요한 시간-온도를 달성하기 위해, 원하는 컴팩션의 정도, 스택에서 시트의 수뿐만 아니라, 시트의 크기 및 두께에 기초하여 선택될 수 있다.
유사하게, 만약 600℃까지의 온도에서 유리 시트 (770-772)의 스택을 벌크 어닐링하고, 및 어닐링 공정 후에 서로 각각의 유리 시트로 분리하는 것을 원한다면, 그 다음 어떤 특정 계면, 예를 들어, 계면 (791)에서 결합은, 어떤 연관된 표면 준비와 함께, 실시 예 2a, 2e, 3a, 3b, 4c, 4d, 4e, 또는 표 5의 실시 예 중 어느 하나에 따른 물질을 사용하여 조절될 수 있다. 좀 더 구체적으로, 시트 (770)의 제1 표면 (776)은 표 2-4에서 "얇은 유리"로서 처리될 것이고, 반면에 시트 (771)의 제2 표면 (778)은 표 2-4에서 "캐리어"로서 처리될 수 있거나, 또는 그 반대로 처리될 수 있다. 600℃까지의 온도를 갖는 적절한 시간-온도 사이클은, 스택 전체에 걸쳐 필요한 시간-온도를 달성하기 위해, 원하는 컴팩션의 정도, 스택에서 시트의 수뿐만 아니라, 시트의 크기 및 두께에 기초하여 선택될 수 있다.
더욱이, 시트의 스택 및 이들의 각 쌍 사이에 표면 개질층을 적절하게 구성하여, 벌크 어닐링, 및 벌크 제품 형성을 수행하는 것이 가능하다. 만약 400℃까지의 온도에서 유리 시트 (770-772)의 스택을 벌크 어닐링하고, 그 다음 벌크로 제품 (2)을 형성하기 위해 서로 인접 시트의 쌍들을 공유 결합시키는 것을 원한다면, 적절한 물질 및 연관된 표면 준비는 결합을 조절하기 위해 선택될 수 있다. 예를 들어, 주변부 주위에서 (또는 다른 원하는 결합 구역 (40)에서), 제품 (2)로 형성될 한 쌍의 유리 시트, 예를 들어, 시트들 (770 및 771) 사이의 계면에서 결합은: (i) 시트 (770, 771)의 둘레 (또는 다른 원하는 결합 구역 (40)) 주위에서, 어떤 연관된 표면 준비와 함께, 실시 예 2c, 2d, 4b, (표 10의 실시 예의 대안으로 논의된 실시 예를 포함하는) 표 7-11의 실시 예, 실시 예 12a, 12b, 12c, 12g, 12g, 또는 02 단독으로 표면 처리된 실시 예 중 어느 하나를 따른 물질; 및 (ii) 시트 (770, 771)의 내부 구역 (즉 (i)에서 처리된 바와 같은 둘레의 내부 구역, 또는 원한다면 다른 것으로부터 하나의 시트의 분리를 원하는 조절된 결합 구역 (50)) 상에, 어떤 연관된 표면 준비와 함께, 실시 예 2a, 2e, 3a, 3b, 4c, 4d, 4e, 또는 표 5의 실시 예 중 하나를 따른 물질을 사용하여 조절될 수 있다. 이 경우에서, 조절된 결합 구역 (50)에서 장치 공정은 그 다음 600℃까지의 온도에서 수행될 수 있다.
물질 및 열 처리는 서로의 적합성을 위해 적절히 선택될 수 있다. 예를 들어, 물질 중 어떤 하나 (2c, 2d, 또는 4b)는 조절된 결합 구역을 위해 실시 예 2a에 따른 물질로 결합 구역 (40)에 대해 사용될 수 있다. 선택적으로, 결합 구역 및 조절된 결합 구역을 위한 열 처리는 인접 구역에서 원하는 결합도에 역효과를 나타내는 한 구역에서 열 처리의 효과를 최소화하기 위해 적절하게 조절될 수 있다.
스택에서 유리 시트에 대해 표면 개질층 (790) 및 연관된 열처리를 적절하게 선택한 후에, 이들 시트는 상기 스택으로 적절하게 배열될 수 있고, 그 다음 이들이 서로 영구적으로 결합되지 않고 스택에서 모든 시트를 벌크 어닐링하기 위해 400℃까지 가열될 수 있다. 그 다음, 상기 스택은 결합 구역 및 조절된 결합 구역의 패턴을 갖는 제품 (2)을 형성하기 위해 한 쌍의 인접 시트의 원하는 결합 구역에서 공유 결합을 형성하도록 600℃까지 가열될 수 있다. 제품 (2)을 형성하기 위한 결합 구역 (40)에 의해 공유 결합된 한 쌍의 시트, 및 분리되지만 인접 제품 (2)을 형성하는 또 다른 쌍의 시트 사이에 계면에서 결합은, 인접 제품 (2)이 서로 공유적으로 결합되지 않도록, 실시 예 2a, 2e, 3a, 3b, 4c, 4d, 4e, 표 5의 실시 예의 물질 및 연관된 열 처리로 조절될 수 있다. 인접 제품들 사이 결합을 조절하는 같은 방식에서, 스택에 존재하는 어떤 커버 시트 및 제품 사이에 결합은 조절될 수 있다.
더군다나, 상기와 유사하게, 사전에 그 같은 스택 (760)을 어닐링 없이 스택 (760)으로부터 벌크로 제품 (2)을 형성하는 것이 가능하다. 대신에, 시트는 벌크로 제품을 생산하기 위해 스택에 원하는 조절된 결합을 위해 이들을 구성하기 전에, 개별적으로 어닐링되거나, 또는 다른 스택에서 어닐링 및 그것으로부터 분리될 수 있다. 벌크 어닐링 및 그 다음 동일한 스택으로부터 벌크로 제품을 형성하는 바로 전의 전술된 방식으로부터, 상기 벌크 어닐링은 단순 생략된다.
비록 오직 계면 (791)에서 결합을 조절하는 방식이 상세하게 설명되었을지라도, 물론 동일한 것이 계면 (792)에서 수행될 수 있거나 또는 스택에 3 이상의 유리 시트의 경우에서와 같이, 또는 커버 시트가 유리 시트에 원하지 않게 결합하는 경우와 같이 - 특정 스택에 존재할 수 있는 어떤 다른 계면에 대해 수행될 수 있다. 더욱이, 비록 결합을 조절하는 동일한 방식이 존재하는 어떤 계면 (791, 792, 793, 794)에서 사용될 수 있을지라도, 결합을 조절하는 전술된 방식 중 다른 하나는 또한 원하는 결합의 타입의 관점에서 같거나 또는 다른 결과를 생산하기 위해 다른 계면에 사용될 수 있다.
벌크로 제품 (2)을 형성하거나, 또는 벌크 어닐링의 공정에서, HMDS가 계면에서 결합을 조절하기 위한 물질로 사용되고, 상기 HMDS가 스택의 외부 주변에 노출된 경우, 약 400℃ 이상의 가열은, HMDS의 구역에서 공유 결합을 방지하는 것을 원한다면, 산소-없는 분위기에서 수행되어야 한다. 즉, 만약 HMDS가 HMDS을 산화하기에 충분한 분위기에서 (약 400℃ 이상의 온도에서) 상당량의 산소에 노출된다면, HMDS가 산화된 이러한 구역에서 결합은 인접한 유리 시트들 사이에서 공유 결합이 될 것이다. 다른 알킬 탄화수소 실란 유사하게 더 높은 온도, 예를 들어, 약 400℃ 이상에서 산소에 노출에 의해 영향받을 수 있다, 예를 들어, 에틸, 프로필, 부틸, 또는 스테릴, 실란. 유사하게, 만약 표면 개질층에 대해 다른 물질을 사용한다면, 벌크 어닐링에 대한 환경은 물질이 어닐링의 시간-온도 사이클에 걸쳐 분해되지 않도록 선택되어야 한다. 여기에 사용된 바와 같이, 산소가 없다는 것은 1000 부피ppm 미만, 좀 더 바람직하게는 100 부피ppm의 산소 농도를 의미할 수 있다.
시트의 스택이 벌크 어닐링 되자마자, 개별 시트는 스택으로부터 분리될 수 있다. 상기 개별 시트는 표면 개질층 (790)을 제거하기 위해 (예를 들어, 산소 플라즈마, ≥ 400℃의 온도에서 산소 환경에서 가열, 또는 화학적 산화, SC1, 또는 SC2에 의해) 처리될 수 있다. 상기 개별 시트는 원하는 것, 예를 들어, 전자 장치 기판 (예를 들어, OLED, FPD, 또는 PV 장치)으로 사용될 수 있다.
벌크 어닐링, 또는 벌크 공정의 전술된 방법은 경제적인 방식으로 깨끗한 시트 표면을 유지하는 장점을 갖는다. 좀 더 구체적으로, 상기 시트는 청정-실 어닐링 레어 (lehr)에서와 같이, 출발에서 마감까지 청정 환경에 유지될 필요는 없다. 대신에, 상기 스택은 청정 환경에서 형성될 수 있고, 그 다음 시트들 사이에 유체 흐름이 없기 때문에 시트 표면이 입자로 더러워지지 않게 표준 어닐링 레어 (즉, 청결이 조절되지 않는 레어)에서 가공처리될 수 있다. 따라서, 시트 표면은 시트의 스택이 어닐링되는 환경으로부터 보호된다. 어닐링 후, 시트의 스택은, 시트가 어느 정도의 접착력을 유지하지만, 여전히 시트의 손상 없이 충분한 힘으로 서로 분리 가능하게 유지되기 때문에 (같거나 또는 다른 설비에서) 또 다른 공정 구역에 쉽게 이동될 수 있다. 즉, 유리 제조업자는 (예를 들어) 유리 시트의 스택을 조립 및 어닐링할 수 있고, 그 다음 스택으로 시트를 수송할 수 있다, 여기서 이들은 (이동시 분리되는 걱정 없이) 수송 동안 함께 유지되며, 그래서 이들의 목적지에 도달하면, 상기 시트는 개별적으로 또는 더 작은 그룹으로 시트를 사용할 수 있는 고객에 의해 스택으로부터 분리될 수 있다. 분리를 원할 때, 상기 시트의 스택은 다시 (필요에 따라 스택을 세척한 후에)청정 환경에서 가공처리될 수 있다.
벌크 어닐링의 실시 예
유리 기판은 퓨전 인발 공정으로부터 수신된-대로 사용되었다. 퓨전 인발 유리 조성물은 (mole%로):SiO2 (67.7), Al2O3 (11.0), B2O3 (9.8), CaO (8.7), MgO (2.3), SrO (0.5)이다. 일곱 (7)개의, 0.7 mm 두께 x 150 mm 직경의, 퓨전 인발 유리 기판은 HF를 사용하여 200㎚ 깊이 기준 (fiducials)/버니어 (verniers)로 리소그래피 방법에 의해 패턴화되었다. 이 (2) ㎚의 표면 개질층으로서 플라즈마 침착 불소중합체는 모든 유리 기판의 모든 결합 표면상에 코팅된다, 즉, 또 다른 기판과 마주하는 기판의 각 표면은 코팅되며, 그래서 각 시트 표면의 최종 표면 에너지는 대략 35 mJ/㎡이다. 7개의 코팅된 개별 유리 기판은 단일의, 두꺼운 기판을 형성하기 위해 함께 놓는다 (이하 "유리 스택"이라 한다). 상기 유리 스택은 질소 퍼지된 튜브 가열로에서 15분에 걸쳐 30℃로부터 590℃로 램핑되고 (ramping), 590℃에서 30분 유지되며, 그 다음 50분에 걸쳐 약 230℃로 램핑 다운되고, 그 다음 가열로로부터 유리 스택을 제거하며, 및 약 10분 안에 약 30℃의 실온으로 냉각시켜 어닐링된다. 냉각 후에, 상기 기판은 가열로로부터 제거되고, 면도기 웨지 (razor wedge)를 사용하여 개별 시트 (즉, 샘플은 전체적으로 또는 국지적으로, 영구적으로 결합되지 않음)로 쉽게 분리되었다. 컴팩션은 비-어닐링된 석영 대조구에 유리 기준과 비교하여 각 개별 기판에 대해 측정되었다. 상기 개별 기판은 약 185 ppm으로 컴팩션되는 것으로 확인되었다. (함께 스택되지 않은) 개별 샘플로서 두 개의 기판은 전술된 바와 같이 제2 어닐링 사이클을 거친다 (590℃/30분 유지). 컴팩션은 다시 측정되고, 상기 기판은 제2 열처리에 기인하여 10ppm 미만 (사실상 0 내지 2.5ppm)으로 더욱 컴팩션된 것으로 확인되었다 (제2 열처리 후에 - 원래의 유리 치수와 비교하여 - 유리 치수에서 변화에서 제1 열처리 후에 유리 치수의 변화를 제외함). 따라서, 본 발명자들은 개별 유리 시트가 코팅되고, 스택되며, 컴팩션을 달성하기 위해 고온에서 열 처리되고, 냉각되며, 개별 시트로 분리되고, 제2 열처리 후에 (제1 열처리 후에 이들의 크기와 비교하여) < 10 ppm, 및 더 정확히 < 5 ppm의 치수 변화를 가질 수 있다는 것을 입증하였다.
비록 전술된 어닐링 실시 예에서 가열로가 질소로 퍼지될지라도, 어닐링 가열로는 또한, 어닐링 온도, 및 특정 환경에서 이들 온도에서 표면 개질층 물질의 안정성에 의존하여, 공기, 아르곤, 산소, CO2, 또는 이의 조합을 포함하는 다른 가스로 퍼지될 수 있다. 불활성 분위기에 대한 대안으로, 전술된 어닐링에서 가열로는 진공 환경일 수 있다.
부가적으로, 비록 도시되지는 않았지만, 유리는 시트 대신, 스풀 (spool) 형태로 어닐링될 수 있다. 즉, 적절한 표면 개질층은 유리 리본 중 일면 또는 양면 상에 형성될 수 있고, 상기 리본은 그 다음 감긴다. 전체 롤은 시트에 대해 전술된 바와 같은 동일한 처리에 적용될 수 있고, 그래서 상기 전체 스풀의 유리는 인접 유리에 유리의 하나의 랩 (wrap)을 들러붙지 않고 어닐링될 것이다. 미-롤링 시, 상기 표면 개질층은 어떤 적절한 공정에 의해 제거될 수 있다.
탈가싱
통상적인 웨이퍼 결합 적용에 사용된 고분자 접착력은 일반적으로 10-100 microns 두께이고, 이들의 온도 제한치에서 또는 근처에서 이들의 질량의 약 5% 손실이 있다. 두꺼운 고분자 필름으로부터 방출된, 이러한 물질에 대하여, 질량-분석기에 의해, 질량 손실, 또는 탈가싱의 양을 정량화하는 것은 쉬운 일이다. 다른 한편으로, 대략 10㎚ 두께 이하인 얇은 표면 처리, 예를 들어, 전술된 플라즈마 고분자 또는 자가-조립 단일층 표면 개질층뿐만 아니라, 열분해된 실리콘 오일의 얇은 층으로부터 탈가싱을 측정하는 것은 좀 더 힘들다. 이러한 물질에 대하여, 질량-분석기는 충분히 감도가 좋지 않다. 그러나, 탈가싱을 측정하기 위한 다수의 다른 방식은 있다.
소량의 탈가싱을 측정하는 제1 방식은 표면 에너지 측정에 기초하며, 도 9를 참조하며 기재될 것이다. 이 시험을 수행하기 위해, 도 9에서 나타낸 바와 같은 설정은 사용될 수 있다. 그 위에 시험될 표면 개질층을 갖는 제1 기판, 또는 캐리어 (900)는, 표면 (902), 즉 시험될 표면 개질층 (30)에 대한 컴팩션 및 두께에 상응하는 표면 개질층을 보여준다. 제2 기판, 또는 커버 (910)는 이의 표면 (912)이 캐리어 (900)의 표면 (902)에 매우 근접하지만, 거기과 접촉하지 않도록 놓인다. 상기 표면 (912)은 미코팅된 표면, 즉, 커버가 구성되는 맨 물질 (bare material)의 표면이다. 스페이서 (920)는 서로 이격된 관계로 이들을 유지하기 위해 캐리어 (900) 및 커버 (910) 사이에 다양한 지점에 놓인다. 상기 스페이서 (920)는 하나에서 다른 하나로 물질의 움직임을 가능하게 하도록 캐리어 (900)으로부터 커버 (910)을 분리시키기에 충분히 두꺼워야 하지만, 시험 동안 표면들 (902 및 912) 상에 챔버 분위기로부터 상당한 오염이 최소화되도록 충분히 얇아야 한다. 상기 캐리어 (900), 스페이서 (920), 및 커버 (910)는 함께 시험 제품 (901)을 형성한다.
상기 시험 제품 (901)의 조립 전에, 맨 표면 (912)의 표면 에너지는 표면 (902), 즉 그 위에 제공된 표면 개질층을 갖는 캐리어 (900)의 표면의 표면 에너지인 것로서, 측정된다. 극성 및 분산 성분 모두에, 도 10에서 나타낸 바와 같은 표면 에너지는, 세 개의 시험 액체: 물, 디요오드메탄 및 헥사데칸의 접촉각에 대해 Wu 모델을 적용시켜 측정되었다.
조립 후에, 시험 제품 (901)은 가열 챔버 (930)에 놓이고, 시간-온도 사이클을 통해 가열된다. 상기 가열은 대기압 및 흐르는 N2 가스 하에서, 즉 분당 2 표준 리터의 속도로 화살표 (940)의 방향으로 흘리면서 수행된다.
가열 사이클 동안, (예를 들어, 증발, 열분해, 분해, 중합, 캐리어와 반응, 및 탈-습식에 기인한 표면 개질층에 대한 변화를 포함하는) 표면 (902)에서 변화는 표면 (902)의 표면 에너지의 변화에 의해 명백해진다. 그 자체 표면 (902)의 표면 에너지에서 변화는 표면 개질층이 탈가싱되었다는 것을 반드시 의미하지는 않지만, 예를 들어, 이의 특징이 전술된 메커니즘에 기인하여 변화하기 때문에 그 온도에서 물질의 일반적 불안정성을 나타낸다. 따라서, 표면 (902)의 표면 에너지에서 변화가 적을수록, 표면 개질층은 좀 더 안정해진다. 한편, 표면 (902)에 표면 (912)이 매우 근접하기 때문에, 표면 (902)로부터 탈가싱된 어떤 물질은 표면 (912)에 모일 것이고, 표면 (912)의 표면 에너지를 변화시킬 것이다. 따라서, 표면 (912)의 표면 에너지에서 변화는 표면 (902)에 존재하는 표면 개질층의 탈가싱에 대한 대용물 (proxy)이다.
따라서, 탈가싱에 대한 하나의 시험은 커버 표면 (912)의 표면 에너지에서 변화를 사용한다. 구체적으로, 만약 ≥ 10 mJ/㎡의 - 표면 (912)의 - 표면 에너지에서 변화가 있다면, 그 다음 탈가싱은 있는 것이다. 이러한 정도의 표면 에너지에서 변화는 필름 접착력의 상실 또는 물질 특성 및 장치 성능에서 저하를 유도할 수 있는 오염과 일치한다. ≤ 5 mJ/㎡의 표면 에너지의 변화는 표면 에너지 측정의 반복성 및 표면 에너지의 불균질성에 가깝다. 이러한 작은 변화는 최소 탈가싱과 일치한다.
도 10에서 결과를 생산하는 시험 동안, 상기 캐리어 (900), 커버 (910), 및 스페이서 (920)는, 비록 이것이 그럴 필요는 없지만, Corning Incorporated, Corning, NY로부터 이용 가능한 알칼리가-없는 알루미노-보로-실리케이트 디스플레이-등급 유리인, Eagle XG 유리로 구성된다. 상기 캐리어 (900) 및 커버 (910)는 150mm 직경, 0.63mm 두께이다. 일반적으로, 상기 캐리어 (910) 및 커버 (920)는, 탈가싱 시험이 요구되는, 각각의 캐리어 (10) 및 얇은 시트 (20)와 동일한 물질로 구성될 것이다. 이 시험 동안, 실리콘 스페이서는 0.63 mm 두께, 2mm 너비, 및 8cm 길이이고, 이에 의해 표면들 (902 및 912) 사이에 0.63 mm의 갭을 형성한다. 이 시험 동안, 상기 챔버 (930)는 분당 9.2℃의 속도로 실온에서 시험 제한 온도까지 순환되고, "어닐링 시간"으로 그래프에서 나타낸 변하는 시간에 대해 시험 제한 온도에서 유지되며, 그 다음 200℃로 가열로 속도로 냉각되는 MPT-RTP600s Rapid Thermal Processing 장비에 혼입된다. 상기 오븐이 200℃로 냉각된 후에, 시험 제품은 제거되고, 시험 제품이 실온으로 냉각된 후, 각 표면 (902 및 912)의 표면 에너지는 다시 측정되었다. 따라서, 예로서, 커버 표면 에너지에서 변화에 대한 데이터를 사용하여, 물질 #1, 라인 (1003)에 대해, 450℃ 제한 온도에서 시험되었고, 데이터는 다음과 같이 수집되었다. 0분에서 데이터 점 (data point)은 75 mJ/㎡ (제곱 미터당 밀리-줄)의 표면 에너지를 나타내고, 맨 유리의 표면 에너지이다, 즉, 시간-온도 사이클 운행은 없었다. 일 분에서 데이터 점은 시간-온도 사이클이 다음과 같이 수행된 후에 측정된 바와 같은 표면 에너지를 나타낸다: (존재하는 표면 (902)에 대해 캐리어 (900) 상에 표면 개질층으로 사용된 물질 #1을 갖는) 제품 (901)은 실온, 및 대기압에서 가열 챔버 (930)에 놓이고; 상기 챔버는 분당 2 표준 리터로 흐르는 N2 가스로, 분당 9.2℃의 속도로 450℃의 시험-제한 온도로 가열되고, 1분 동안 450℃의 시험-제한 온도로 유지하며; 상기 챔버는 그 다음 분당 1℃의 속도로 300℃까지 냉각되고, 상기 제품 (901)은 그 다음 상기 챔버 (930)로부터 제거되며; 상기 제품은 그 다음 (N2 유동 분위기 없이) 실온으로 냉각되고; 표면 (912)의 표면 에너지는 그 다음 측정되고, 라인 (1003) 상에 1분에 대한 점으로 플롯된다. 물질 #1 (라인 1003, 1004)뿐만 아니라, 물질 #2 (라인 1203, 1204), 물질 #3 (라인 1303, 1304), 물질 #4 (라인 1403, 1404), 물질 #5 (라인 1503, 1504), 물질 #6 (라인 1603, 및 1604), 및 물질 #7 (라인 1703, 1704)에 대한 잔여 데이터 점은 그 다음 적절하게 시험-제한 온도인, 450℃, 또는 600℃에서 유지 시간에 상응하는 몇 분의 어닐링 시간과 유사한 방식으로 결정되었다. 상응하는 표면 개질층 물질 (물질 #1-7)에 대한 표면 (902)의 표면 에너지를 나타내는 라인들 (1001, 1002, 1201, 1202, 1301, 1302, 1401, 1402, 1501, 1502, 1601, 1602, 1701, 및 1702)에 대한 데이터 점은, 표면 (902)의 표면 에너지가 각 시간-온도 사이클 후에 측정된 것을 제외하고는, 유사한 방식으로 결정되었다.
전술된 조립 공정, 및 시간-온도 사이클링은, 하기에 서술된 바와 같이 7개의 다른 물질에 대해 수행되었고, 결과는 도 10에서 그래프로 나타내었다. 7개 물질 중, 물질 #1-4 및 7은 전술된 표면 개질층 물질에 상응한다. 물질 #5 및 #6은 비교 예이다.
물질 #1은 CHF3-CF4 플라즈마 중합 불소중합체이다. 이 물질은 상기 실시 예 3b에서의 표면 개질층과 일치한다. 도 10에 도시된 바와 같이, 라인 (1001 및 1002)은 캐리어의 표면 에너지가 현저하게 변화하지 않은 것을 나타낸다. 따라서, 이 물질은 450℃ 내지 600℃의 온도에서 매우 안정하다. 부가적으로, 라인 (1003 및 1004)에 의해 나타낸 바와 같이, 커버의 표면 에너지는 현저하게 변화하지 않는다, 즉, 변화는 ≤ 5mJ/㎡이다. 따라서, 450℃ 내지 600℃에서 이 물질과 연관된 탈가싱은 없다.
물질 #2는, 페닐트리에톡시실란의 1% 톨루엔 용액으로부터 침착되고, 진공 오븐에서 190℃에서 30분 경화된 자가-조립 단층 (self-assembled monolayer (SAM))인, 페닐실란이다. 이 물질은 상기 실시 예 4c에서의 표면 개질층과 일치한다. 도 10에 도시된 바와 같이, 라인 (1201 및 1202)은 캐리어 상에 표면 에너지에서 약간의 변화를 나타낸다. 전술한 바와 같이, 이것은 표면 개질층에서 약간의 변화를 나타내며, 비교적, 물질 #2는 물질 #1보다 다소 덜 안정하다. 그러나, 라인 (1203 및 1204)에 의해 나타낸 바와 같이, 캐리어의 표면 에너지에서 변화는 ≤ 5mJ/㎡이고, 상기 표면 개질층에 대한 변화가 탈가싱을 결과하지 않은 것을 나타낸다.
물질 #3은, 펜타플루오로페닐트리에톡시실란의 1% 톨루엔 용액으로부터 침착되며, 190℃에서 30분 동안 진공 오븐에서 경화된 SAM인, 펜타플루오로페닐실란이다. 이 물질은 상기 실시 예 4e에서의 표면 개질층과 일치한다. 도 10에서 나타낸 바와 같이, 라인 (1301 및 1302)은 캐리어 상에 표면 에너지에서 약간의 변화를 나타낸다. 전술된 바와 같이, 이것은 표면 개질층에서 약간의 변화를 나타내며, 비교적, 물질 #3은 물질 #1보다 다소 덜 안정하다. 그러나, 라인 (1303 및 1304)에 의해 나타낸 바와 같이, 캐리어의 표면 에너지 변화는 ≤ 5mJ/㎡이고, 이는 표면 개질층에 대한 변화가 탈가싱을 결과하지 않는다는 것을 나타낸다.
물질 #4는 140℃의 YES HMDS 오븐에서 기상으로부터 침착된 헥사메틸디실라잔 (HMDS)이다. 이 물질은 상기 표 2의 실시 예 2b에서의 표면 개질층과 일치한다. 도 10에서 나타낸 바와 같이, 라인 (1401 및 1402)은 캐리어 상에 표면 에너지에서 약간의 변화를 나타낸다. 전술된 바와 같이, 이것은 표면 개질층에서 약간의 변화를 나타내며, 비교적, 물질 #4는 물질 #1보다 다소 덜 안정하다. 부가적으로, 물질 #4에 대한 캐리어의 표면 에너지에서 변화는 비교적 물질 #4가 물질 #2 및 #3보다 다소 덜 안정하다는 것을 나타내는, 물질 #2 및 #3 중 어느 하나보다 더 크다. 그러나, 라인 (1403 및 1404)에 의해 나타낸 바와 같이, 캐리어의 표면 에너지의 변화는 ≤ 5mJ/㎡이고, 이는 표면 개질층에 변화가 커버의 표면 에너지에 영향을 미치는 탈가싱을 결과하지 않는다는 것을 나타낸다. 그러나, 이것은 HMDS가 탈가싱하는 방식과 일치한다. 즉, HMDS는 커버의 표면 에너지에 영향을 미치지 않고, 몇몇 전자 제작 장비 및/또는 공정에 영향을 미칠 수 없는 암모니아 및 물을 탈가싱한다. 다른 한편으로, 상기 탈가싱의 생산물이 상기 얇은 시트 및 캐리어 사이에 포획되는 경우, 제2 탈가싱 시험과 연관하여 하기에 기재된 바와 같은, 다른 문제가 있을 수 있다.
물질 #5는, 글리시독시프로필실란의 1% 톨루엔 용액으로부터 침착되고, 190℃로 30분 진공 오븐에서 경화된 SAM인, 글리시독시프로필실란이다. 이것은 비교 예 물질이다. 비록 라인 (1501 및 1502)에 의해 나타낸 바와 같이, 캐리어의 표면 에너지에서 상대적으로 변화가 거의 없을지라도, 라인 (1503 및 1504)에 의해 나타낸 바와 같은 커버의 표면 에너지에서 상당한 변화가 있다. 즉, 비록 물질 #5가 캐리어 표면상에서 상대적으로 안정할지라도, 이것은 사실, 커버 표면상에서 상당한 양의 물질을 탈가싱하였고, 이에 의해 커버 표면 에너지는 ≥ 10mJ/㎡ 만큼 변화했다. 비록 600℃로 10분의 말단에서 표면 에너지가 10 mJ/㎡ 이내 일지라도, 그 시간 동안 변화는 10mJ/㎡을 초과한다. 예를 들어, 1분 및 5분에서 데이터 점을 참조. 비록 이론에 제한되는 것을 원하지는 않지만, 5분 내지 10분에서 표면 에너지의 약간의 상승은 약간의 탈가싱된 물질이 커버 표면의 떨어지고 분해시킬 가능성이 있다.
물질 #6은 캐리어 상에 (Dow Corning으로부터 이용 가능한) 5ml의 Dow Corning 704 확산 펌프 오일 테트라메틸테트라페닐 트리실록산을 분산시키고, 이를 8분 동안 공기 중에서 500℃ 열판에 놓아, 준비된 실리콘 코팅인 DC704이다. 샘플 제제의 완성은 가시적 발연 (visible smoking)의 말단까지 주의된다. 전술된 방식으로 샘플을 제조한 후에, 전술된 탈가싱 시험은 수행되었다. 이것은 비교 예 물질이다. 도 10에서 나타낸 바와 같이, 라인 (1601 및 1602)은 캐리어 상에 표면 에너지에 약간의 변화를 나타낸다. 전술된 바와 같이, 이것은 표면 개질층에서 약간의 변화를 나타내며, 비교적, 물질 #6은 물질 #1보다 덜 안정하다. 부가적으로, 라인 (1603 및 1604)에 의해 나타낸 바와 같이, 캐리어의 표면 에너지에서 변화는 상당한 탈가싱을 나타내는, ≥ 10mJ/㎡이다. 좀 더 구체적으로는, 450℃의 시험-제한 온도에서, 10분 동안 데이터 점은 약 15 mJ/㎡의 표면 에너지에서 감소, 및 1분 및 5분에서 점에 대한 표면 에너지에서 더 큰 감소를 나타낸다. 유사하게, 600℃ 시간-제한 온도에서 사이클링 동안 커버의 표면 에너지에서 변화에서, 상기 커버의 표면 에너지에서 감소는 10분 데이터 점에서 약 25 mJ/㎡이고, 5분에서 다소 많으며, 및 1분에서 다소 적다. 비록 상당한 양의 탈가싱은 시험의 전체 범위에 걸쳐 이 물질에 대해 나타난다.
물질 #7은 단시간의 N2-O2 및 N2 플라즈마로 순차적으로 처리된 CH4-H2 플라즈마 침착된 고분자이다. 이 물질은 상기 표 11의 실시 예에서의 표면 개질층과 유사하다. 도 10에서 나타낸 바와 같이, 라인 (7001 및 7002)은 캐리어의 표면 에너지가 상당한 변화가 없다는 것을 나타낸다. 따라서, 이 물질은 450℃ 내지 600℃의 온도에서 매우 안정하다. 부가적으로, 라인 (7003 및 7004)에 의해 나타낸 바와 같이, 커버의 표면 에너지는 상당한 변화가 없고, 즉, 변화는 ≤ 5mJ/㎡이다. 따라서, 450℃ 내지 600℃에서 이 물질과 연관된 탈가싱이 없었다.
중요하게는, 물질 #1-4 및 7에 대하여, 시간-온도 사이클링 내내 표면 에너지는 커버 표면이 맨 유리와 일치하는 표면 에너지로 유지되는 것을 나타낸다, 즉, 캐리어 표면으로부터 탈가싱된 물질이 수집되지 않았다. 물질 #4의 경우에서, 표 2와 연관되어 기재된 바와 같이, 캐리어 및 얇은 시트 표면이 준비되는 방식은 제품 (표면 개질층을 통해 캐리어와 함께 결합된 얇은 시트)이 FPD 공정을 견딜 수 있는지의 여부에서 큰 차이를 만든다. 따라서, 비록 도 10에서 나타낸 물질 #4의 실시 예가 탈가싱되지 않을지라도, 이 물질은 표 2의 논의와 연관하여 기재된 바와 같이 400℃ 또는 600℃ 시험을 견딜 수 있거나 또는 견딜 수 없다.
소량의 탈가싱을 측정하는 제2 방식은 조립된 제품, 즉, 얇은 시트가 표면 개질층을 통해 캐리어에 결합된 제품에 기초되고, 탈가싱을 결정하기 위해 퍼센트 버블 면적에서 변화를 사용한다. 즉, 상기 제품의 가열 동안, 상기 캐리어 및 얇은 시트 사이에 형성된 버블은 상기 표면 개질층의 탈가싱을 타나낸다. 제1 탈가싱 시험과 연관하여 전술된 바와 같이, 매우 얇은 표면 개질층의 탈가싱을 측정하는 것은 어렵다. 본 제2 시험에서, 상기 얇은 시트 하에 탈가싱은 얇은 시트 및 캐리어 사이에 강한 접착력에 의해 제한될 수 있다. 그럼에도 불구하고, ≤ 10㎚ 두께의 층 (예를 들어, 플라즈마 중합 물질, SAMs, 및 열분해된 실리콘 오일 표면 처리)은 이들의 더 소량의 절대 질량 손실에도 불구하고, 열 처리 동안 버블을 여전히 생성할 수 있다. 상기 얇은 시트 및 캐리어 사이에 버블의 생성은 패턴 발생 (pattern generation), 광피소그래피 공정 (photolithography processing), 및/또는 얇은 시트 상에 장치 공정 동안 배열 (alignment)에 문제를 유발할 수 있다. 부가적으로, 상기 얇은 시트 및 상기 캐리어사이에 결합 구역의 경계에서 버블링은 다운스트림 공정을 오염시키는 어떤 공정으로부터의 공정 유체에 문제를 유발할 수 있다. ≥ 5의 % 버블 면적에서 변화는 탈가싱의 의미 있는 지표이고, 바람직하지 않다. 다른 한편으로, ≤ 1의 % 버블 면적에서 변화는 의미가 없고, 탈가싱이 없다는 지표이다.
수동 본딩 (manual bonding)으로 부류 1000 청정실에서 결합된 얇은 유리의 평균 버블 면적은 1%이다. 결합된 캐리어에서 %버블은 캐리어, 얇은 유리 시트, 및 표면 준비의 청결도 (cleanliness)의 함수이다. 열 처리 후에 버블 성장에 대한 핵생성 부위로 작용하는 초기 결함 때문에, 1% 미만의 열 처리시 버블 면적에서 어떤 변화는 샘플 준비의 가변성 이내이다. 이 시험을 수행하기 위해, 필름 스캔 장치 (transparency unit) (Epson Expression 10000XL Photo)을 구비한 상업적으로 이용 가능한 데스크탑 스캐너는 결합 후 즉시 얇은 시트 및 캐리어를 결합하는 구역의 제1 스캔 영상을 만드는데 사용되었다. 상기 부분은 508 dpi (50 micron/pixel) 및 24 bit RGB을 사용하는 표준 Epson 소프트웨어를 사용하여 스캔되었다. 영상 공정 소프트웨어는 먼저, 필요하다면, 단일 영상으로 샘플의 다른 섹션의 영상을 스티칭하고 (stitching), (스캐너에서 샘플 없이 수행된 보정 기준 스캔을 사용하여) 스캐너 인공물 (scanner artifacts)을 제거하여 영상을 준비한다. 상기 결합 구역은 그 다음 경계화 (thresholding), 홀 충진 (hole filling), 침식/팽창 (erosion/dilation), 및 블럽 분석 (blob analysis)과 같은 표준 영상 공정 기술을 사용하여 분석된다. 더 새로운 Epson Expression 11000XL Photo는 또한 유사한 방식으로 사용될 수 있다. 전송 모드에서, 결합 구역에서 버블은 스캔된 영상에서 가시적이고, 버블 면적에 대한 값은 결정될 수 있다. 그 다음, 상기 버블 면적은 총 결합 구역에 대하여 결합 구역에서 버블의 % 면적을 계산하기 위해 총 결합 구역 (즉, 상기 얇은 시트 및 캐리어 사이에 총 중첩된 구역)과 비교된다. 샘플은 그 다음 10분 동안, 300℃, 450℃, 및 600℃의 시험-제한 온도에서 N2 분위기하에서 MPT-RTP600s Rapid Thermal Processing 시스템에서 열 처리된다. 구체적으로, 시간-온도 사이클은: 실온 및 대기압에서 가열 챔버로 제품을 삽입하며; 상기 챔버는 그 다음 분당 9℃의 속도로 시험-제한 온도까지 가열되고; 상기 챔버는 10분 동안 시험-제한 온도에서 유지되며; 상기 챔버는 그 다음 200℃까지 가열로 속도로 냉각되고; 상기 제품은 챔버로부터 제거되고, 실온으로 냉각되며; 상기 제품은 그 다음 광학 스캐너로 다시 스캔되는 것을 포함하여 수행된다. 제2 스캔으로부터 % 버블 면적은 그 다음 전술된 바와 같이 계산되고, % 버블 면적에서 변화를 결정하기 위해 제1 스캔으로부터 % 버블 면적과 비교된다 (Δ% 버블 면적). 전술된 바와 같이, ≥ 5%의 버블 면적에서 변화는 의미가 있고, 탈가싱의 지표이다. % 버블 면적에서 변화는 원래의 % 버블 면적에서 가변성 때문에 측정 기준으로 선택되었다. 즉, 대부분 표면 개질층은 상기 얇은 시트 및 캐리어가 준비된 후 및 결합되기 전에 취급 및 청결도에 기인하여 제1 스캔에서 약 2% 버블 면적을 갖는다. 그러나, 변화 (variations)는 물질들 사이에서 발생할 수 있다. 제1 탈가싱 시험 방법에 대하여 서술된 동일한 물질 #1-7은 제2 탈가싱 시험 방법에서 다시 사용되었다. 이들 물질 중에서, 물질 #1-4는 제1 스캔에서 약 2% 버블 면적을 나타내었고, 반면에 물질 #5 및 #6은 제1 스캔에서, 상당히 더 큰 버블 면적, 즉 약 4%를 나타내었다.
제2 탈가싱 시험의 결과는 도 11 및 12를 참조하여 기재될 것이다. 물질 #1-3 및 #7에 대한 탈가싱 시험 결과는 도 11에서 나타내었고, 반면에 물질 #4-6에 대한 탈가싱 시험 결과는 도 12에 나타낸다.
물질 #1에 대한 결과는 도 11에 사각형 데이터 점으로 나타난다. 도면으로부터 알 수 있는 바와 같이, % 버블 면적에서 변화는 300℃, 450℃, 및 600℃의 시험-제한 온도에 대해 0 근처이다. 따라서, 물질 #1은 이들 온도에서 탈가싱을 나타내지 않는다.
물질 #2에 대한 결과는 도 11에서 다이아몬드 데이터 점으로 나타낸다. 도면으로부터 알 수 있는 바와 같이, % 버블 면적에서 변화는 450℃ 및 600℃의 시험-제한 온도에 대해 1미만이다. 따라서, 물질 #2은 이들 온도에서 탈가싱을 나타내지 않는다.
물질 #3에 대한 결과는 도 11에서 삼각형 데이터 점으로 나타낸다. 도면으로부터 알 수 있는 바와 같이, 물질 #1에 대한 결과와 유사하게, % 버블 면적에서 변화는 300℃, 450℃ 및 600℃의 시험-제한 온도에 대해 1 근처이다. 따라서, 물질 #3은 이들 온도에서 탈가싱을 나타내지 않는다.
물질 #7에 대한 결과는 도 11에서 교차 데이터 점으로 나타낸다. 도면으로부터 알 수 있는 바와 같이, % 버블 면적에서 변화는 300℃ 및 450℃의 시험-제한 온도에 대해 0 근처이다. 따라서, 물질 #7은 이들 온도에서 탈가싱을 나타내지 않는다. 600℃의 시험-제한 온도에 대하여, 물질 #7은 2 미만의 % 버블 면적에서 변화를 나타낸다. 따라서, 물질 #7은 이 온도에서 많아야, 최소 탈가싱을 나타낸다.
물질 #4에 대한 결과는 도 12에서 원형 데이터 점으로 나타낸다. 도면으로부터 알 수 있는 바와 같이, % 버블 면적에서 변화는 300℃의 시험-제한 온도에 대해 0 근처이지만, 450℃ 및 600℃의 시험-제한 온도에서 몇몇 샘플에 대해 1 근처이고, 동일 물질의 다른 샘플에 대해 450℃ 및 600℃의 시험-제한 온도에서 약 5%이다. 물질 #4에 대한 결과는 매우 모순되고, 상기 얇은 시트 및 캐리어 표면이 HMDS 물질과 결합하기 위해 준비되는 방식에 의존한다. 상기 샘플이 준비되는 방식에 의존하여 샘플이 수행되는 방식은 상기 표 2와 연관하여 서술된 이 물질의 실시 예 및 연관된 논의와 일치한다. 이 물질에 대해, 450℃ 및 600℃ 시험-제한 온도에 대해 1% 근처의 % 버블 면적에서 변화를 갖는 샘플은, 상기에서 서술된 분리 시험에 따라 캐리어로부터 얇은 시트의 분리를 가능하지 않게 한다. 즉, 상기 얇은 시트 및 캐리어 사이에 강한 접착력은 제한된 버블 발생을 가질 수 있다. 다른 한편으로, 5% 근처의 % 버블 면적에서 변화를 갖는 샘플은 상기 캐리어로부터 얇은 시트의 분리를 가능하게 한다. 따라서, 탈가싱 없는 샘플은 (캐리어로부터 얇은 시트의 제거를 방지하는) 캐리어 및 얇은 시트를 함께 붙여 열 처리 후에 증가된 접착력의 원하지 않는 결과를 갖는 반면, 상기 얇은 시트 및 캐리어의 제거를 가능하게 하는 샘플은 탈가싱의 원하지 않는 결과를 갖는다.
물질 #5에 대한 결과는 도 12에서 삼각형 데이터 점으로 나타낸다. 도면으로부터 알 수 있는 바와 같이, % 버블 면적에서 변화는 300℃의 시험-제한 온도에 대해 약 15%이고, 450℃ 및 600℃의 더 높은 시험-제한 온도에 대해 그것을 훨씬 초과한다. 따라서, 물질 #5는 이들 온도에서 상당한 탈가싱을 나타낸다.
물질 #6에 대한 결과는 도 12에서 사각형 데이터 점으로 나타낸다. 도면으로부터 알 수 있는 바와 같이, % 버블 면적에서 변화는 300℃의 시험-제한 온도에 대해 2.5% 이상이고, 450℃ 및 600℃의 시험-제한 온도에 대해 5% 이상이다. 따라서, 물질 #6은 450℃ 및 600℃의 시험-제한 온도에서 상당한 탈가싱을 나타낸다.
유리 표면에 고분자 표면의 결합
디스플레이는 폴리에틸렌 나프탈레이트 (PEN), 폴리에틸렌 테레프탈레이트 (PET) 및 폴리이미드 (PI)와 같은 고분자 시트 상에 입증되었고, 여기서 장치 제작은 유리 캐리어에 적층된 PEN으로 시트 대 시트이다. 100 micron까지의 두꺼운 층의 고분자 접착제는 통상적으로 시트 대 시트 공정을 위한 유리 캐리어에 PEN 및 PET를 적층하는데 사용된다. 장치 공정 동안 이들 접착제의 중량 손실은 통상적으로, 용매의 탈가싱에 기인한 오염에 대한 문제를 제기하는, 1%를 초과한다. 부가적으로, 접착제의 완전한 제거는 힘들며, 그래서 유리 캐리어는 보통 재사용되지 않는다.
본 출원은, TFT 공정을 견디기에 충분히 강하지만 탈결합을 허용하기에 충분히 약한, 조절된 일시적 결합을 생성하기 위해 유리 캐리어 및 고분자 시트 사이에 적절한 접착력을 형성하도록 얇은 표면 개질층의 사용을 개시한다. 비록 열, 진공, 용매 및 산성, 및 초음파 평면 디스플레이 (FPD) 공정이 캐리어에 결합된 얇은 고분자 시트에 대하여 강한 결합을 요구할지라도, 여기서 논의된 표면 개질층 중에서 다양한 하나는 유리 캐리어 상에 고분자 얇은 시트를 가공처리하기 위한 이러한 조절된 결합을 달성할 수 있다. 더욱이, 조절된 결합은 고분자 얇은 시트 또는 유리 캐리어에 파멸적인 손상 없이, 고분자 얇은 시트가 캐리어로부터 제거되는 것이 가능하게 할 수 있고, 이에 의해 재-사용가능한 유리 캐리어를 제공한다.
3 트랜지스터 기술은 FPD 뒤판 제작을 위한 대량 생산이다: 무정형 실리콘 (aSi) 버텀 게이트 TFT, 다결정상 실리콘 (pSi) 상부 게이트 TFT, 및 무정형 산화물 (IGZO) 버텀 게이트 TFT. 이들 기술은 모두 >300℃의 고온 공정 단계를 요구한다. 화학적, 기계적 및 진공 적합성에 대한 요구사항뿐만 아니라, 고온 공정이 가능한 기판에 대한 요구사항은 고분자와 같은 현존하는 플렉시블 기판상에 플럭시블 디스플레이의 산업화에 대한 주된 제약이었다. 일반적인 공정은, 통상적으로, 초음파 또는 메가소닉 교반 (megasonic agitation)과 함께 고온의 알칼리 용액에서 고분자 기판의 세정을 시작하고, 그 다음 DI 수 헹굼이 수반된다. 장치 구조물은 물질 침착 및 포토리소그래피 패터닝의 다수의 차감 사이클 (subtractive cycles)에서 제작되고, 그 다음 물질 에칭이 수반된다. 금속, 유전체, 및 반도체 물질은, 진공 공정, 예를 들어, 상승된 온도에서 무정형 실리콘, 질화 실리콘, 및 이산화 실리콘의 화학 기상 증착 (CVD), 투명 전도성 산화물 및 산화 반도체, 금속을 스퍼터링하여 침착된다. 레이저 및 플래쉬 램프 어닐링은 과잉의 기판 가열 없이 p-Si 결정화를 허용하지만, 균일성은 도전 과제이고, 열악한 성는은 유리 기판과 비교된다. 층들은 고분자 레지스트의 포토리소그래피 패턴, 및 에칭에 의해 패턴화되고, 그 다음 레지스트 스트립이 수반된다. 진공 플라즈마 (건식) 에칭 및 산 습식 에칭 공정 모두는 사용된다. FPD 공정에서, 포토레지스트는 통상적으로 초음파 또는 메가소닉 교반과 함께, 고온의 용매에 의해, 통상적으로 스트립된다.
두꺼운 층의 접착제의 제거는 캐리어의 재-사용 가능성을 방해한다. FPD 공정에 유용한 고분자 접착제에 대하여, 용매, 강산, 및 강염기에서 우수한 화학적 내구성을 가져야만 한다. 그러나, 이들 동일한 특성은 제거를 힘들게 만든다. 100 microns 두께까지 층으로, 플라즈마 공정은 층을 제거하는데 실용적이지 않다. 유기 박막 트랜지스터 제작에 대한 주된 도전은 캐리어에 얇은 고분자 시트의 적층이다.
본 출원은 FPD 공정에 대해 유리 캐리어에 고분자 시트의 조절된 일시적 결합을 위한 방법을 기재하며, 얇은 고분자 기판의 시트 대 시트 공정에 대해 재사용 가능한 유리 캐리어를 기재한다. 상기 유리 캐리어 상에 표면 개질층의 형성은 얇은 고분자 시트 및 캐리어 사이에 적절한 접착력으로 일시적인 결합을 생성한다. 상기 적절한 접착력은 상기 얇은 시트 및 캐리어의 극성 및 비-극성 표면 에너지 성분을 변화시켜 조절된 총 접착력 에너지에 반 데르 발스 및 공유 인력 에너지의 기여를 최적화하여 달성된다. 이 적절한 결합은 (습식 초음파, 진공, 및 열 공정을 포함하는) FPD 공정을 견디지만, 여전히 고분자 시트가 충분한 박리력의 적용에 의해 캐리어로부터 탈-결합 가능하게 남아 있는 것이 가능하도록 충분히 강하다. 탈-결합은, 표면 개질층이 < 1 micron 두께이고, 산소 플라즈마에서 빠르게 제거되기 때문에, 얇은 고분자 시트 상에 제작된 장치의 제거 및 캐리어의 재사용을 허용한다.
얇은 고분자 시크 및 유리 캐리어 사이에 적절한 결합을 생성하기 위한 얇은 표면 개질층을 사용하여 다음의 장점을 얻을 수 있다.
(1) 캐리어에 얇은 고분자 시트를 결합하는데 사용된 물질의 양에서, 상업적인 접착제와 비교하여, 대략 100X 감소하여, 다운스트림 공정을 오염시키고 흡수되는 오염에 대한 가능성 및 탈가싱을 감소시킨다.
(2) 고도로 가교된 플라즈마 고분자 표면 개질층은 비-휘발성 및 불용성이어서, 탈가싱 및 공정 오염의 가능성을 감소시킨다.
(3) 표면 개질층은 상승된 온도에서 다운스트림 산소 플라즈마 또는 산소 플라즈마에서 빠르게 제거된다.
(4) 유리 캐리어는 표면 개질층이 얇고 빠르게 제거되기 때문에 재사용될 수 있다.
PEN 및 PET는 통상적인-선택된 고분자 기판 중에서 전자장치 제작을 위해 롤 형태로 이용 가능한 것이다. 대부분 고분자와 비교하면, 이들은 상대적으로 화학적 불활성이고, 낮은 흡수율, 저 팽창을 가지며, 및 내온성 (temperature resistant)이 있다. 그러나, 이들 특성은 유리의 것보다 열등하다. 예를 들어, 비-내열 PEN에 대한 최대 온도는 155℃이지만, 반면에 PET에 대해서는 오직 120℃이다. 이들 온도는 pSi 공정에 대해 적절한 디스플레이 유리의 >600℃ 사용 온도와 비교하여 낮다. 열 팽창은 디스플레이 유리에 대한 3.5ppm과 대조적으로 PEN에 대해 약 20ppm이다. 온도에서 수축률은, 상당히 더 높은 온도에서 유리의 완화 및 컴팩션을 매우 초과하는, 150℃에서 30분 후에 약 0.1%이다. 상기 고분자 기판의 열등한 물리적 특성은 고수율로 고품질 장치를 침착하기 위한 공정 적응 (process adaptations)을 요구한다. 예를 들어, 이산화 실리콘, 질화 실리콘 및 무정형 실리콘 침착 온도는 고분자 기판에 대한 제한치 이내에서 머물도록 낮추어야 한다.
전술된 고분자의 물리적 특성은 또한 시트 대 시트 공정을 위한 단단한 캐리어에 결합을 힘들게 만든다. 예를 들어, 상기 고분자 시트의 열팽창은 통상적으로 디스플레이 유리의 열팽창보다 6x 더 크다. 더 낮은 상한 온도에도 불구하고, 열 응력은 랩 (warp) 및 바우 (bow)를 생성하고, 종래의 결합 기술을 사용하는 경우 박리를 유발하기에 충분히 크다. 소다 라임과 같은 높은 팽창 유리 또는 더 높은 팽창 금속 캐리어의 사용은, 랩 문제 (warp challenge)을 처리하지만, 이들 캐리어는 통상적으로 오염원, 적합성, 또는 거칠기 (열 전달)에 대한 문제에 봉착한다. PEN 및 PET의 표면 에너지는 또한 유리의 표면 에너지보다 상당히 더 낮다. 표 16에서 나타낸 바와 같이, Corning® Eagle XG® 유리는 SC1 화학반응 및 표준 세정 기술로 세정 후에 약 77 mJ/㎡의 표면 에너지를 나타낸다. 실시 예 16e 참조. 표면 처리 없이, PEN 및 PET는 43-45 mJ/㎡ (43-45 dyn/cm)의 표면 에너지를 갖는 비-극성이다. "Remote Atmospheric-Pressure Plasma Activation of the Surfaces of Polyetylene Terephthalate and Polyetylene Naphthalate" by E. Gonzalez, II, M. D. Barankin, P. C. Guschl, and R. F. Hicks, Langmuir 2008 24 (21), 12636-12643로부터 표 2인, 하기 표 15를 참조. (예를 들어, 산소 플라즈마에 의한) 플라즈마 세정 처리는 극성 성분을 증가시켜 55-65 mJ/㎡ (55-65 dyn/cm, "플라즈마")로 표면 에너지를 크게 증가시킨다. 또한, UV 오존 처리, 또는 코로나 방전은, 고분자를 세정하고, 이의 표면 에너지를 잠시 상승시키는데 사용될 수 있다. 그러나, 시간에 따라 표면 에너지는 이의 이전 값으로 다시 감소한다 ("숙성").
Figure pct00020
고분자 결합 표면에 대한 이들 표면 에너지 (약 55 내지 약 65 mJ/㎡), 및 유리 캐리어 결합 표면에 대한 약 77 mJ/㎡ 표면 에너지로, 상기 고분자 시트는 시트 상에 구조물의 공정을 가능하도록 충분히 잘 유리 캐리어에 들러붙지 않지만, 만약 우선 유리 캐리어 위에 놓이고, 그 다음 적절한 온도로 가열된다면, 상기 고분자는 유리 캐리어로부터 벗겨질 수 없다. 따라서, 실온에서 유리에 PEN 또는 PET을 초기에 결합하기 위해, PEN 또는 PET과 일치한 표면 에너지로 유리 캐리어의 표면 에너지를 변경시키는 것이 유리하다는 것을 확인하였다. 부가적으로, 전술된 표면 개질층 중 다양한 어떤 것은 고분자층이 (1시간 동안 120℃ 진공 어닐링 (vacuum anneal) 및 1분 동안 150℃ 후 열 처리 단계 (post bake step)를 포함하는) 유기-TFT 공정 사이클 이후에도 유리 캐리어로부터 벗겨질 수 있도록 결합 에너지를 조절하는 것을 확인하였다.
적절하게 유리 캐리어의 표면 에너지를 조정하기 위해 적절한 표면 개질층을 선택하여, 적당한 습식 및 접착력 강도가 (1시간 120℃ 진공 어닐링 및 1분 150℃ 후 열처리 단계를 포함하는) 유기-TFT 공정에 적절한 방식으로 유리 캐리어에, 고분자, 예를 들어, PEN 또는 PET를 공유 결합을 달성하면서, 공정 후 캐리어로부터 고분자의 제거가능성을 가능하게 한다. 상기 고분자 시트는 캐리어로부터 성공적으로 제거될 수 있다, 즉, 상기 고분자 시크트는, 만약 상기 공정 이후에도 트랜지스터를 생산하는데 사용된 마스크 상에 OTFT 및 고분자 시트 상에 OTFT 사이에서 트랜지스터 기하학에서 주목할만한 차이가 없다면, 캐리어에 조절 가능하게 결합된다. 상기 표면 개질층은 여러 물질 중에서 선택될 수 있고, 처리는 본 명세서 내내 예시화된다. 상기 고분자 물질은 유리하게 (초기 결합을 용이하게 하도록 이의 표면 에너지의 극성 성분을 증가시키기 위해) 결합 전에 플라즈마 세정될 수 있지만, 유리 캐리어의 표면 에너지가 이의 현 상태에서 (즉, 수령한 대로, 세정된 대로, 또는 숙성된 대로) 고분자와 조절된 결합을 위한 적절한 수준을 달성하기 위해 크게 변화될 수 있기 때문에, 그럴 필요는 없다. 상기 실시 예 및 하기 표 16의 실시 예에 기초하여, 약 36 mJ/㎡ (실시 예 5g)로부터 약 80 mJ/㎡ (실시 예 5f)의 표면 에너지의 범위는 유리 캐리어 결합 표면 상에서 달성될 수 있다.
표면 개질의 전술된 방법 중 몇 가지는, 탄소 소스, 예를 들어, 탄화수소 가스의 플라즈마-중합으로부터, 형성된 것을 포함하는, 유리 캐리어에 고분자 시트의 접착제 결합에 대해 적절하다. 예를 들어: 플라즈마 고분자 필름은 탄화불소 가스 (실시 예 5a 및 5g)로부터 침착되고; 플라즈마 고분자 필름은 탄화불소 가스로부터 침착되고, 연속적으로 질소 및 수소로 동시에 처리되며 (실시 예 5m); 플라즈마 고분자 필름은 다양한 비-불소-함유 가스로부터 침착되고 (실시 예 6a-6j); 플라즈마 고분자 필름은 탄화수소, 선택적으로 질소, 및 수소, 가스의 다양한 혼합물로부터 침착되며 (실시 예 7a-g, 12j); 플라즈마 고분자 필름은 다양한 비-불소-함유 가스로부터 침착되고, 연속적으로 질소로 처리되고 (실시 예 9a-9j), 여기서 이들 표면 에너지는 청결도 및/또는 숙성의 다양한 상태에서 고분자와 유용할 수 있고; 및 플라즈마 고분자 필름은 다양한 비-불소-함유 가스로부터 침착되고, 순차적으로 질소 그 다음 수소로 처리되거나 (실시 예 10a-10p), 또는 묽은 암모니아로 처리되며 (실시 예 8b, 8d), 또는 순차적으로 N2-O2 그 다음 N2로 처리되고 (실시 예 11a, 11e), 또는 N2-O2 (실시 예 11f, 12c)로 처리되며, 이의 모두는 플라즈마 세정된 PEN과 특별하게 잘 효과가 있다. PET 또는 PEN 외의 고분자로, 다른 표면 처리는 고분자의 표면 에너지에 의존하여, 적절할 수 있는데, 이는 이것이 결합 전에 바로 존재하고, 세정의 정도 및 숙성의 정도에 의해 영향을 받을 수 있기 때문이다. 고분자 시트의 표면 에너지와 일치된 유리 캐리어 표면 에너지는, 고분자 시트가 (1시간 120℃ 진공 어닐링 및 1분 150℃ 후 열처리 단계를 포함하는) 유기-TFT 타입 공정 후에 쉽게 탈결합될 수 있도록, 초기 결합 및 조절된 결합 모두에서 잘 수행된다는 것을 확인하였다.
부가적으로, 다음과 같이, 표면 개질층의 다른 제형은 유리 캐리어에 고분자 얇은 시트를 결합시키기 위해 고분자 시트 표면 에너지의 범위 내에서 표면 에너지를 달성하도록 분석되었다.
가스 혼합물로부터 형성된 표면 개질층
결합 표면의 표면 에너지를 조율하고, 결합 표면상에 극성 결합의 타입을 조절 및/또는 표면 하이드록실을 피복하기 위해 플라즈마 중합 필름을 사용하는 하나의 실시 예는, 탄화수소 (예를 들어, 메탄)를 포함하는, 소스 가스의 혼합물로부터 표면 개질층 박막의 침착이다. 상기 표면 개질층의 침착은 상압 또는 감압에서 형성될 수 있고, 플라즈마 여기, 예를 들어, DC 또는 RF 평행판, 유도 결합 플라즈마 (ICP), 전자 사이클로트론 공명 (ECR), 다운스트림 마이크로파 또는 RF 플라즈마로 수행된다. 상기 플라즈마 중합 표면 개질층은 캐리어, 얇은 시트 또는 모두에 대해 배치될 수 있다. 표 3의 실시 예와 연관하여 전술된 바와 같이, 플라즈마-중합은 고도로 가교된 물질의 층을 생성한다. 반응 조건 및 소스 가스의 조절은 표면 개질층 필름 두께, 밀도, 및 원하는 적용에 대한 작용기를 조정하기 위한 화학반응을 조절하는데 사용될 수 있다. 피복된 표면 하이드록실의 양을 포함하는, 필름 특성을 조절하여, 캐리어 결합 표면의 표면 에너지는 조율될 수 있다. 상기 표면 에너지는 결합도를 조절하기 위해, 즉, 상기 얇은 시트의 필름 또는 구조물을 배치하기 위해 수행된 후속 처리 동안 얇은 시트 및 캐리어 사이에, 영구적 공유 결합을 방지하게 위해 조율될 수 있다.
하기 표 16의 실시 예에서, 다양한 조건은 유리 캐리어 상에 플라즈마 중합 필름을 침착시키는데 사용되었다.상기 유리 캐리어는 (Corning Incorporated, Corning NY로부터 이용 가능한) 알루미노 보로실리케이트 알칼리가-없는 디스플레이 유리인, Corning® Eagle XG®로 만든 기판이다. 필름 침착 전에, 상기 캐리어는 SC1 및/또는 SC2 화학반응 및 표준 세정 기술을 사용하여 세정된다. 상기 필름은 삼극 전극 구성 모드 (triode electrode configuration mode)에서 (SPTS, Newport, UK로부터 이용 가능한) STS Multiplex PECVD 장치에서 침착되었고, 여기서 캐리어는 50 Watts의 380 kHz RF 에너지가 인가되는 플래튼 상에 놓이고, 상기 플래튼 위에 300 Watts의 13.5 MHz RF 에너지가 인가되는 코일 (샤워 헤드)이 배치되며, 상기 플래튼의 온도는 200℃이고, 및 샤워 헤드를 통한 가스의 유속은 표 16에서 나타낸 바와 같다 (분당 표준 입방센티미터인 유속 - sccm). 따라서, 예를 들어, 실시 예 16b에 대한 표 16의 "표면 개질층 침착 공정" 컬럼에서 기호는 다음과 같이 판독된다: 200℃의 플래튼 온도의, STS Multiplex PECVD 장치에서, 200 sccm의 H2, 50 sccm의 CH4, 및 50 sccm의 C2F6은, 300 mTorr 압력을 갖는 챔버로, 샤워 헤드를 통해 함께 흐르고; 300W의 13.56 MHz RF 에너지는 샤워 헤드로 인가되며; 50W의 380 MHz RF 에너지는 캐리어가 놓인 플래튼에 인가되고; 및 침착 시간은 120초이다. 나머지 실시 예에 대한 표면 처리 컬럼에서 기호는 유사한 방식으로 판독될 수 있다. 표면 에너지는 3개의 다른 시험 액체 (이 경우에서, 물 (W), 헥사데칸 (HD), 및 디-요오드메탄 (DIM))의 접촉각 (CA) 및 Wu 모델을 사용하여 mJ/㎡ (제곱 미터당 밀리줄)로 계산된다. 표면 에너지에 대하여, 극성 (P) 및 분산 (D) 성분뿐만 아니라 전체 (T)를 나타낸다. 또한, 이들 실시 예에 대하여, 옹스트롬 "Th (A)"으로 표면 개질층의 두께를 나타낸다.
Figure pct00021
실시 예 16e는 SC1 화학반응 및 표준 세정 기술로 세정된 이후의 Eagle XG® 유리의 맨 조각이다. 실시 예 16e는, 세정 후에, 유리의 표면 에너지가 약 77 mJ/㎡인 것을 보여준다.
실시 예 16a 내지 16d는 표면 개질층이 유리 표면상으로 침착될 수 있어 이의 표면 에너지를 변경시키고, 그래서 유리의 표면은 특정 결합 적용을 위해 조정될 수 있다는 것을 보여준다. 표 16의 실시 예는, 원하는 표면 에너지 및 극성기를 갖는 표면 개질층의 침착을 위한, 표 6 및 7의 실시 예와 같은, 1단계 공정의 실시 예이다.
실시 예 16a는 수소 및 메탄 (탄화수소) 가스의 혼합물로부터 침착된 플라즈마 중합 필름일 수 있는 것을 보여준다. 이들 실시 예에서, 상기 표면 개질층은 세정된 유리 캐리어 상으로 침착된다. 따라서, 상기 표면 개질층의 침착은 표면 에너지가 약 77 mJ/㎡로부터 약 49 mJ/㎡로 감소하는 것을 보여주며, 이것은 통상적인 고분자 결합 표면상에 표면 에너지의 범위 내이다.
실시 예 16b는 표면 개질층이 수소, 메탄 (탄화수소), 및 불소-함유 가스 (예를 들어, C2F6, 탄화불소)의 혼합물로부터 침착된 플라즈마 중합 필름일 수 있는 것을 보여준다. 이들 실시 예에서, 상기 표면 개질층은 세정된 유리 캐리어 상으로 침착된다. 따라서, 상기 표면 개질층의 침착은 표면 에너지가 약 77 mJ/㎡로부터, 통상적인 고분자 결합 표면상에 표면 에너지의 범위 내인, 약 37 mJ/㎡로 감소하는 것을 보여준다. 실시 예 16b에서 달성된 표면 에너지는 실시 예 16a에서 달성된 것보다 낮아, 침착 가스에 불소의 첨가가 유사한 표면 개질층 침착 조건에 의해 달성된 표면 에너지보다 더 낮을 수 있다는 것을 보여준다.
실시 예 16c는 표면 개질층이 수소, 메탄 (탄화수소), 및 질소-함유 가스 (예를 들어, N2)의 혼합물로부터 침착된 플라즈마 중합 필름일 수 있는 것을 보여준다. 본 실시 예에서, 상기 표면 개질층은 세정된 유리 캐리어 상으로 침착된다. 따라서, 상기 표면 개질층의 침착은 표면 에너지가 약 77 mJ/㎡로부터, 고분자 시트의 세정 과정과 같이, O2 플라즈마 처리된, 통상적인 고분자 결합 표면상에 표면 에너지의 범위 내인, 약 61 mJ/㎡로 감소하는 것을 보여준다. 이 표면 에너지는 또한 캐리어에 대한 얇은 유리 시트의 결합 접합성의 범위 내이다.
실시 예 16d는 표면 개질층이 메탄 (탄화수소), 및 질소-함유 가스 (예를 들어, NH3)의 혼합물로부터 침착된 플라즈마 중합 필름일 수 있는 것을 보여준다. 본 실시 예에서, 상기 표면 개질층은 세정된 유리 캐리어 상으로 침착된다. 따라서, 상기 표면 개질층의 침착은 표면 에너지가 약 77 mJ/㎡로부터, 통상적인 고분자 결합 표면상에 표면 에너지의 범위 내인, 약 57 mJ/㎡로 감소하는 것을 보여준다. 또한, 몇몇 적용에 대하여, 이것은 얇은 유리 시트에 캐리어를 결합하는데 적합할 수 있다.
실시 예 16a에 의해 달성된 것과 비교하여 실시 예 16c 및 16d에 의해 달성된 표면 에너지는 침착 가스에 질소 (N2 또는 NH3)의 첨가가 유사한 참착 가스에 의해 달성된 표면 에너지를 증가시킬 수 있다는 것을 보여준다.
실시 예 16b의 표면 개질층에 의해 얻은 표면 에너지는 (유리 캐리어에 유리 얇은 시트의 조절된 결합을 위해 적합한 것으로 고려되는) 50 mJ/㎡ 이하인데, 그러나 이 표면 개질층은 유리 결합 표면에 고분자 결합 표면의 결합을 위해 적합하다. 부가적으로, (탄화수소 (메탄), 선택적으로 수소-함유 (H2), 및 질소-함유 (N2 또는 암모니아) 가스의 플라즈마-중합으로부터 형성된), 실시 예 16c 및 16d의 표면 개질층에 의해 생산된 표면 에너지가 약 50 mJ/㎡를 초과하고, 따라서, 몇몇 경우에서, 유리 캐리어에 얇은 유리 시트를 결합하는데 적합할 수 있다는 점에 주목되어야 한다.
표 16의 실시 예 16a 내지 16d에 따라 그 위에 침착된 표면 개질층을 갖는 캐리어에 결합된 얇은 시트는 (DuPont으로부터 이용 가능한) TEONEX® Q65 PEN으로 구성되고, 200 microns의 두께를 갖는 기판이다.
표 16의 실시 예에서, 비록 표면 개질층이 침착되는 결합 표면이 유리일지라도, 그것은 그럴 필요는 없다. 대신에, 결합 표면은 유리와 같은 유사한 표면 에너지 및 특성을 갖는 또 다른 적절한 물질, 예를 들어, 실리콘, 폴리실리콘, 단결정 실리콘, 세라믹, 유리-세라믹, 사파이어, 또는 석영일 수 있다.
플라즈마 중합 탄화수소 고분자 필름은 삼극 모드의 STS Multiplex CVD에 메탄 및 수소 (실시 예 16a), 선택적인 탄화불소 (실시 예 16b), 선택적인 질소 (실시 예 16c), 또는 선택적인 암모니아 (실시 예 16d) 첨가로부터 침착될 수 있다. 37 mJ/㎡보다 낮은 표면 에너지 (실시 예 16b) 및 더 높은 표면 에너지 (약 61 mJ./㎡, 실시 예 16c)는 탄화불소, 또는 질소 첨가로 달성될 수 있다. 실시 예 16b 및 16c의 수준 사이에서 표면 에너지 (즉, 실시 예 16a에서와 같은 약 49 mJ/㎡, 및 실시 예 16d에서와 같은 약 57 mJ/㎡)는 또한 달성될 수 있으며, 따라서, 침착 가스를 포함하는, 침착 조건에 기초한 표면 개질층의 표면 에너지를 조율하는 능력을 입증한다.
비교-실시 예로서, 고분자 필름은 세정된 SC1 (실시 예 16e)와 같은 맨 유리 캐리어 상으로 침착된다. 그러나, 상기 고분자 시트는 고분자 시트 상으로 구조물의 가공처리를 가능하도록 캐리어에 잘 붙지 않는다.
습윤 및 결합 강도 (wetting and bond strength) 이상의 것은 유기-TFT 공정에 대해 적합한 것으로 요구된다. 고분자 필름과 캐리어 사이에 크게 다른 열팽창은 팽창 차이를 최소화하기 위해 고 팽창 유리를 선택하고, 가열 및 냉각 단계의 속도를 감소시켜 최적으로 관리된다. 공정 동안 최소로 흡수하는 부드럽고 깨끗한 기판 표면은, 표면을 평평하게 할 뿐만 아니라, 습기 및 다른 오염원에 대한 장벽 (barrier)을 생성하는, 적절한 유기 유전체의 얇은 층을 스피닝 (spinning) 및 경화시켜 달성될 수 있다.
표면 개질층 공정은 Corning® Eagle XG® 유리 캐리어에 PEN (DuPont사의 TEONEX® Q65 200 micron 두께 시트)를 결합하는데 사용된다. 매우 우수한 결합 성능은 다음 조건으로 침착된 무정형 탄소층으로 확인되었다: 샤워 헤드로 50CH4 200H2 300W 13.56 MHz RF, 200℃ 플래튼으로 50W 380 kHz RF 및 2분 침착 시간. PEN은 결합 전에 5분 동안 UV-오존 세제에 노출되고, 이것은 접착력을 개선시키는 것으로 확인되었다. 테프론 고무롤러 (Teflon squeegee)는 PEN를 적용하기 위해 사용된다. 약 150nm 두께의 지환식 에폭시층 (cycloaliphatic epoxy layer)은 표면 결함을 제거하기 위해 PEN 상에 스피닝되고 경화된다. 유기 게이트 절연막 (OGI)은 광패턴이 가능한 지환식 에폭시이다.
하부 게이트 하부 접촉 (bottom gate bottom contact) 유기 박막 트랜지스터의 어레이는 다음 공정에 의해 형성된다. 100nm Al 게이트 금속은 AJA에서 스퍼터링에 의해 침착되고, Fuji 6512 레지스트로 석판술로 패턴을 만들며, 상기 게이트는 타입 A Al 에칭제에서 습식 에칭에 의해 패턴화된다. 포토레지스트는 실온 PGMEA 욕조에서 3분 정도 제거되고, 그 다음 IPA/DI 헹굼이 수반된다 (NMP계 스트라이퍼 (stripers)는 에폭시층과 맞지 않는다). 제2 에폭시 게이트 절연막층은 패턴화된 게이트 위에서 스피닝되고 경화된다. 100nm 두게의 Ag S/D 금속은 스퍼터링되고, Fuji 6512로 석판술적으로 패턴을 만들며, Transene TFS: pH 10 버퍼의 1:1 혼합물로 에칭된다. 에칭은 힘든데 이는 Ag 에칭 속도가 빠르지만, 에칭 산물의 용해가 느리기 때문이다. 5초 에칭하고, DI 수를 분사하여 에칭 산물을 제거하며, 4 내지 5회 반복하여, 매우 우수한 결과는 얻어진다. 테트라티에노아센 (tetrathienoacene)-DPP co-고분자 (PTDPPTFT4) 유기 반도체 (OSC) 층의 습윤은 시도된다. OSC 접착력은 YES 오븐에서 120℃로 HMDS 처리에 의해 촉진된다. OSC 고분자는 5mg/mL 농도로 4부 (parts) 톨루엔 : 6부 데칼린에서 용해된다. 상기 OSC는 수동 분배, 20초, 500 rpm 30초, 1000 rpm 60초로 Laurel 스피너에서 스피닝에 의해 적용된다. 상기 OSC 필름은 열판 상에서 90℃로 2min 동안 은근히 구워지고, 잔여 데칼린을 제거하기 위해 저진공 (rough vacuum) 하의 Salvis 오븐에서 120℃에서 1hr 동안 진공 어닐링된다. 접착력을 개선하기 위해 Branson에서 짧은 5초 O2 플라즈마를 사용하여, 제3 OGI 층은 상기 OSC 위에 스피닝되고, 2.5초 노출, 1분 휴식하고, 및 1min 150℃ 후 굽기 (post bake)로 직접적으로 광 패턴을 만든다. 1분 휴식 후에, 활성 패턴은 PGMEA에서 1min 동안 트레이 현상되고, 그 다음 IPA 및 DI 헹굼이 수반된다. 30 sccm O2 10 sccm Ar 20 sccm CHF3 50mT 200W 15s를 사용하여 Unaxis 790 RIE에서 건식 에칭은 상기 활성을 패턴화하는데 사용되고, 게이트 금속을 노출시킨다. 75/75㎛ TFT의 성능은, 전술된 바와 같이 유리 캐리어에 조절 가능하게 결합된 PEN 상에 제작된, 75 micron 채널 폭 및 75 micron 채널 길이를 갖는 통상적인 트랜지스터인, 하부 게이트 하부 접촉 유기 박막 트랜지스터에 대한 성능 및 드레인 전류 대 게이트 전압을 나타내는, 도 18에 나타낸 표에 요약된다. 상기 PEN은 균열을 개시하기 위해 면도날을 사용하고, 그 다음 벗겨내어 쉽게 탈결합된다. 상기 고분자 시트는, 고분자 시트 위의 OTFT와 이를 생산하기 위해 사용된 마스크 위의 것 사이에서의 트랜지스터 기하학 (transistor geometry)에서 현저한 차이를 볼 수 없으므로, 상기 공정 이후에도, 캐리어로부터 성공적으로 제거된다.
하부 게이트 하부 접촉 유기 박막 트랜지스터의 어레이를 형성하는 전술한 공정은 또한 여기에 기재된 것들로부터 선택된 적합한 표면 개질층을 갖는, Corning® Gorilla® 유리 (Corning Incorporated, Corning, NY로부터 이용 가능한, 알칼리-함유, 화학적으로 강화 가능한, 커버 유리)로 구성된 캐리어에 조절 가능하게 결합된 PEN 시트 (DuPont사의 TEONEX® Q65 200 micron 두께 시트)로 성공적으로 수행된다.
전술된 바와 같이, 상기 고분자는 그 자제로 다른 장치가 제작되는 기판일 수 있다. 선택적으로, 상기 고분자는 복합 재료 기판상에 고분자 표면, 예를 들어, 유리/고분자 복합체일 수 있다. 이 경우에서, 상기 유리/고분자 복합체의 고분자 표면은, 전술된 바와 같이 캐리어를 향할 수 있고 거기에 결합될 수 있는 반면, 상기 유리/고분자 복합체의 유리 표면은 전자 또는 다른 구조들이 제작될 수 있는 표면으로 노출될 수 있다. 상기 유리/고분자 복합체의 유리 표면상에 전자 또는 다른 구조들의 제작 이후에, 상기 복합체의 고분자 표면은 캐리어 위에 표면 개질층으로부터 벗겨질 수 있다. 이 구체 예는, 상기 유리/고분자 복합체에 유리층이 특히 얇아짐에 따라, 예를 들어, ≤ 50 microns, ≤ 40 microns, ≤30 microns, ≤ 20 microns, ≤ 10 microns, 또는 ≤ 5 microns의 두께를 가짐에 따라, 이로울 수 있다. 이러한 경우에서, 상기 유리/고분자 복합체의 고분자 부분은 캐리어에 복합체를 부착하기 위한 결합 표면으로만 역할하는 것이 아니라, 이것은 또한 복합체가 캐리어 상에 있지 않은 경우 복합체에 약간의 취급 이점을 부여할 수 있다.
결론
본 발명의 전술된 구체 예, 특히 어떤 "바람직한" 구체 예는, 본 발명의 다양한 원리의 명확한 이해를 위해 단순히 서술된, 단지 실행이 가능한 실시 예인 것이 강조되어야 한다. 많은 변화 및 변경은 본 발명의 사상 및 다양한 원리를 실질적으로 벗어나지 않고 본 발명의 전술된 구체 예에 대해 만들어질 수 있다. 모든 이러한 변경 및 변화는 본 발명 및 본 개시의 범주 내에서 여기에 포함되고, 하기 청구범위에 의해 보호되는 것으로 의도된다.
예를 들어, 비록 많은 구체 예들의 표면 개질층 (30)이 캐리어 (10) 상에 형성되는 것으로 도시되고 논의되었을지라도, 이것은, 대신에, 또는 부가적으로, 얇은 시트 (20) 상에 형성될 수 있다. 즉, 적절하게, 표 3-12 및 16의 실시 예에 서술된 바와 같은 물질은 서로 결합되는 캐리어 (10), 얇은 시트 (20), 또는 캐리어 (10) 및 얇은 시트 (20) 모두의 면들에 적용될 수 있다.
더욱이, 비록 몇몇 표면 개질층 (30)이 결합 강도를 조절하여, 400℃, 또는 600℃의 온도에서 제품 (2)을 가공한 이후에도, 얇은 시트 (20)가 캐리어 (10)로부터 제거되는 것이 가능하게 기재되었을지라도, 물론, 제품이 통과되는 특정 시험의 온도보다 더 낮은 온도에서 제품 (2)을 가공하고, 얇은 시트 (20) 또는 캐리어 (10)의 손상 없이 상기 캐리어 (10)로부터 얇은 시트 (20)를 제거하는 동일한 능력을 여전히 달성하는 것이 가능하다.
더군다나, 비록 조절된 결합 개념이 캐리어 및 얇은 시트로 사용되는 것으로 기재되었을지라도, 어떤 상황에서, 이들은 유리, 세라믹, 또는 유리 세라믹의 더 두꺼운 시트들 사이에서 결합을 조절하는데 적용 가능하고, 여기서 서로로부터 시트 (또는 이들의 일부)를 분리하는 것이 바람직할 수 있다.
그러나, 비록 여기서 조절된 결합 개념이 유리 캐리어 및 유리 얇은 시트로 유용한 것으로 기재되었을지라도, 상기 캐리어는 다른 물질, 예를 들어, 세라믹, 유리 세라믹, 또는 금속으로 구성될 수 있다. 유사하게, 상기 캐리어에 조절 가능하게 결합된 시트는 다른 물질, 예를 들어, 세라믹 또는 유리 세라믹으로 구성될 수 있다.
더욱이, 비록 실시 예 3 및 5-12에서 표면 개질층이 플라즈마-중합에 의해 형성되는 것으로 기재되었을지라도, 다른 기술은, 열 증발 스퍼터링 (thermal evaporation sputtering), 결합 표면과 반응하는 가스 내에 종 (species)의 UV 활성화, 또는 습식 화학반응 (wet chemistry)에 의해, 가능할 수 있다.
더군다나, 비록 실시 예 6-12의 플라즈마-중합에 의해 형성된 탄소질 표면 개질층이 고분자 형성 가스로 메탄을 사용하여 형성되었을지라도, 다른 탄소-함유 원재료는 가능할 수 있다. 예를 들어, 상기 탄소-함유 원재료는: 1) 탄화수소 (알칸, 알켄, 알킨 또는 방향족. 알칸은: 메탄, 에탄, 프로판 및 부탄을 포함하지만 이에 제한되지 않으며; 알켄은: 에틸렌, 프로필렌 및 부틸렌을 포함하지만 이에 제한되지 않고; 알킨은: 아세틸렌, 메틸아세틸렌, 에틸아세틸렌 및 디메틸아세틸렌을 포함하지만 이에 제한되지 않으며; 방향족은: 벤젠, 톨루엔, 크실렌, 에틸벤젠을 포함하지만 이에 제한되지 않는다); 2) (메탄올, 에탄올, 프로판올을 포함하는) 알코올; 3) (포름알데히드, 아세트알데히드 및 아세톤을 포함하는) 알데히드 또는 케톤; 4) (메틸아민, 디메틸 아민, 트리메틸아민 및 에틸아민을 포함하는) 아민; 5) (포름산 및 아세트산을 포함하는) 유기산; 6) (아세토니트릴을 포함하는) 니트릴; 7) CO; 및 8) CO2 중 적어도 하나를 포함할 수 있다. 선택적으로, 상기 탄소-함유 원재료는: 1) 포화 또는 불포화 탄화수소, 또는 2) 질소-함유 또는 3) 산소-함유 포화 또는 불포화 탄화수소, 또는 4) CO 또는 CO2 중 하나 이상을 포함할 수 있다. 몇몇 일반적인 통상적 탄소-함유 원재료는 탄소-함유 가스, 예를 들어, 메탄, 에탄, 프로판, 부탄, 에틸렌, 프로필렌, 프로핀 (propyne), 아세틸렌, MAPP, CO, 및 CO2를 포함한다.
더욱이, 비록 상기 표면 개질층을 처리하는데 사용되고, 이에 의해 실시 예 5 및 8-12에서와 같이 이의 표면 에너지를 증가시키거나, 또는 실시 예 7, 16c, 16d에서와 같이, 표면 개질층 자체의 형성에 사용된, 극성기가 질소 및 산소일지라도, 다른 극성기, 예를 들어, 황 및/또는 인은 가능하게 사용될 수 있다.
부가적으로, 비록 N2 및 NH3가 질소-함유 가스로 사용되었을지라도, 다른 질소-함유 물질, 예를 들어, 히드라진, N2O, NO, N2O4, 메틸아민, 디메틸아민, 트리메틸아민 및 에틸아민, 아세토니트릴 등은 가능한 한 사용될 수 있다.
또한, 비록 사용된 산소-함유 가스가 N2-O2 및 O2 일지라도, 다른 산소-함유 가스, 예를 들어, O3, H2O, 메탄올, 에탄올, 프로판올, N2O, NO, 및 N2O4를 사용하는 것이 가능하다.
여기에 개시된 실시 예로부터 알 수 있는 바와 같이, 나중에 처리된 것을 포함하는, 상기 표면 개질층은, 약 1㎚ (실시 예 16b) 또는 2㎚ (실시 예 3, 4)로부터 약 10㎚ (실시 예 12c, 8.8㎚)까지의 두께를 달성할 수 있다. 부가적으로, 더 두꺼운 표면 개질층은, 도 15에 대하여 설명된 바와 같이, 또한 가능하다. 그러나, 두께가 약 70㎚를 초과함에 따라, 상기 표면 개질층은 반투명해지기 시작하며, 이것은 광학 투명도로부터 이익을 얻는 적용에 대해 바람직하지 않을 수 있다.
본 출원에 따른 다양한 전술한 개념은 조합 중 어느 하나 및 모든 다른 방식으로 서로 조합될 수 있다. 예로서, 다양한 개념은 다음 관점에 따라 조합될 수 있다.
제1 관점에 따르면, 하기 단계를 포함하는 기판을 캐리어에 조절 가능하게 결합시키는 방법은 제공된다:
제1표면 에너지를 갖는 고분자 결합 표면을 갖는 기판을 얻는 단계;
제2표면 에너지를 갖는 유리 결합 표면을 갖는 캐리어를 얻는 단계;
상기 유리 결합 표면의 표면 에너지를 감소시키기 위해 상기 유리 결합 표면상으로 표면 개질층을 침착시키는 단계; 및
상기 표면 개질층을 통해 상기 유리 결합 표면에 상기 고분자 결합 표면을 결합시키는 단계, 여기서 상기 기판은 120℃의 온도를 갖는 환경에서 1시간 진공 어닐링에 적용된 후에 상기 캐리어로부터 비-파괴적으로 탈결합 가능하다.
제2 관점에 따르면, 제1 관점에서, 상기 표면 개질층은:
탄소-함유 가스의 플라즈마-중합;
탄화수소-함유 가스의 플라즈마-중합;
탄화수소-함유 및 탄화불소-함유 가스의 플라즈마-중합;
탄화수소-함유 및 수소-함유 가스의 플라즈마-중합;
질소-함유 가스로 층의 처리가 수반되는 층을 형성하기 위한 탄화수소-함유 가스의 플라즈마-중합;
두 개의 개별 가스에 의한 층의 순차적인 처리가 수반되는 층을 형성하기 위한 탄화수소-함유 가스의 플라즈마-중합으로, 여기서 하나의 가스는 질소를 함유하고, 다른 가스는 수소를 함유하는 플라즈마-중합;
두 개의 개별 가스에 의한 층의 순차적인 처리가 수반되는 층을 형성하기 위한 탄화수소-함유 가스의 플라즈마-중합으로, 여기서 하나의 가스는 질소 및 산소를 함유하고, 다른 가스는 질소를 함유하는 플라즈마-중합;
질소-및-산소-함유 가스로 층의 처리가 수반되는 층을 형성하기 위한 탄화수소-함유 가스의 플라즈마-중합;
탄화수소-함유, 질소-함유, 및 수소-함유 가스의 플라즈마-중합;
질소-함유 가스로 층의 처리가 수반되는 층을 형성하기 위한 탄화수소-함유 및 수소-함유 가스의 플라즈마-중합;
탄화불소-함유 가스의 플라즈마-중합;
탄화불소-함유 및 수소-함유 가스의 플라즈마-중합; 및
질소-함유 가스, 및 수소-함유 가스로 층의 동시 처리가 수반되는, 층을 형성하기 위한 탄화불소-함유 가스의 플라즈마-중합 중 하나에 의해 침착된다.
제3 관점에 따르면, 제1 관점에서, 상기 표면 개질층은 메탄, 암모니아, 및 수소, 가스의 플라즈마-중합에 의해 침착된다.
제4 관점에 따르면, 제2 관점에서, 상기 탄소-함유 가스는 탄화수소, 알칸, 알켄, 알킨, 또는 방향족 중 적어도 하나를 포함한다.
제5 관점에 따르면, 제2 관점에서, 상기 탄소-함유 가스는 메탄, 에탄, 프로판, 부탄, 에틸렌, 프로필렌, 프로핀, 아세틸렌, CO, 및 CO2 중 적어도 하나를 포함한다.
제6 관점에 따르면, 제2, 4, 5 관점 중 어느 하나에서, 수소-함유 가스는 사용되고, 상기 수소-함유 가스는 H2를 포함하며, 여기서 질소-함유 가스가 사용된 경우, 상기 질소-함유 가스는 암모니아, N2, 히드라진, N2O, NO, N2O4, 메틸아민, 디메틸아민, 트리메틸아민, 에틸아민, 및 아세토니트릴 중 적어도 하나를 포함한다.
제7 관점에 따르면, 제2, 4-6 관점 중 어느 하나에서, 수소-함유 가스는 사용되고, 상기 수소-함유 가스는 H2를 포함하며, 여기서 산소-함유 가스가 사용된 경우, 상기 산소-함유 가스는 O2, O3, H2O, 메탄올, 에탄올, 프로판올, N2O, NO, 및 N2O4 중 적어도 하나를 포함한다.
제8 관점에 따르면, 제1-7 관점 중 어느 하나에서, 상기 표면 개질층은 1 내지 70㎚의 두께를 갖는다.
제9 관점에 따르면, 제1-7 관점 중 어느 하나에서, 상기 표면 개질층은 2 내지 10㎚의 두께를 갖는다.
제10 관점에 따르면, 제1-9 관점 중 어느 하나에서, 상기 방법은 제1표면 에너지를 제공하기 위해 상기 고분자 결합 표면을 세정하는 단계를 더욱 포함한다.
제11 관점에 따르면, 제10 관점에서, 상기 세정 단계는 산소 플라즈마 세정, UV 오존 처리, 및 코로나 방전 중 하나를 포함한다.
제12 관점에 따르면, 제1-11 관점 중 어느 하나에서, 상기 기판은 고분자 및 유리를 포함하는 복합체가다.
제13 관점에 따르면, 제1-12 관점 중 어느 하나에서, 상기 표면 개질층의 침착 전에 상기 유리 결합 표면은 ≤ 1㎚의 평균 표면 거칠기 Ra를 갖는다.
제14 관점에 따르면, 제1-13 관점 중 어느 하나에서, 상기 기판은 ≤ 300 microns의 두께를 갖는다.
제15 관점에 따르면, 제1-14 관점 중 어느 하나에서, 상기 캐리어는 200 microns 내지 3 mm의 두께를 갖는다.
제16 관점에 따르면,
고분자 결합 표면을 갖는 기판;
유리 결합 표면을 갖는 캐리어;
상기 유리 결합 표면에 상기 고분자 결합 표면을 방출 가능하게 결합하는 플라즈마-중합된 표면 개질층을 포함하는 제품은 제공된다.
제17 관점에 따르면, 제16 관점에서, 상기 표면 개질층은 1 내지 70㎚의 두께를 갖는다.
제18 관점에 따르면, 제16 관점에서, 상기 표면 개질층은 2 내지 10㎚의 두께를 갖는다.
제19 관점에 따르면, 제16-18 관점 중 어느 하나에서,
상기 표면 개질층은:
플라즈마-중합된 탄화수소; 및
플라즈마 중합된 탄화불소 중 적어도 하나를 포함한다.
제20 관점에 따르면, 제16-19 관점 중 어느 하나에서, 상기 기판은 상기 기판은 고분자 및 유리를 포함하는 복합체가다.
제21 관점에 따르면, 제16-20 관점 중 어느 하나에서, 상기 유리 결합 표면은 그 위에 침착된 표면 개질층 없이 ≤ 1㎚의 평균 표면 거칠기 Ra를 갖는다.
제22 관점에 따르면, 제16-20 관점 중 어느 하나에서, 상기 유리 결합 표면은 그 위에 침착된 표면 개질층 없이 ≤ 0.2㎚의 평균 표면 거칠기 Ra를 갖는다.
제23 관점에 따르면, 제16-22 관점 중 어느 하나에서, 상기 기판은 ≤ 300 microns의 두께를 갖는다.
제24 관점에 따르면, 제16-23 관점 중 어느 하나에서, 상기 캐리어는 200 microns 내지 3 mm의 두께를 갖는다.
관점 A에 따르면,
캐리어 결합 표면을 갖는 캐리어;
상기 캐리어 결합 표면상에 침착된 표면 개질층을 포함하는 유리 제품은 제공되며:
여기서 상기 표면 개질층은, 상기 캐리어 결합 표면이 유리 시트 결합 표면과 결합된 경우 이들 사이에 표면 개질층을 갖도록 구성되며, 분당 9.2℃의 속도로 실온에서 600℃까지 순환되고, 10분 동안 600℃의 온도에서 유지되며, 및 그 다음 분당 1℃로 300℃까지 냉각되는 챔버에서 가열하는 단계, 및 그 다음 상기 챔버로부터 제품을 제거하고 상기 제품을 실온으로 냉각시키는 단계에 의한 온도 사이클에 제품을 적용시킨 이후에, 상기 캐리어 및 시트는, 만약 하나가 유지되고 다른 하나가 중력의 힘에 적용된다면, 서로 분리되지 않고, 상기 온도 사이클 동안에 상기 표면 개질층으로부터 탈가싱은 없으며, 및 상기 시트는 상기 캐리어 및 시트 중 더 얇은 하나를 둘 이상의 조각으로 파괴 없이 분리될 수 있다.
관점 B에 따르면,
캐리어 결합 표면을 갖는 캐리어;
시트 결합 표면을 갖는 시트;
상기 캐리어 결합 표면 및 시트 결합 표면 중 하나에 침착된 표면 개질층을 포함하는 유리 제품은 제공되며:
상기 캐리어 결합 표면은 상기 시트 결합 표면과 그들 사이에 표면 개질층을 갖도록 결합되고, 여기서 상기 시트가 캐리어에 결합하는 표면 에너지는, 분당 9.2℃의 속도로 실온에서 600℃까지 순환되고, 10분 동안 600℃의 온도에서 유지되며, 및 그 다음 분당 1℃로 300℃까지 냉각되는 챔버에서 가열하는 단계, 및 그 다음 상기 챔버로부터 제품을 제거하고 상기 제품을 실온으로 냉각시키는 단계에 의한 온도 사이클에 제품을 적용시킨 이후에, 상기 캐리어 및 시트는, 만약 하나가 유지되고 다른 하나가 중력의 힘에 적용된다면, 서로 분리되지 않고, 상기 온도 사이클 동안에 상기 표면 개질층으로부터 탈가싱은 없으며, 및 상기 시트는 상기 캐리어 및 시트 중 더 얇은 하나를 둘 이상의 조각으로 파괴 없이 분리될 수 있는 특징이 있다.
관점 C에 따르면, 관점 A 또는 B 중 어느 하나에서, 상기 표면 개질층은 0.1 내지 100㎚의 두께를 갖는다.
관점 D에 따르면, 관점 A 또는 B 중 어느 하나에서, 상기 표면 개질층은 0.1 내지 10㎚의 두께를 갖는다.
관점 E에 따르면, 관점 A 또는 B 중 어느 하나에서, 상기 표면 개질층은 0.1 내지 2㎚의 두께를 갖는다.
관점 F에 따르면, 관점 A 내지 E 또는 1-24 중 어느 하나에서, 상기 캐리어는 알칼리가-없는, 알루미노-실리케이트 또는 보로-실리케이트 또는 알루미노-보로-실리케이트를 포함하는 유리이고, 상기 유리는 ≤ 0.05 wt.%의 수준에서 비소 및 안티몬을 갖는다.
관점 G에 따르면, 관점 A 내지 F 또는 1-24 중 어느 하나에서, 각각의 상기 캐리어 및 시트는 크기가 100 mm x 100 mm 이상이다.

Claims (14)

  1. 제1표면 에너지를 갖는 고분자 결합 표면을 갖는 기판을 얻는 단계;
    제2표면 에너지를 갖는 유리 결합 표면을 갖는 캐리어를 얻는 단계;
    상기 유리 결합 표면의 표면 에너지를 감소시키기 위해 상기 유리 결합 표면상으로 표면 개질층을 침착시키는 단계; 및
    상기 표면 개질층을 통해 상기 유리 결합 표면에 상기 고분자 결합 표면을 결합시키는 단계를 포함하고, 여기서 상기 기판은 120℃의 온도를 갖는 환경에서 1시간 진공 어닐링에 적용된 후에 상기 캐리어로부터 비-파괴적으로 탈결합 가능한 기판을 캐리어에 조절 가능하게 결합시키는 방법.
  2. 청구항 1에 있어서,
    상기 표면 개질층은:
    탄소-함유 가스의 플라즈마-중합;
    탄화수소-함유 가스의 플라즈마-중합;
    탄화수소-함유 및 탄화불소-함유 가스의 플라즈마-중합;
    탄화수소-함유 및 수소-함유 가스의 플라즈마-중합;
    질소-함유 가스로 층의 처리가 수반되는 층을 형성하기 위한 탄화수소-함유 가스의 플라즈마-중합;
    두 개의 개별 가스에 의한 층의 순차적인 처리가 수반되는 층을 형성하기 위한 탄화수소-함유 가스의 플라즈마-중합으로, 여기서 하나의 가스는 질소를 함유하고, 다른 가스는 수소를 함유하는 플라즈마-중합;
    두 개의 개별 가스에 의한 층의 순차적인 처리가 수반되는 층을 형성하기 위한 탄화수소-함유 가스의 플라즈마-중합으로, 여기서 하나의 가스는 질소 및 산소를 함유하고, 다른 가스는 질소를 함유하는 플라즈마-중합;
    질소-및-산소-함유 가스로 층의 처리가 수반되는 층을 형성하기 위한 탄화수소-함유 가스의 플라즈마-중합;
    탄화수소-함유, 질소-함유, 및 수소-함유 가스의 플라즈마-중합;
    질소-함유 가스로 층의 처리가 수반되는 층을 형성하기 위한 탄화수소-함유 및 수소-함유 가스의 플라즈마-중합;
    탄화불소-함유 가스의 플라즈마-중합;
    탄화불소-함유 및 수소-함유 가스의 플라즈마-중합; 및
    질소-함유 가스, 및 수소-함유 가스로 층의 동시 처리가 수반되는, 층을 형성하기 위한 탄화불소-함유 가스의 플라즈마-중합 중 하나에 의해 침착되는 기판을 캐리어에 조절 가능하게 결합시키는 방법.
  3. 청구항 1에 있어서,
    상기 표면 개질층은 메탄, 암모니아, 및 수소, 가스의 플라즈마-중합에 의해 침착되는 기판을 캐리어에 조절 가능하게 결합시키는 방법.
  4. 청구항 1에 있어서,
    상기 표면 개질층은 1 내지 70㎚의 두께를 갖는 기판을 캐리어에 조절 가능하게 결합시키는 방법.
  5. 청구항 1에 있어서,
    상기 방법은: 제1표면 에너지를 제공하기 위해 상기 고분자 결합 표면을 세정하는 단계를 더욱 포함하는 기판을 캐리어에 조절 가능하게 결합시키는 방법.
  6. 청구항 1에 있어서,
    상기 기판은 고분자 및 유리를 포함하는 복합체인 기판을 캐리어에 조절 가능하게 결합시키는 방법.
  7. 청구항 1에 있어서,
    상기 표면 개질층의 침착 전에 상기 유리 결합 표면은 ≤ 1㎚의 평균 표면 거칠기 Ra를 갖는 기판을 캐리어에 조절 가능하게 결합시키는 방법.
  8. 청구항 1에 있어서,
    상기 기판은 ≤ 300 microns의 두께를 갖는 기판을 캐리어에 조절 가능하게 결합시키는 방법.
  9. 고분자 결합 표면을 갖는 기판;
    유리 결합 표면을 갖는 캐리어;
    상기 유리 결합 표면에 상기 고분자 결합 표면을 방출 가능하게 결합하는 플라즈마-중합된 표면 개질층을 포함하는 제품.
  10. 청구항 9에 있어서,
    상기 표면 개질층은 1 내지 70㎚의 두께를 갖는 제품.
  11. 청구항 9에 있어서,
    상기 표면 개질층은:
    플라즈마-중합된 탄화수소; 및
    플라즈마 중합된 탄화불소 중 적어도 하나를 포함하는 제품.
  12. 청구항 9에 있어서,
    상기 기판은 고분자 및 유리를 포함하는 복합체인 제품.
  13. 청구항 9에 있어서,
    상기 유리 결합 표면은 그 위에 침착된 표면 개질층 없이 ≤ 1㎚의 평균 표면 거칠기 Ra를 갖는 제품.
  14. 청구항 9에 있어서,
    상기 기판은 ≤ 300 microns의 두께를 갖는 제품.
KR1020167023790A 2014-01-27 2015-01-27 캐리어와 고분자 표면의 조절된 결합을 위한 방법 및 제품 KR20160114687A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201461931924P 2014-01-27 2014-01-27
US61/931,924 2014-01-27
PCT/US2015/013012 WO2015113020A1 (en) 2014-01-27 2015-01-27 Articles and methods for controlled bonding of polymer surfaces with carriers

Publications (1)

Publication Number Publication Date
KR20160114687A true KR20160114687A (ko) 2016-10-05

Family

ID=53682040

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020167023790A KR20160114687A (ko) 2014-01-27 2015-01-27 캐리어와 고분자 표면의 조절된 결합을 위한 방법 및 제품

Country Status (6)

Country Link
JP (2) JP2017506204A (ko)
KR (1) KR20160114687A (ko)
CN (1) CN106104778A (ko)
SG (1) SG11201606059WA (ko)
TW (1) TWI654088B (ko)
WO (1) WO2015113020A1 (ko)

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10543662B2 (en) 2012-02-08 2020-01-28 Corning Incorporated Device modified substrate article and methods for making
US10014177B2 (en) 2012-12-13 2018-07-03 Corning Incorporated Methods for processing electronic devices
US9340443B2 (en) 2012-12-13 2016-05-17 Corning Incorporated Bulk annealing of glass sheets
US10086584B2 (en) 2012-12-13 2018-10-02 Corning Incorporated Glass articles and methods for controlled bonding of glass sheets with carriers
TWI617437B (zh) 2012-12-13 2018-03-11 康寧公司 促進控制薄片與載體間接合之處理
US10510576B2 (en) 2013-10-14 2019-12-17 Corning Incorporated Carrier-bonding methods and articles for semiconductor and interposer processing
KR102353030B1 (ko) 2014-01-27 2022-01-19 코닝 인코포레이티드 얇은 시트와 캐리어의 제어된 결합을 위한 물품 및 방법
SG11201608442TA (en) 2014-04-09 2016-11-29 Corning Inc Device modified substrate article and methods for making
KR102573207B1 (ko) 2015-05-19 2023-08-31 코닝 인코포레이티드 시트와 캐리어의 결합을 위한 물품 및 방법
CN117534339A (zh) 2015-06-26 2024-02-09 康宁股份有限公司 包含板材和载体的方法和制品
CN108353507B (zh) 2015-10-30 2020-11-27 康宁股份有限公司 用于加工与第二基材粘结的第一基材的方法
TW201737766A (zh) 2016-01-21 2017-10-16 康寧公司 處理基板的方法
TW201825623A (zh) 2016-08-30 2018-07-16 美商康寧公司 用於片材接合的矽氧烷電漿聚合物
TWI821867B (zh) * 2016-08-31 2023-11-11 美商康寧公司 具以可控制式黏結的薄片之製品及製作其之方法
CN106847862A (zh) * 2016-12-28 2017-06-13 深圳市华星光电技术有限公司 一种柔性显示器基板及其制备方法,柔性显示器制备方法
CN107098606B (zh) * 2017-04-24 2020-07-07 京东方科技集团股份有限公司 玻璃贴合方法、制造显示器件的方法和显示器件
WO2019036710A1 (en) 2017-08-18 2019-02-21 Corning Incorporated TEMPORARY BINDING USING POLYCATIONIC POLYMERS
CN111372903A (zh) 2017-11-20 2020-07-03 康宁股份有限公司 使用阳离子表面活性剂和/或有机盐的玻璃对的临时结合
JP7431160B2 (ja) 2017-12-15 2024-02-14 コーニング インコーポレイテッド 基板を処理するための方法および結合されたシートを含む物品を製造するための方法
CN110718453B (zh) * 2019-11-15 2021-08-20 武汉新芯集成电路制造有限公司 半导体器件及其制造方法
CN112701058B (zh) * 2020-12-30 2022-09-02 长春长光圆辰微电子技术有限公司 晶圆键合力的测试方法

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2542750B2 (ja) * 1985-12-09 1996-10-09 益弘 小駒 プラスチックの表面エネルギ―制御方法
US4810326A (en) * 1987-08-31 1989-03-07 International Business Machines Corporation Interlaminate adhesion between polymeric materials and electrolytic copper surfaces
AU5914994A (en) * 1993-04-21 1994-10-27 Bend Research, Inc. Plasma polymerization and surface modification inside hollow micro-substrates
JP4497154B2 (ja) * 1997-12-15 2010-07-07 セイコーエプソン株式会社 固体接合方法
JP2001048591A (ja) * 1999-08-03 2001-02-20 Kyodo Kumiai Ibaraki Kinosei Glass Kenkyukai 表面撥水性ガラス及びその製造方法
DE10256247A1 (de) * 2002-11-29 2004-06-09 Andreas Jakob Schichtverbund aus einer Trennschicht und einer Schutzschicht zum Schutze und zum Handling eines Wafers beim Dünnen, bei der Rückseitenbeschichtung und beim Vereinzeln
JP4030897B2 (ja) * 2003-03-07 2008-01-09 株式会社クラレ プラスチックの接着方法
US6969166B2 (en) * 2003-05-29 2005-11-29 3M Innovative Properties Company Method for modifying the surface of a substrate
WO2008156055A1 (ja) * 2007-06-18 2008-12-24 Seiko Epson Corporation 接合方法、接合体、液滴吐出ヘッドおよび液滴吐出装置
JP4710897B2 (ja) * 2007-11-28 2011-06-29 セイコーエプソン株式会社 接合体の剥離方法
CN102596565B (zh) * 2009-08-27 2014-09-10 旭硝子株式会社 挠性基材-支撑体的层叠结构体、带有支撑体的电子装置用面板、以及电子装置用面板的制造方法
US9847243B2 (en) * 2009-08-27 2017-12-19 Corning Incorporated Debonding a glass substrate from carrier using ultrasonic wave
JP5602529B2 (ja) * 2010-07-29 2014-10-08 日本合成化学工業株式会社 積層体の製法、ガラス基板付き偏光板の製法、およびそれにより得られたガラス基板付き偏光板
US8846499B2 (en) * 2010-08-17 2014-09-30 Taiwan Semiconductor Manufacturing Company, Ltd. Composite carrier structure
US8822306B2 (en) * 2010-09-30 2014-09-02 Infineon Technologies Ag Method for manufacturing a composite wafer having a graphite core, and composite wafer having a graphite core
KR101918284B1 (ko) * 2011-03-03 2019-01-30 엘지디스플레이 주식회사 플렉시블 표시장치의 제조 방법
CN104041199A (zh) * 2011-11-29 2014-09-10 亚利桑那州立大学董事会(代理及代表亚利桑那州立大学的法人团体) 用于提供电子器件结构的方法和相关电子器件结构
WO2013114685A1 (ja) * 2012-02-01 2013-08-08 東洋紡株式会社 積層体とその製造方法及びそれを用いたデバイス構造体の製造方法
WO2013119737A2 (en) * 2012-02-08 2013-08-15 Corning Incorporated Processing flexible glass with a carrier
KR20130095605A (ko) * 2012-06-22 2013-08-28 코스텍시스템(주) 디바이스 웨이퍼와 캐리어 웨이퍼의 본딩과 디본딩 장치
US10510576B2 (en) * 2013-10-14 2019-12-17 Corning Incorporated Carrier-bonding methods and articles for semiconductor and interposer processing

Also Published As

Publication number Publication date
TW201545887A (zh) 2015-12-16
SG11201606059WA (en) 2016-08-30
JP2017506204A (ja) 2017-03-02
JP2020037513A (ja) 2020-03-12
WO2015113020A1 (en) 2015-07-30
TWI654088B (zh) 2019-03-21
CN106104778A (zh) 2016-11-09

Similar Documents

Publication Publication Date Title
KR20160114687A (ko) 캐리어와 고분자 표면의 조절된 결합을 위한 방법 및 제품
US11123954B2 (en) Articles and methods for controlled bonding of thin sheets with carriers
WO2015113023A1 (en) Treatment of a surface modification layer for controlled bonding of thin sheets with carriers
EP3584229B1 (en) Method for controlled bonding of glass sheets with carriers
EP2932540B1 (en) Methods for processing oled devices
US9889635B2 (en) Facilitated processing for controlling bonding between sheet and carrier
US9340443B2 (en) Bulk annealing of glass sheets
US20150329415A1 (en) Glass and methods of making glass articles
WO2015054098A1 (en) Glass articles and methods for controlled bonding of glass sheets with carriers
TW201736292A (zh) 玻璃片之大量退火

Legal Events

Date Code Title Description
WITB Written withdrawal of application